patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
046997490
claims
1. A control system for a nuclear power plant including a reactor with a core having an upper portion and a lower portion and control rods which are inserted into and withdrawn from the core of the reactor vertically to control reactivity in the core, said system comprising: means to measure neutron flux separately in the upper portion and the lower portion of the reactor and to generate from such measurements a signal representative of axial distribution of power between the upper and lower portions of the reactor core; means to detect a dropped control rod in the reactor and to generate a dropped rod signal in response thereto; means to generate an axial power distribution limit signal representative of a critical axial power distribution for a dropped rod condition; means to compare said axial power distribution signal to said axial power distribution limit signal and to generate an axial power distribution out of limits signal when said axial power distribution signal exceeds the axial power distribution limit signal; and means responsive only to the presence of both said dropped rod signal and the axial power distribution out of limits signal to generate a signal for shutting the reactor down. measuring separately on an on-line basis the neutron flux in the upper portion and the lower portion of the reactor core; generating from said measurements of the neutron flux in the upper and lower portions of the reactor core a signal representative of the axial distribution of power between the upper and lower portions of the reactor core; monitoring the operation of the reactor and generating a dropped rod signal in response to the detection of an uncommanded insertion of a control rod into the reactor core; generating an axial power distribution limit signal representative of a selected critical value of the axial power distribution signal for a dropped rod condition; comparing, in response to the generation of a dropped rod signal, the axial power distribution signal to the axial power distribution limit signal; and shutting down the reactor when the axial power distribution signal exceeds the axial power distribution limit signal only in the presence of a dropped rod signal. a nuclear reactor with a core having an upper and lower portion; control rods insertable vertically into said reactor core; means to insert said control rods in and to withdraw them from said core to control the reactivity of the core; means to measure the neutron flux separately in the upper and lower portions of the reactor core and to generate from such measurements a signal representative of the axial distribution of power between the upper and lower portions of the reactor core and a signal representative of the total neutron flux in both the upper and lower portions of the reactor core; means responsive to the total neutron flux signal to generate a dropped control rod signal when the rate of change of the total neutron flux signal is more negative than a preset negative limit; means to generate an axial power distribution limit signal representative of a critical axial power distribution for a dropped rod condition; means to compare said axial power distribution signal to said axial power distribution limit signal and to generate an axial power distribution out of limits signal when said axial power distribution signal exceeds the axial power distribution limit signal; and means responsive only to the presence of both said dropped rod signal and the axial power distribution out of limits signal to generate a reactor trip signal, said means for inserting and withdrawing said control rods being responsive to said reactor trip signal to fully insert said control rods into the reactor core. 2. The control system of claim 1 for use in a reactor in which the control rods include part length control rods and full length control rods which may be inserted into and withdrawn from the reactor core, said system including means to indicate the position of said part length control rods and wherein said means to generate an axial power distribution limit signal generates an axial power distribution limit signal of a first selected value in response to an indication by said indicating means that said part length control rods are inserted in said reactor core and to generate an axial power distribution limit signal of a second value in response to an indication that said part length rods are withdrawn from the reactor core such that said reactor is shutdown in the presence of a dropped rod condition for a first value of axial power distribution when the part length control rods are inserted and for a second value of axial power distribution when the part length control rods are withdrawn from the reactor core. 3. The control system of claim 1 wherein said means to detect a dropped control rod in the reactor includes means for monitoring reactor neutron flux and means for generating said dropped rod signal when a negative rate of change in reactor flux more negative than a first preset flux rate limit is detected. 4. The control system of claim 3 wherein said means to detect a dropped control rod in the reactor also includes means for generating a reactor trip signal when a negative rate of change in reactor flux more negative than a second preset flux rate limit, which in turn, is more negative than said first preset flux rate limit, is exceeded. 5. A method of controlling a nuclear power plant including a reactor with a core having an upper portion and a lower portion and control rods which are inserted into and withdrawn from the core vertically to control reactivity, said method comprising the steps of: 6. The method of claim 5 wherein said step of monitoring the operation of said reactor and generating a dropped rod signal comprises the steps of monitoring said neutron flux measurements and generating the dropped rod signal when the rate of change of the neutron flux is more negative than a first preselected negative value. 7. The method of claim 5 wherein said control rods include part length control rods and full length control rods, wherein said method includes the step of monitoring the position of said part length control rods and wherein said step of generating an axial power distribution limit signal includes generating an axial power distribution limit signal of a first value when said part length rods are inserted into the reactor core and generating an axial power distribution limit signal having a second value when the part length control rods are withdrawn. 8. The method of claim 6 including the step of shutting down the reactor when the rate of change of the neutron flux is more negative than a second preselected negative value which is more negative than the first preselected negative value. 9. In combination:
052746836
claims
1. A method for replacing an existing nozzle in a pressure vessel having a nozzle extending into the vessel through a nozzle bore in the pressure vessel wall, comprising: a. cutting the existing nozzle approximately at the inside surface of the pressure vessel wall and removing the portion of the existing nozzle that extends beyond the exterior of the pressure vessel wall; b. depositing a weld pad on the exterior of the pressure vessel wall around the nozzle bore; c. removing the remaining portion of the existing nozzle in the nozzle bore; d. depositing a corrosion resistant thermal spray coating in the nozzle bore; e. installing a replacement nozzle in the nozzle bore; and f. seal welding the replacement nozzle to the weld pad on the exterior of the pressure vessel wall. 2. The method of claim 1, wherein said seal welding step comprises providing a partial penetration weld in the weld pad and the replacement nozzle.
summary
summary
summary
description
This application claims the benefit of U.S. Provisional Application No. 62/388,296 filed on Jan. 22, 2016, which is incorporated herein by reference. Nuclear fusion by inertial confinement, Inertial Confinement Fusion (“ICF”), utilizes nuclear fusion reactions to produce energy. In most types of ICF systems, an external drive mechanism, such as a laser, delivers energy to a target containing nuclear fusion fuel. The target is designed to use this energy to compress, heat and ignite the fusion fuel within the target. If a sufficient amount of fuel is compressed sufficiently and heated sufficiently, a self-sustaining fusion reaction can occur in which energy produced by fusion reactions continues to heat the fuel. This is generally referred to as “ignition.” The inertia of the compressed fuel can keep it from expanding long enough for significant energy to be produced before expansion of the fuel and the resultant cooling terminates the fusion reaction. ICF targets release energy in the form of high-velocity subatomic particles (ions and neutrons), x-ray radiation, and kinetic energy of the expanding debris field. The target is ignited inside a vacuum chamber, which is responsible for safely containing the energy output of the target, and potentially converting it into useful form (for example, steam). In general, the required size of the containment chamber increases with the energy output of the target. High-performance targets, involving high gains and/or large output energies, may require very large containment chambers. The spectrum of energy output from an ICF target may be comprised of charged particles, neutrons, x-ray radiation, and an expanding field of target debris. For many target and containment chamber systems, the x-ray radiation component may be the most challenging to contain. Conventional containment chamber designs absorb the radiation energy directly on the surface of the chamber wall. For targets that emit a large amount of energy as radiation, a very large chamber or very complicated wall design may be required in order to avoid unacceptable wall damage from the radiation. Embodiments described herein can contain the radiation output of an ICF target by absorbing the radiation energy over a long distance in a low-pressure gas filling a heat exchanger structure, as opposed to directly on a wall surface, with the gas then conducting and re-radiating that energy into the heat exchanger surface over a much longer timescale. This can reduce the size and cost of ICF target containment chambers. In some embodiments, a confinement chamber for Inertial Confinement Fusion (ICF) may include a chamber wall comprising a plurality of holes positioned to receive x-ray radiation from an ICF target, and a plurality of pipes that are coupled to the plurality of holes and radiating outward from the chamber wall. The plurality of pipes may radiate outward from the chamber wall in a direction that is parallel with a direction of the x-ray radiation from the ICF target. The plurality of pipes may radiate outward from the chamber wall in a direction that is normal to the chamber wall. The chamber may further include a plurality of gas injection nozzles coupled to the plurality of pipes. The plurality of gas injection nozzles may be configured to deposit a gas into the plurality of pipes prior to the activation of an external drive mechanism. The gas may include nitrogen. The chamber may further include a directional output sleeve configured to direct the x-ray radiation from the ICF target towards the plurality of holes. The chamber wall may be cylindrical with two rounded ends, wherein the plurality of holes may be located on the two rounded ends. The plurality of holes and the plurality of pipes may have a hexagonal cross-section. The plurality of pipes may have a cross-section with a varying radius along the length of each of the plurality of pipes. In some embodiments, a method of using an ICF chamber may include causing a target in the ICF chamber to emit x-ray radiation; receiving the x-ray radiation through a plurality of holes in a wall of the ICF chamber; and absorbing the x-ray radiation in a gas contained in a plurality of tubes that are coupled to the plurality of holes. The method may also include directing the x-ray radiation towards the plurality of holes using a directional-radiation-output sleeve. The method may additionally include injecting the gas into the plurality of tubes prior to causing the target in the ICF chamber to emit the x-ray radiation. The gas contained in the plurality of tubes may have a density of at least approximately 7×10−6 g/cc before causing the target in the ICF chamber to emit x-ray radiation. The method may further include conducting energy into walls of the plurality of pipes from the gas via thermal conduction and re-radiation. The method may also include conducting heat out of the walls of the plurality of pipes by a coolant flowing between the plurality of pipes. The plurality of pipes may radiate outward from the chamber wall in a direction that is parallel to a direction of the x-ray radiation from the ICF target. The chamber wall may be spherical and the plurality of holes may be evenly distributed around the chamber wall. The method may additionally include receiving neutron emissions from the ICF target by one or more neutron-absorbing blocks. The one or more neutron-absorbing blocks may be located on a first section of the chamber wall, and the plurality of holes may be located on a second section of the chamber wall. The term “Z” may refer to the atomic number of an element, i.e. the number of protons in the nucleus. The term “A” may refer to the atomic mass number of an element, i.e. the number of protons and neutrons in the nucleus. The term “approximately” includes a given value plus/minus 15%. For example, the phrase “approximately 10 units” is intended to encompass a range of 8.5 units to 11.5 units. The term “isentropic drive mechanism” may refer to a drive mechanism that is designed or utilized to compress material (such as fusion fuel) in an isentropic manner. “Isentropic” means compressing material while minimizing the total entropy increase (heating) of the material. Isentropic compression is therefore the most efficient way to compress material. When imploding a sphere or shell of material, such as an ICF target, isentropic compression requires that the drive mechanism deliver pressure to the material in a specific way over the entire duration of the compression, utilizing a low pressure initially that is increased over the course of the compression according to a mathematical formula. This can be difficult to achieve, and complicates the design of both the target drive mechanism and the driver that delivers energy to the drive mechanism (such as a laser or heavy ion beam). The term “quasi-isentropic drive mechanism” may refer to a drive mechanism that approximates an ideal, perfectly-isentropic compression using a means other than a ramped pressure profile. For instance, drive mechanisms that compress material by producing a series of shocks of increasing strength may approach the efficiency of a perfectly-isentropic compression. While in some circumstances that are simpler than perfectly isentropic versions, these drive mechanisms are still complex to engineer. The term “impulsive drive mechanism” may refer to a drive mechanism that compresses material impulsively, typically by the production of a single shock wave that accelerates the material and causes it to move inward. The pressure produced by an impulsive drive mechanism is typically highest at the beginning of the implosion, and decreases afterward. Impulsive drive mechanisms are limited in the amount of compression they can produce and in the efficiency of compression achieved. They may be simpler to design and use than other drive mechanisms. For instance, an impulsive drive mechanism may not require that the driver (laser, heavy ion beam, etc.) be active during the entire course of the implosion, but may instead deliver its energy over a shorter timescale, potentially short comparable to the timescale of hydrodynamic motion in the target. The term “shock” may refer to sharp discontinuities in the flow of material. These discontinuities can be induced in any hydrodynamic variables such as temperature, pressure, density, velocity, etc. The term “shock convergence” may refer to the convergence of a shock which may travel from an outer shell and to an inner shell. It is calculated as the ratio of the outer radius of an inner shell, Rc, and the inner radius of an outer shell RO. That is, SC = R o R c . For instance, given a first shell with an inner radius of 10 cm, and a second shell disposed within the first shell with a inner radius of 0.5 cm, the shock convergence is 20. Any other combination of inner and outer radiuses can be used. The term “atom” may refer to a particle of matter, composed of a nucleus of tightly-bound protons and neutrons with an electron shell. Each element has a specific number of protons. The term “neutron” may refer to a subatomic particle with no electrical charge. Their lack of a charge means that free neutrons generally have a greater free range in matter than other particles. The term “proton” may refer to a subatomic particle with a positive electrical charge. The term “electron” may refer to a subatomic particle with a negative electrical charge, exactly opposite to that of a proton and having less mass than a proton and a neutron. Atoms under ordinary conditions have the same number of electrons as protons, so that their charges cancel. The term “isotope” may refer to atoms of the same element that have the same number of protons, but a different number of neutrons. Isotopes of an element are generally identical chemically, but may have different probabilities of undergoing nuclear reactions. The term “ion” may refer to a charged particle, such as a proton or a free nucleus. The term “plasma” may refer to the so-called fourth state of matter, beyond solid, liquid, and gas. Matter is typically in a plasma state when the material has been heated enough to separate electrons from their atomic nuclei. The term “Bremsstrahlung radiation” may refer to radiation produced by interactions between electrons and ions in a plasma. One of the many processes that can cool a plasma is energy loss due to Bremsstrahlung radiation. The product “pr” may refer to the a real mass density of a material. This term may refer to a parameter that can be used to characterize fusion burn. This product is expressed in grams per centimeter squared, unless otherwise specified. The term “runaway burn” may refer to a fusion reaction that heats itself and reaches a very high temperature. Because the D-T reaction rate increases with temperature, peaking at 67 keV, a D-T plasma heated to ignition temperature may rapidly self-heat and reach extremely high temperatures, approximately 100 keV, or higher. The term “burn fraction” may refer to the percentage of fusion fuel consumed during a given reaction. The greater the burn fraction, the higher the energy output. The term “convergence” may refer to how much a shell (or material) has been compressed radially during implosion. For instance, a shell that starts with a radius of 0.1 cm, R, and is compressed to a radius of 0.01 cm, Rc, during implosion has a convergence of 10. That is, C = R R c . Nuclear fusion may refer to a type of reaction that occurs when certain atomic nuclei collide. In most of these reactions, two light nuclei combine, producing heavier nuclei and/or nuclear particles. In the process, some of the energy in the nuclear bonds holding the nuclei together is released, usually settling in the form of thermal energy (heat) in the material surrounding the reacting particles. These reactions only occur between atomic nuclei that are very energetic, such as those that have been heated to a high temperature to form a plasma. The specific temperatures vary between reactions. The reaction between deuterium and tritium, two hydrogen isotopes, is generally considered to require the lowest temperature for ignition. As other fusion reactions require higher temperatures, most nuclear fusion power concepts envision the use of D-T fuel. Two challenges in using nuclear fusion to produce power are referred to as ignition and confinement. Achieving ignition requires heating a plasma of fusion fuel until it becomes hot enough to heat itself, meaning the energy released from fusion reactions exceeds the energy lost through various processes, such as Bremsstrahlung radiation and hydrodynamic expansion. The temperature at which this occurs is known as the “ignition temperature,” which for D-T fuel can range from 2-10 keV, depending on the physical properties of the plasma. After ignition, self-heating in the fuel can cause it to reach temperatures of 100 keV or more. Once fuel has been ignited, confinement may refer to the challenge of keeping the fuel from expanding (thus cooling and ceasing to burn) long enough for it to produce the desired amount of energy: at least as much energy as was required to ignite the fuel and keep it confined—and hopefully significantly more. While heating the fuel to ignition is simply a matter of delivering energy to it, confinement is more challenging. There is no known way to confine a plasma heated to ignition temperature or beyond with a simple mechanical system. Any solid substance, such as the metal wall of a container, that comes into contact with a fusion plasma would either become instantly vaporized, would drastically cool the plasma and stop the burn itself, or both. One method of confinement uses a magnetic field to keep the fuel from expanding. This is referred to as Magnetic Confinement Fusion (MCF). Magnetic confinement has many inherent difficulties and disadvantages, and economical power generation from an MCF facility appears decades away. Another approach takes advantage of how the characteristics of fusion burn change with fuel amount and density. At ordinary densities and practicable amounts, a D-T plasma heated to ignition temperature will disassemble (expand and stop burning) before producing anywhere near the energy required to originally heat it. However, as the density of a given amount of fuel is increased, the rate at which the fuel will burn increases faster than the rate at which it will expand. This means that, if the fuel can be compressed sufficiently before heating it, the fuel's own resistance to motion (inertia) will keep it from expanding long enough to yield a significant amount of energy. This approach is referred to as Inertial Confinement Fusion (ICF). At the pressures and temperatures involved in imploding and burning ICF targets, specific material properties that one observes in everyday life (hardness, strength, room temperature thermal conductivity, etc.) may be irrelevant, and the hydrodynamic behavior of a material can depend most strongly on the material's average atomic number, atomic mass number, and solid density. As such, in discussing material requirements in ICF targets, it is convenient to discuss classes of material. For the purposes of the following discussion, the term “low-Z” will refer to materials with an atomic number of 1-5 (hydrogen to boron); the term “medium-Z” will refer to materials with an atomic number of 6-47 (carbon to silver); and the term “high-Z” will refer to materials with an atomic number greater than 48 (cadmium and above). Unless otherwise stated, the use of these terms to describe a class of material for a specific function is intended only to suggest that this class of material may be particularly advantageous for that function, and not (for instance) that a “high-Z” material could not be substituted where a “medium-Z” material is suggested, or vice-versa. Specific material choice may be important, where indicated, as different isotopes of the same element may undergo completely different nuclear reactions, and different elements may have different radiation opacities for specific frequencies. The differing solid densities of materials with similar-Z may also important for certain design criteria in some embodiments. The release of energy from an ICF target igniting and burning inside a vacuum containment chamber occurs in several discrete stages. For the purposes of the following discussion, a target that burns primarily D-T fuel may be considered, but the general principles may be applied to targets utilizing other fusion reactions. When the fuel first ignites and begins to burn, the D-T reaction immediately emits 14 MeV neutrons. These neutrons may scatter off fuel and structural material in the target, and as a result of scattering, lose energy and heat the target. However, ICF targets are generally too small to stop or scatter a significant fraction of the D-T neutrons, and so these neutrons quickly escape the target and enter the walls of the containment vessel. The neutron flux lasts only approximately as long as the fuel is burning, a few nanoseconds at most for most target designs. As this is happening, the fusion reactions in the fuel heat the target to very high temperatures, potentially hundreds of keV in the fuel itself and tens of keV or more in the target structural materials. At these temperatures, cooling of the target may occur in two ways: bremsstrahlung radiation, and adiabatic cooling as the target expands due to the extremely high temperatures and pressures within. Bremsstrahlung radiation results in the transformation of the target's thermal energy into x-rays, which are emitted from the target and immediately travel to the containment chamber wall. Adiabatic cooling results in the transformation of the target's thermal energy into kinetic energy of the expanding target debris field. A target designer may choose certain parameters of the target (size, composition, structure, etc.) in order to achieve a desired distribution of the total yield between neutrons, debris, and radiation, and/or to tailor the spectrum of radiation emitted. For instance, one may generally increase the amount of radiation emitted by a target by decreasing the amount of non-fuel mass in the target. Also, in some targets one may generally shift the spectrum of radiation emitted towards an ideal black-body distribution by surrounding the target with a high-Z metal shield. Some techniques for doing this are disclosed in co-pending application Ser. No. 13/068,681, Robert O. Hunter Jr. et. al., filed May 17, 2011, entitled “ICF Targets With Directional Output and Altered Output Spectrums” which is incorporated herein by reference. For a typical D-T target, approximately 70% to 80% of the energy produced may be released as neutrons, with x-ray radiation and debris composing the remaining approximately 20% to 30%. Each type of target output occurs on a different timescale and poses a different containment problem. The neutron output occurs first, lasts on the order of a few nanoseconds, and is relatively easy to contain because neutrons travel long distances in materials before stopping, having an interaction length of approximately 6.5 cm in graphite. Thus, even though the majority of a target's energy output may be carried by neutrons, the neutrons' energy can be distributed over a large amount of material (e.g. a graphite slab), minimizing the temperature increase experienced in any individual section of material. As a result, effective containment of an ICF target's neutron output may be considered relatively straightforward to achieve. Concurrently with or slightly after the neutron flux, the x-ray radiation emitted by the target reaches the containment chamber walls. Unlike neutrons, x-rays emitted by a typical ICF target do not deeply penetrate material. The exact distance depends on the specific material and the average energy of the x-rays (often characterized by the approximate black-body temperature). As an example, 1 keV of x-rays have an absorption length of approximately 2.4 μm in graphite. For a fixed amount of energy being contained, this may make energy in x-rays much more difficult to contain than energy in neutrons. Rather than being absorbed throughout the depth of a containment chamber wall, x-rays are absorbed just in the surface. A high x-ray fluence can melt the surface of a containment chamber wall, or even vaporize it and/or ablate it off, potentially driving a damaging shockwave through the containment structure. For example, in some conditions involving x-rays normally incident on graphite with a spectrum characterized by a blackbody temperature T, the expression φ=100*T{circumflex over ( )}(3/2) may be used to estimate the fluence at which the graphite surface will vaporize, where the temperature T is given in keV, and the fluence φ is given in J/cm2. This expression may be valid for temperatures T between approximately 0.1 keV and 20 keV. In conventional designs, this problem has two solutions: the containment chamber wall can be constructed of exotic materials and configurations that can withstand very high temperatures (or materials that have very low opacities, so as to maximize the radiation penetration length); or, the size of the containment chamber can be increased so that the wall surface area is large enough so that the radiation fluence is below the damage threshold for the wall material. As the opacity and temperature tolerance of the chamber wall are limited by the properties of the materials available, the use of a suitably large containment chamber is often the only viable solution. The third type of energy output, debris, can be challenging to contain as well. Typically, the outer regions of the expanding debris field will first impact the chamber wall at high speed, and then “stagnate” as the outward-moving debris stops against the wall. This debris impact drives a transient pressure pulse into the wall, which in some cases may be strong enough to produce a damaging shockwave in the containment structure. As the debris stagnates, its kinetic energy is transformed back into thermal energy. The heated material will simultaneously transfer energy to the wall by radiation and thermal conduction, as well as expand back into the center of the containment chamber. After a transient equilibration period, the debris may fill the containment chamber approximately uniformly, at approximately constant temperature, and exert a constant outward pressure on the containment chamber wall. This pressure will slowly decrease as the debris field cools, or is evacuated from the chamber by pumps or other means. Debris containment therefore requires at least that: (1) that the containment chamber be designed to withstand the initial impulse of the debris striking the wall, (2) that the containment chamber be able to withstand the “delayed” heating that occurs as the temperature of the stagnating debris increases, and (3) that the containment chamber be able to withstand the static pressure of the hot debris filling the chamber once it has equilibrated. Some embodiments described herein may be directed at enabling the absorption of a large amount of energy from an ICF target in the form of x-rays without requiring a physically large containment structure. For combinations of targets and containment system designs in which the radiation output of the target is the limiting factor, these embodiments may enable the use of much smaller containment vessels than previously possible. Additionally, some embodiments may enable the economic containment of targets with much higher energies than was previously possible, so long as those targets emit a sufficient fraction of their output in the form of x-ray radiation. FIG. 1 illustrates an embodiment with target 102 positioned in the center of containment chamber 100 and aligned with beam entry ports 104. Beam entry ports 104 may be connected to an external drive mechanism, such as a laser or particle beam (not shown). Containment chamber 100 may comprise an approximately 30 m long by approximately 7 m radius cylinder, with metal walls approximately 10 cm thick. The circular ends 101 of the cylinder may be spherical sections, with a radius of curvature of approximately 15 m. Target 102 may be surrounded by an open-ended, cylindrical, metal, directional-radiation-output sleeve 103. Directional-radiation-output sleeve 103 may have the same proportions as the containment chamber 100, with an inner radius of a few times that of target 102's outer radius, and may be made of a material that is highly reflective to x-ray radiation (i.e., a dense, high-opacity material). The long axis of directional-output sleeve 103 may be parallel with and may be identical to the long axis of containment chamber 100. Target 102 may be positioned inside directional output sleeve 103 by various means, such as struts 107 or other supports. Target 102 and directional output sleeve 103 can together be centered and supported in containment chamber 100 by various means, e.g. suspended from the top of chamber 100 by metal stalk 105, suspended by tensioned metal wires from above and below, etc. Lining the walls of the sides of the cylinder may be blocks 106 of neutron-absorbing material (e.g. graphite), mounted to the cylinder. Graphite blocks 106 may be mounted directly to the cylinder wall, or mounted via shock-absorbing mounts 108, such as pistons, springs, etc. As shown in FIG. 2, the two circular ends 101 of the cylinder may contain a dense matrix of circular holes 112, each approximately 10 cm in diameter. In this embodiment, each end of the cylinder may contain 3,200 holes. Each hole may be manufactured normal to the surface of cylinder end 101. Holes 112 may be configured in a pattern that achieves efficient tiling of holes on the surface of cylinder end 101, so that the actual solid surface area of cylinder end 101 is no larger than necessary to support holes 112. Each hole 112 may be connected to a corresponding cylindrical, metal radiation-heat-exchanging pipe 114. Thus, there may be approximately 6,400 pipes in total, with approximately 3,200 on each end. Each pipe 114 may have an interior radius equivalent to that of the corresponding hole 112, and a length of approximately 15 m. The long axis of each heat-exchanging pipe 114 may be normal to the surface of cylinder end 101. As illustrated in detail in FIG. 3, and surrounding each heat-exchanging pipe 114, may be a cooling jacket, comprised of an outer metal sheath 116 and a coolant region 118. Coolant regions 118 may join multiple coolant manifolds 120 along the length of heat-exchanging pipes 114. Gas injection nozzles 122 may also be distributed throughout the length of each heat-exchanger pipe 114. These nozzles may penetrate outer metal sheath 116 and coolant region 118. In operation of some embodiments, containment chamber 100 may be evacuated to a level of vacuum suitable for propagation of the external drive mechanism beams. This vacuum may be achieved by any suitable means, such as vacuum pumps connected to ports in the containment chamber walls (not shown). Before the external drive mechanism fires, injection nozzles 122 may inject a gas (in this embodiment, nitrogen) into the heat-exchanging pipes 114, so as to achieve a minimum density of gas throughout the length of pipes 114 of approximately 7×10−6 g/cc before the drive mechanism fires. After the gas injection, the external drive mechanism may fire immediately, before the gas injected into pipes 114 significantly propagates into the main volume of containment chamber 100, igniting target 102. Target 102 may burn, initially releasing neutrons which may be absorbed in the neutron-absorbing blocks 106 in the near chamber walls. Subsequently, the target may begin to expand and emit x-ray radiation. However, the directional radiation output sleeve 103 may be highly reflective to radiation, and may serve to direct the x-ray radiation produced by target 102 out the ends of sleeve 103 and towards cylinder ends 101 and the multitude of heat-exchanging pipes 114. Because directional output sleeve 103 may be built in the same proportions (length and width) as containment chamber 100, directional output sleeve 103 may shield the sides of containment chamber 100 from the prompt radiation output of target 102. Note that, as target 102 expands, cools, and ceases radiating, directional-radiation-output sleeve 103 may simply become part of the target debris field. The x-ray radiation emitted by target 102 may be absorbed in the gas that was injected into heat-exchanging pipes 114 before the shot. This absorption may occur over a period of a few nanoseconds, and may occur primarily by ionization of the gas. The gas may be heated to a high temperature, resulting in a peak pressure of approximately 35 bar in the pipes. Subsequently, the hot gas may conduct energy into the walls of heat-exchanging pipes 114 via thermal conduction and re-radiation. This may occur over a much slower timescale than the radiation absorption, which may be approximately 0.2 milliseconds in some embodiments. Simultaneously, the gas may expand outward into the main volume of containment chamber 100, ultimately mixing and equilibrating with the target debris field. As this debris field impacts the neutron-absorbing blocks 106, shock-absorbing mounts 108 may protect the structural wall of chamber 100 from any shock produced by the debris impact. Absorption of the prompt x-ray radiation in the gas may be advantageous because the gas cannot be damaged by high fluences as a mechanical wall might be. By absorbing the energy and then conducting and re-radiating it over a long period, the gas shields the heat-exchanging pipe walls from the high-intensity prompt radiation field. The use of a multitude of pipes allows for an increased surface area for absorption of heat from the gas, and makes containing the pressure of the heated gas feasible, because the pipes may be economically constructed with a low aspect ratio and high pressure capacity. As the gas conducts and radiates energy into heat-exchanging pipes 114, this energy may be carried away by coolant (such as water) flowing between pipes 114 and metal sheath 116 in coolant region 118. It is estimated that this configuration will enable the containment of approximately 65 GJ of 1 keV black-body radiation. In comparison, containing the same amount of energy in a conventional chamber configuration may require a sphere with a radius as large as 72 m. The embodiment described above is merely an example, intended to illustrate the basic operating principles of embodiments of this invention in the context of a specific example. Embodiments with varying dimensions, materials and configurations compared to this example embodiment are possible. Some possible variations and related considerations are discussed below. In this example embodiment, the length of the main section of containment chamber 100 may be chosen so that 93% of the neutrons emitted by target 102 may be absorbed in neutron-absorbing blocks 106. These blocks 106 may protect the structural material of containment chamber 100 from receiving a neutron fluence that may cause structural damage over time. The unprotected structural materials at the ends 101 of containment chamber 100 and the heat-exchanging pipes 114 may experience a neutron fluence of approximately 2.45×10{circumflex over ( )}15 neutrons/cm2 per shot. This neutron fluence can be increased or decreased at will by adjusting the length of containment chamber 100. Similarly, the radius of containment chamber 100 can be increased or decreased to accommodate requirements of the neutron-absorbing blocks 106, or the shock-absorbing mounts 108. Note that any wall configuration can be used on the sides of containment chamber 100, and that the configuration involving blocks 106 and mounts 108 illustrated above is merely exemplary in nature. Alternate wall configurations may provide advantages for neutron and/or debris containment. Note that any change in the proportions of containment chamber 100 may require a corresponding change in the proportions of directional-radiation-output sleeve 103 in order for it to effectively shield the converter walls from target 102's prompt radiation output and direct the radiation output towards the heat-exchanging pipes 114. The material and thickness of directional radiation output sleeve 103 may be varied. For some wall configurations, minimizing the total mass of target debris may be beneficial, and thus one may wish to use a sleeve 103 that is no thicker than the minimum required to protect the near wall from a damaging radiation fluence. In general, a dense, high-Z material may be advantageous for the sleeve, e.g., lead or tungsten. A radiation hydrodynamics simulation or experiment may be used to determine the thickness and material choice of sleeve 103 that best meet certain containment goals. As an alternative to the use of the sleeve, target 102 may be constructed in such a manner as to produce directional output of radiation by nature of its design and inherent properties of its burn and disassembly. Certain techniques for accomplishing this are discussed in copending application Ser. No. 13/068,681, Robert O. Hunter Jr. et. al., filed May 17, 2011, entitled “ICF Targets With Directional Output and Altered Output Spectrums” which is incorporated herein by reference. The number of pipes may be chosen to balance the expense and complexity of a large number of pipes with the need for a sufficiently large pipe surface area to keep the delayed radiation fluence to manageable levels. Additionally, the use of a large number of pipes may enable the use of pipes with a smaller wall thickness to contain the same gas pressures. The length of the pipes can be chosen in the same fashion, noting that, for a constant amount of energy that must be contained, a longer pipe provides a greater surface area, a reduced gas pressure, and may allow the use of a reduced gas density, while a shorter pipe may allow for a reduction in size of the entire system. While nitrogen may be used in some embodiments, other gases can be used as well. A gas may be chosen in conjunction with the design of the gas injection system so that the density and opacity of the gas is such that the prompt radiation emitted from the target into pipes 114 is sufficiently absorbed in the gas to limit the prompt radiation fluence on the walls of the pipe to acceptable levels. In some embodiments, the number density of gas molecules may also be considered in order to ensure that there are a sufficient number of gas molecules to absorb most of the photons emitted by the target. The geometry of the pipes 114 may also vary from the configuration discussed for some embodiments. The pipes may be of constant radius over their entire length, or they may be manufactured with increasing or decreasing radius. In particular, a pipe configuration with an expanding radius chosen such that the pipe wall may always be parallel to the radiation emitted by target 102 may be advantageous for some embodiments. In such a configuration, the only surface of the pipe wall that may be directly exposed to the prompt radiation output of target 102 will be the wall at the end of the pipe furthest from target 102. Embodiments may also involve “hybrid” pipe configurations, in which the pipe radius is variable over certain segments of the pipe, and constant in other segments. The pipes need not be cylindrical. Rectangular pipes, or pipes with oval, triangular or other cross-sections may be used as well, and may provide advantages in certain embodiments (e.g. ease of manufacturing, ease of maintenance, efficient packing, etc.). In some embodiments, it may be desirable to minimize the surface area of the structural material at the ends 101 of containment chamber 100 between the holes 112, as this material will be directly subject to the prompt radiation flux from target 102. Pipes with hexagonal cross-section and using hexagonal holes 112 may be advantageous in this regard, as they can be efficiently packed or “tiled” together without any wasted space between the holes 112. FIG. 4 illustrates a cylinder end 101 with hexagonal holes 112. Other pipe cross-sections that may efficiently tile can be used as well. The pipes themselves can be constructed with a segmented or smoothly varying cross-section so that a pipe may be, for example, hexagonal in a segment closest to the containment chamber, yet still have a circular cross-section in the outer segment. In some embodiments, the length and cross-section of the pipes, and/or the composition and density of the gas injected into them, may vary between pipes. These parameters may affect the amount of energy that each pipe can contain. By varying these parameters between pipes, one can create configurations that contain targets with non-uniform radiation output profiles, i.e. targets whose radiation output is not uniformly distributed over all pipes. In some embodiments, an interlock system can be used to prevent the external drive mechanism from igniting the target if the gas injection system fails. This may be desirable to prevent damage to a pipes that might result from a target being fired without gas present in the pipe to absorb the prompt radiation output. The interlock system may include sensors along the length of each pipe that verify a minimum gas density has been achieved, e.g. optical or acoustic sensors. In some embodiments, neutron-absorbing blocks 106 may contain cooling channels, tritium-breeding materials, or other wall configurations known in the art. While some embodiments envision the use of a target that emits radiation outputs in two lobes in opposite directions along the same axis, some embodiments may contain targets that produce their radiation output in different patterns. For example, “aneutronic” targets utilizing fuels that produce small or negligible quantities of high-energy neutrons may naturally emit a larger fraction of their total yield as x-ray radiation, or may be specifically designed to emit as much yield in x-rays as possible. For containment of these targets, in which neutron and debris containment requirements may be negligible and x-ray containment may be the dominant restriction, a spherically symmetric containment chamber design such as that illustrated in the cross-section shown in FIG. 5 may be used. In this illustration, target 102 may be mounted in the center of spherical containment chamber 500, e.g. mounted by hanging from stalk 504 and aligned with beam entrance ports 104. Spherical containment chamber 500 is surrounded on all sides by gas containment pipes 114. The wall 502 of containment chamber 500 may include a series of holes connected to pipes 114, as in the preceding embodiments. Pipes 114 may utilize a cooling mechanism similar to that described above, including coolant manifolds 120, or any other means of cooling. This class of embodiments may be intended to contain targets that emit most of their radiation in isotropic, non-directional radiation, and as such do not utilize the directional-radiation-output sleeve. Using the techniques and considerations discussed in this application, one may construct chambers to contain the x-ray output of targets that exhibit other symmetries or spatial distributions than those specifically mentioned here. The set of embodiments discussed in this application is intended to be exemplary only, and not an exhaustive list of all possible variants of the invention. Certain features discussed as part of separate embodiments may be combined into a single embodiment. Additionally, embodiments may make use of various features known in the art but not specified explicitly in this application. Embodiments can be scaled-up and scaled-down in size, and relative proportions of components within embodiments can be changed as well. The range of values of any parameter (e.g. size, thickness, density, mass, etc.) of any component of an embodiment of this invention or of entire embodiments in this application should not be construed as a limit on the maximum or minimum value of that parameter for other embodiments, unless specifically described as such.
claims
1. A device for shielding regions of a baby from exposure to unwanted radiation during the use of an x-ray or other medical diagnostic machine or equipment, said device comprising:an upper portion sized and configured to shield an upper extremities region of said baby;a lower portion sized and configured to shield a groin region and a lower extremities region of said baby; andat least one attachment member removably engagable to both said upper and lower portions;wherein said at least one attachment member removably attaches said upper portion to said lower portion at a predetermined spaced-apart distance to expose an image receptor region generally located at a chest and mid-section region of said baby when said device is placed on said baby. 2. The device in accordance with claim 1, wherein said upper and lower portions are comprised of two opposite end regions constructed of a radiation absorbing material and connected by a middle region constructed of a non-radiation absorbing material. 3. The device in accordance with claim 2, wherein said opposite end regions of said upper and lower portions are constructed of a lead-based material. 4. The device in accordance with claim 1, wherein a top section of said lower portion includes a superior border capable of being folded downwardly to expose a greater area of said mid-section of said baby for certain x-ray exams. 5. The device in accordance with claim 4, wherein opposite ends of the superior border are configured to extend around said mid-section of said baby and removably engage each other to secure the lower portion to said baby. 6. The device in accordance with claim 1, wherein said at least one attachment member is comprised of at least one strip of hook-and-loop material. 7. The device in accordance with claim 6, wherein the at least one attachment member is comprised of two strips of hook-and-loop material that generally extend parallel to each other to removably engage a middle region of the upper and lower portions, respectively. 8. The apparatus in accordance with claim 1, wherein said upper and lower portions are comprised of a sleeve to completely enclose said upper and lower extremities region of said baby. 9. A radiation shielding apparatus adapted to shield regions of an individual from exposure to unwanted radiation during the use of an x-ray or other medical diagnostic machine or equipment, said apparatus comprising:an upper portion sized to generally cover a portion of an upper extremities region of said individual;a lower portion sized to generally cover a portion of a lower extremities region of said individual; andan attachment member removably attaches said upper portion to said lower portion at a predetermined spaced-apart distance to expose an area generally located at a mid-section of said individual. 10. The apparatus in accordance with claim 9, wherein said upper and lower portions are comprised of a sleeve to completely enclose said upper and lower extremities region of said individual. 11. The device in accordance with claim 9, wherein said upper and lower portions are comprised of two opposite end regions constructed of a radiation absorbing material and connected by a middle region constructed of a non-radiation absorbing material. 12. The device in accordance with claim 9, wherein a top section of said lower portion includes a superior border capable of being folded downwardly to expose a greater area of said mid-section of said individual for certain x-ray exams. 13. The device in accordance with claim 9, wherein the attachment member is comprised of two strips of hook-and-loop material that generally extend parallel to each other to removably engage a middle region of the upper and lower portions, respectively. 14. The apparatus in accordance with claim 9, wherein said individual is selected from the group consisting of infants, children and individuals between the age of 0 to 18 months old. 15. The apparatus in accordance with claim 9, wherein said individual is selected from the group consisting of infants, children and individuals within the weight range of 0 to 50 pounds. 16. The apparatus in accordance with claim 9, wherein said individual is an animal. 17. The apparatus in accordance with claim 9, wherein said individual is mentally or physically challenged. 18. A device for shielding at least a region of a creature from exposure to unwanted radiation during the use of an x-ray or other medical diagnostic machine or equipment, said device comprising:one of a first portion sized and configured to shield an upper extremities region of said creature and a second portion sized and configured to shield a lower extremities region of said creature;wherein said first and second portions are comprised of two opposite end regions constructed of a radiation absorbing material and connected by a middle region constructed of a non-radiation absorbing material.
abstract
A passive natural circulation cooling system according to the present invention can comprise: a passive condensation tank formed to accommodate cooling water; and a condensate water recirculation device provided at the inner part or upper part of the passive condensation tank, and condensing the cooling water such that the cooling water circulates inside the passive condensation tank. The condense water recirculation device can include: a duct extending upwards from the upper part of the passive condensation tank; and a plurality of partition plates provided inside the passive condensation tank or the duct.
claims
1. An optical substance manipulator capable of manipulating microscopic particles dispersed in a flowing fluid by means of light pressure, characterized by comprising an optical system that forms two linear light-collective areas simultaneously with respect to a fluid that flows on a subject surface, and further comprising, in optical paths forming the respective linear light-collective areas, means adapted to adjust directions of the linear light-collective areas on the subject surface, means adapted to adjust positions of the linear light-collective areas, said optical system compriseslight splitter means adapted to split light coming out of one light source into two beams, each beam having a separate optical path,means adapted to independently adjust each beam for controlling the direction of each of the linear light-collective areas,means adapted to independently adjust each beam for controlling the position of each of the linear light-collective areas,light synthesis means adapted to synthesize the light split into two beams, andan object lens adapted to collect the synthesized light to form the two linear light-collective areas simultaneously on a subject surface, whereineach linear light-collective area is a light beam having a linear profile as viewed on the subject surface. 2. The optical substance manipulator according to claim 1, characterized in that said means adapted to adjust the directions of the linear light-collective areas is a cylindrical lens or mirror adjustable in terms of rotation about its optical axis. 3. The optical substance manipulator according to claim 2, characterized in that the means adapted to adjust the positions of the linear light-collective areas comprises an optical element adjustable in terms of position along the direction of propagation of the beam and angle.
claims
1. A movable device for measuring a physical quantity of nuclear material contained in a shielded cell, where the movable device can be brought up against the shielded cell and can be retracted therefrom, and the device is configured to carry out a measurement in a position against the shielded cell, the device comprising:a carriage;a support placed on the carriage;a shielded container comprising a body and a door, whereina chamber, which is an interior space of the shielded container, is delimited within the body of the shielded container,the shielded container is arranged on the support, andthe shielded container includes an opening configured to be aligned with an opening in a wall of the shielded cell to provide the chamber access to the nuclear material stored within the shielded cell;a measurement casing surrounding the shielded container; andone or more measurement sensors fastened to an external surface of the measurement casing, whereinsaid one or more measurement sensors are configured to measure a physical quantity of the nuclear material stored inside the shielded container when the door of the chamber of the shielded container is closed in such a manner that the shielded container's chamber is closed off from the shielded cell. 2. A device according to claim 1, wherein:the carriage, the support, and the shielded container are configured to be separated to enable dismantling and an assembly of the movable device to allow its transport to another shielded cell and its use with the other shielded cell. 3. A device according to claim 1, wherein:the support comprises a housing accommodating one or more of a neutron emission module and an electromagnetic radiation emission module. 4. A device according claim 1, wherein:the measurement casing and the support form a caisson surrounding on five sides the shielded container, a sixth side being open and enabling communication of the chamber with the shielded cell. 5. A device according to claim 1, wherein:the measurement casing comprises two side walls, a bottom configured to be placed opposite the shielded cell in relation to the shielded container, and a roof, the bottom comprising an opening to enable connection of the shielded container to a poker. 6. A device according to claim 5, wherein:a plurality of measurement sensors are fastened to each lateral wall on the external surface of the casing, anda plurality of measurement sensors are fastened on the roof on the external surface of the casing. 7. A device according to claim 6, wherein:the plurality of measurement sensors each have an axis, wherein the axis of each measurement sensor has a direction corresponding to the wall of the measurement casing on which the measurement sensor is fastened,the measurement sensors, of the plurality of measurement sensors, fastened to the same wall of the measurement casing are fastened such that their axes are parallel, andthe measurement sensors, of the plurality of measurement sensors, are fastened such that the axes of the measurement sensors on one wall are orthogonal to the axes of the measurement sensors on at least one other wall. 8. A device according to claim 6, further comprising:an emission module housed in the support, whereinthe plurality of measurement sensors are centered on a target of the emission module. 9. A device according to claim 7, further comprising:an emission module housed in the support, whereinthe plurality of measurement sensors are centered on a target of the emission module. 10. A device according to claim 1, wherein the plurality of measurement sensors comprises four or seven sensors. 11. A device according to claim 1, wherein:the measurement casing and/or the support are made of graphite. 12. A device according to claim 5, wherein:the measurement casing and/or the support are made of graphite. 13. A device according to claim 11, wherein:the graphite is UCAR type purified graphite, with reference CS 49 H. 14. A device according to claim 11, wherein:the graphite is covered with an anodized aluminium sheet. 15. A device according to claim 1, further comprising:a radiological protection covering an assembly formed by the carriage, the shielded container, and the measurement casing so as to segregate the assembly from an exterior environment. 16. A device according to claim 15, wherein:the support comprises a housing accommodating one or more of a neutron emission module and an electromagnetic radiation emission module, andthe radiological protection comprisestwo side walls,a bottom,a roof,a first opening formed in the bottom of the radiological protection for passage of cables for supplying and controlling the one or more emission modules and the one or more measurement sensors, wherein the first opening is blanked off by a plug, anda second opening for the connection of the poker, the second opening being blanked off by a plug. 17. A device according to claim 16, further comprising:means for guiding the radiological protection in relation to the assembly while the radiological protection is being put in place around the assembly. 18. A method of assembling a movable device for measuring a physical quantity of nuclear material contained in a shielded cell, the method comprising:putting in place a carriage external to the shielded cell, wherein the carriage is configured such that the device may be moved against the shielded cell and retracted therefrom when the device measures the physical quantity of the nuclear material;putting in place a support on the carriage;putting in place a shielded container on the support, whereinthe shielded container includes a body and a door,a chamber, which is an interior space of the shielded container, is delimited within the body of the shielded container, andthe shielded container includes an opening configured to be aligned with an opening in a wall of the shielded cell when the device is moved, via the carriage, to a position against the shielded cell, thereby giving the chamber access to the nuclear material stored within the shielded cell;putting in place a measurement casing surrounding the shielded container, the measurement casing being configured to allow the transfer of the nuclear material between an inside of the shielded cell and the chamber of the shielded container; andputting in place the one or more measurement sensors on an external surface of the measurement casing, wherein the one or more measurement sensors are configured to measure the physical quantity of the nuclear material stored inside the shielded container when the chamber of the shielded container is closed by the door in such a manner that the shielded container's chamber is closed off from the shielded cell. 19. A method of assembling according to claim 18, wherein:the support of the device includes a housing accommodating one or more of a neutron emission module and an electromagnetic radiation emission module, andthe method further comprises putting in place the one or more emission modules in the support. 20. A method according to claim 19, further comprising:putting in place a radiological protection around an assembly formed by the carriage, the shielded container, and the measurement casing so as to segregate the assembly from an exterior environment. 21. A measurement method employing a movable device for measuring a physical quantity of nuclear material contained in a shielded cell,arranging a carriage external to the shielded cell, wherein the carriage is configured such that the device may be moved against the shielded cell and retracted therefrom when the device measures the physical quantity of the nuclear material;arranging a shielded container on a support on the carriage, wherein a chamber is delimited within a body of the shielded container;opening a door of the shielded container;opening an access door of the shielded cell;bringing the shielded container up against the shielded cell by moving the carriage;aligning an opening corresponding to the chamber of the shielded container with an opening corresponding to the access door of the shielded cell;putting in place the nuclear material in the shielded container;closing the access door of the shielded cell;closing the door of the shielded container; andmeasuring the physical quantity of the nuclear material, wherein the measuring is performed by one or more measurement sensors fastened to an external surface of a measurement casing covering the shielded container, said one or more measurement sensors being configured to measure the physical quantity of the nuclear material stored inside the transfer container when the chamber of the shielded container is closed by the door in such a manner that the shielded container is isolated from the shielded cell. 22. A method according to claim 21, wherein:the support of the measuring device includes a housing accommodating one or more of a neutron emission module and an electromagnetic radiation module, andthe measurement method further comprises emission-measurement cycles repeated at a frequency of the order of several tens of hertz.
description
While the present invention is described below with reference to a syringe shield, a practitioner in the art will recognize the principles of the present invention are applicable elsewhere. FIG. 1 illustrates the cross-section of a double-ended syringe shield apparatus 10. The double-ended syringe shield is used to transport a hypodermic syringe 25 with a radioactive pharmaceutical 26 (FIG. 8). The first body 11 releasably communicates with the second body 12 and the first body 11 releasably communicates with the third body 13. The third body 13 releasably communicates with the nut 15. The hypodermic syringe and a one-piece insert are positioned inside the apparatus 10 as shown in FIG. 8. The first body 11 has a first hollow core 23a that is formed all the way through the first body 11 from the first body first edge 11f to the to the first body second edge 11e. The diameter of the first hollow core 23a that is formed by the first body inner surface 11b is a variety of sizes depending on the size of the hypodermic syringe and insert to be used. The first body 11 shape is defined by the first body outer surface 11a and is typically machined. However, as is known by the practitioner in the art, the machining of the first body inner surface 11b and the first body outer surface 11a is substitutable for casting the first body 11. Furthermore, the first body first edge 11f and the first body second edge 11e are typically formed in parallel planes. The first connection means 34 located at the first body first edge 11f is usually a first male thread 11d. It is formed starting at the first body first edge 11f with a diameter that is smaller than the first outer surface 11a and larger than the diameter of the first inner surface 11b. Typically, the first male thread 11d diameter is formed in the range of about 70% to 85% of the diameter of the first outer surface 11a. It is machined back from the first body first edge 11f to the first body fourth edge 11h for a depth of about 15% of the overall length of the first body 11. The first male thread 11d is usually a unified fine thread or a unified coarse thread. The second connection means 33 at the first body second edge 11e that is usually a second male thread 11c. It is formed starting at the first body second edge 11e with a diameter that is smaller than the first outer surface 11a and larger than the diameter of the first inner surface 11b. Typically, the second male thread 11c diameter is formed in the range of about 70% to 85% of the diameter of the first outer surface 11a. It is machined back from the first body second edge 11e to the first body third edge 11g for a depth of about 15% of the overall length of the first body 11. The second male thread 11c is typically a unified fine thread or a unified coarse thread. In other applications, the male thread connections are substitutable for female threads, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. The first outer surface 11a is cylindrical in shape but is readily substitutable for any circular or polyhedron shape. Finally, the wall thickness between the first outer diameter 11a and the first inner diameter 11b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe. The second body 12 has a second hollow core 23b that is formed by starting from the second body third edge 12e to a depth that is about 75% to 85% of the length of the second body 12. The diameter of the second hollow core 23b that forms the second inner surface 12b is a variety of sizes depending on the size of the hypodermic syringe and insert to be positioned in the second hollow core 23b. The second hollow core 23b is formed before the formation of the third inner surface 12c and the first female thread 12f. The second body 12 shape is defined by the second body tapered first outer surface 12a and a second body second outer surface 12g, wherein both are typically formed by machining and cylindrically shaped. Typically, the second body second outer surface 12g is machined. However, as is known by the practitioner in the art, machining is substitutable for casting the second body 12. Alternately, the second body second outer surface 12g can have the same tapered plane as the second body tapered first outer surface 12a. The second body second outer surface 12g at the second body third edge 12e is usually flush with the first body first outer surface 11a. Furthermore, the second body first edge 12h, the second body second edge 12d and the second body third edge 12e are all typically formed in parallel planes. The cylindrical shape of the second body 12 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the second outer surface 12g, the second body tapered first outer surface 12a and the second inner surface 12b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The second connection means 33 at the second body third edge 12e is usually a first female thread 12f that is formed by machining either a unified fine thread or a unified coarse thread. The first female thread 12f is formed starting at the second body third edge 12e with a diameter that is smaller than the second body second outer surface 12g and larger than the diameter of the second inner surface 12b. Typically, the first female thread 12f diameter is formed in the range of about 70% to 85% of the diameter of the second body tapered first outer surface 12a or the second body second outer surface 12g. The first female thread 12f is machined back from the second body third edge 12e to the second body first edge 12h for a depth that is about 10% to 15% the distance of the overall length of the second body 12. Alternately, the first female thread 12f is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. There is a second body annular ridge 23e that is formed to provide a means for the insert (FIG. 3) to be coaxially secured to the third inner surface 12c. The diameter of the third inner surface 12c depends upon the diameter of the insert second outer surface 21f (FIG. 3). Typically, the third inner surface 12c is the size to fit an insert that accepts 3 cc or 5 cc hypodermic syringes. The third body 13 has a third hollow core 23c that is formed by starting from the third body third edge 13e to a depth that is about 75% to 85% the length of the third body 13. The diameter of the third hollow core 23c that is formed at the fourth inner surface 13b is a variety of sizes depending upon the size of the insert and hypodermic syringe to be used. The cylindrical shape of the third body 13 is defined by the third body tapered second outer surface 13a and the third body first outer surface 13g, wherein both are typically machined. However, machining the fourth inner surface 13b, the third body tapered second outer surface 13a and the third body first outer surface 13g is substitutable for casting the entire third body 13. Alternately, the third body first outer surface 13g can have the same tapered plane as the third body tapered second outer surface 13a. The third body first outer surface 13g that is formed at the third body third edge 13e is flush with the first outer surface 11a. Furthermore, the third body first edge 13j, the third body second edge 13i and the third body third edge 13e are all typically formed in parallel planes. The cylindrical shape of the third body 13 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the third body first outer surface 13g, the third body tapered second outer surface 13a and the fourth inner surface 13b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The first connection means 34 at the third body third edge 13e is usually a second female thread 13h that is formed by machining either a unified fine thread or a unified coarse thread. The second female thread 13h is formed starting at the third body third edge 13e with a diameter that is smaller than the third body first outer surface 13g and smaller than the second tapered outer surface 13a. The second female thread 13h is formed at a diameter that is larger than the fourth inner surface 13b. Typically, the second female thread 13h diameter is formed in the range of about 70% to 85% of the diameter of the third body first outer surface 13g or the third body tapered second surface 13a. The second female thread 13h is machined back from the third body third edge 13e to the third body first edge 13j for a depth that is about 15% to 25% the length of the third body 13. As is known in the art, the second female thread 13h is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement. The third connection means 35 that is located at the third body second edge 13i is a releasable wrap 15c that releasably secures the third body 13 to the nut 15. Typically, the releasable wrap 15s is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. For example, the first telescoping rod 16h and second telescoping rod 16g can be sized to form a snug but releasable fit in the first hollow stem 13l and second hollow stem 13k, allowing the nut 15 to be secured to the third body 13 by friction. The first hollow stem 13l and the second hollow stem 13k that are located in the third body 13 are both formed by either machining or drilling. The third hollow core 23c fixedly communicates with the two hollow stems. The two hollow stems are open on the third body second edge 13i and the third hollow core 23c. The first hollow stem 13l and the second hollow stem 13k are symmetrically positioned around the center of the third body second edge 13i. The first hollow stem 13l is formed large enough to allow the positioning of the first telescoping rod 16h (FIG. 2). Furthermore, the second hollow stem 13k is formed large enough to allow the positioning of the second telescoping rod 16g (FIG. 2). Typically the first hollow stem 13l and the second hollow stem 13k are drilled. However, drilling is substitutable for casting the hollow stems into the third body. The nut 15 has a nut outer surface 15a that is radially formed for a flush-fit with the third body tapered second outer surface 13a. The nut outer edge 15f, the nut inner edge 15h and the third body second edge 13i are all formed in parallel planes. This allows the nut 15 to fit snugly against the third body 13 when the third connection means 35 is used. Finally, the thickness of material required between the nut outer edge 15f and the nut inner edge 15h is enough to adequately prevent radiation from leaking through the nut 15 in any direction. The first hollow slot 12j and the second hollow slot 12k that are located in the second body 12 are both formed by either machining, casting or drilling. The second hollow core 23b fixedly communicates with the two hollow slots. The two hollow slots are open on the second body second edge 12d and the second hollow core 23b. The first hollow slot 12j and the second hollow slot 12k are symmetrically positioned around the center of the second body second edge 12d. The first hollow slot 12j is formed large enough to allow the positioning of the first arm of the internal sleeve 37b (FIG. 13). Furthermore, the second hollow slot 12k is formed large enough to allow the positioning of the second arm of the internal sleeve 37c. Typically the first hollow slot 12j and the second hollow slot 12k are machined. Preferably, the actuator cap 36 outer surface is radially formed for a flush-fit with the second body second 12e. The actuator cap 36 fits snugly against the second body 12. Finally, the thickness of the actuator cap 36 is enough to adequately prevent radiation from leaking through the actuator cap 36 in any direction. The double-ended syringe shield apparatus 10, as illustrated in FIG. 1, shows the nut 15 communicating with the third body 13 by the third connection means 35. The third body 13 communicates with the first body 11 by the first connection means 34. The first body 11 communicates with the second body 12 by the second connecting means 33. The first body first edge 11f, the first body second edge 11e, the first body third edge 11g, the first body fourth edge 11h, the second body first edge 12h, the second body third edge 12e, the third body third edge 13e and the third body first edge 13j are formed in parallel planes. The forming in parallel planes allows the first connection means 34 to be a snug fit between the first body 11 and the third body 13, when they are securely connected by axially threading the first body 11 and third body 13. The forming in parallel planes allows the second connection means 33 to be a snug fit between the first body 11 and the second body 12, when they are securely connected by axially threading the first body 11 and second body 12. FIG. 2 illustrates the cross-section of the dose applicator 18a used in the double-ended syringe shield apparatus 10 in the preferred embodiment of the invention. The dose applicator 18a communicates with and is releasably secured to the third body 13 by using a releasable wrap 15c. The dose applicator 18a is used, for example, when it is desired to load the hypodermic syringe 25 (FIG. 7) into a well counter allowing radiation shielding. The dose applicator 18a consists of a nut 15, a first telescoping rod 16h, a second telescoping rod 16g and an insert holder 16i. The first telescoping rod 16h is positioned into the first hollow stem 13l and communicates with the nut 15. The second telescoping rod 16g is positioned into the second hollow stem 13k and communicates with the nut 15. The first telescoping rod 16h further consists of a first telescoping rod first section 16l that is larger in diameter and slides around a first telescoping rod second section 16m that is larger in diameter and slides around a first telescoping rod third section 16n. Furthermore the second telescoping rod 16g consists of a second telescoping rod first section 16o that is larger in diameter and slides around a second telescoping rod second section 16p that is larger in diameter and slides around a second telescoping rod third section 16q. The insert holder 16i securely fastens to the first telescoping rod first section outer end 16r and the second telescoping rod first section outer end 16s. The nut 15 securely fastens to the first telescoping rod third section outer end 16t at the nut inner edge 15h. The nut 15 securely fastens to the second telescoping rod third section outer end 16u at the nut inner edge 15h. Finally, the first telescoping rod 16h and the second telescoping rod 16g are symmetrically positioned inside the third hollow core, wherein the insert 20 (FIG. 3) can be positioned between them and be releasably secured by the insert holder 16i. The first hollow stem 13l is sized providing a first gap 19a between the first hollow stem circumferential surface 16j and the first telescoping rod first section 16l. The first gap 19a is large enough to allow the first telescoping rod 16h to completely extend or retract inside the first hollow stem 13l. The second hollow stem 13k is sized providing a second gap 19b between the second hollow stem circumferential surface 16k and the second telescoping rod first section 16o. The second gap 19b is large enough to allow the second telescoping rod 16g to completely extend or retract inside the second hollow stem 13k. The third connection means 35 comprises the nut 15 that releasably communicates with the third body 13 and the releasable wrap 15c. Typically, the releasable wrap 15c is a fabric hook or loop fastener but the fabric can be substitutable for any connection that is easy to use. The nut outer edge 15f, the nut inner edge 15h and the third body second edge 13i are all formed in parallel planes. The edges formed in parallel planes allow the nut 15 and the third body 13 to releasably communicate with a snug fit when the dose applicator 18a is retracted. The releasable wrap 15c is positioned around the third body tapered second outer surface 13a and the nut outer surface 15a to releasably secure the nut 15 to the third body 13. The nut outer surface 15a and the third body tapered second outer surface 13a are formed by machining to produce a flush-fit when the nut inner edge 15h and the third body second edge 13i communicate with each other. Alternately, the nut can be cast and its edges machined to produce a flush-fit when it communicates with the third body 13. The nut outer surface 15a is usually formed at the same diameter as the diameter of the third body tapered second outer surface 13a at the third body second edge 13i. Those skilled in the art will recognize that other means of extending the hypodermic syringe 25 from the first body 11 and third body 13 are within the scope of the present invention. For example, a chain or cable can be substituted for the telescoping rods 16h and 16g to lower the hypodermic syringe 25 into a well counter and then to raise the hypodermic syringe into the first body 11 and third body 13. The first telescoping rod 16h and the second telescoping rod 16g are substitutable for one telescoping rod. The single telescoping rod is circumferentially mountable on the holder inside edge 16w as long as the insert 20 can be positioned and freely movable inside the third hollow core 23c, the second hollow core 23b and the first hollow core 23a. FIG. 3 is a cross-section illustration of the one piece insert 20. The insert 20 consists of a first section 21 and a cover 30. Alternately, the insert 20 may consist of a first and second section with a cover. The second section 22 is removable from the first section 21 along a perforation 21b between the first and second section (FIG. 9). The first section inner surface 21d has a diameter large enough to allow a 3 cc or 5 cc hypodermic syringe to be placed inside the insert 20. Alternately, the first section first inner surface 21d diameter is substitutable for various sizes allowing different sizes of the hypodermic syringe to be placed inside 21i the insert 20. The first section first outer surface diameter 21a is small enough to fit between the first telescoping rod 16h (FIG. 2) and second telescoping rod 16g (FIG. 2). The first section first end 21g is usually rounded to the same size as the radius of the first section inner surface 21d so that the insert 20 will easily fit into the insert holder 16i (FIG. 7) when, for example, the hypodermic syringe 25 is being transported to a well counter 28. The diameter of the first section second outer surface 21f is larger than the diameter of the first section first outer surface 21a. The transition from the first section first outer surface 21a diameter to the first section second outer surface 21f diameter is in the shape of a tapered cylinder or a cone. This shape allows the insert 20 to be positioned and releasably secured by the insert holder 16i (FIG. 7). Alternately, the cone shape is substitutable for any polyhedron shape. The first section second end annular lip 21h protrudes slightly from the first section second outer surface 21f so that the cover 30 is secured to the first section second end 22d by a snap fit. Also, the first section inner annular lip 21e allows the hypodermic syringe 25 (FIG. 7) to snugly fit into the insert 20. The first section inner annular lip 21e is integrally a part of the first section 21 where the first section first outer surface 21a begins transitioning to the first section second outer surface 21f. Finally, the first section 21 is typically a clear molded plastic. However, any material is suitable as long as it is can be seen through after being molded. The cover 30 is defined by the cover outer end 30a, the cover inner end 30b, the cover first outer surface 30d, the cover tapered outer surface 30e and the cover second outer surface 30h. The cover 30 is further defined by the cover annular lip 30c, the cover lip annular ridge 30f and the cover tapered inner surface 30g. The cover 30 is removably attached to the first insert second end 22d by a snap fit. The cover annular lip 30c that is integrally a part of the cover 30 is positioned so as to communicate with the first section second end annular lip 21h, at the second end annular lip inner end 21k, and the cover annular lip inner end 30j. The cover tapered inner surface 30g diameter is normally larger at its narrowest diameter than the diameter of the first section second inner surface 21j. Furthermore, the cover lip annular ridge 30f is formed allowing the cover annular lip 30c to snap fit around the first section second end annular lip 21h. Finally, the cover 30 is typically a clear molded plastic. However, any material is suitable as long as it can be seen through after being molded. The cover 30 would not normally be attached to the insert 20 after the hypodermic syringe 25 has been filled with radiopharmaceutical 26. Alternatively, in uses where a covered syringe is not required by medical protocol, the syringe shield can operate without a syringe insert 21. This would be the case, for example, when a syringe will not be in contact with a patient""s blood, such as when the radiopharmaceutical 26 will be injected into an intravenous fluid delivery system rather than directly into a patient""s body. In such a case, the third inner surface 12c would be sized to the hypodermic syringe 25 rather than to the syringe insert 20. In addition, the insert holder 16i would be sized to securely hold the hypodermic syringe 25 rather than the syringe insert 20. FIG. 4 shows the end view of the insert 20 with the cover second outer surface 30h, the first insert second end 22d and the first section inner annular lip 21e. FIG. 5 illustrates the cross-section view of the single ended syringe shield 10a without the dose applicator 18a (FIG. 6). The single-ended syringe shield is used to transport a hypodermic syringe 25 with a radioactive pharmaceutical 26 (FIG. 8). The first body 11 releasably communicates with the second body 12 and the first body 11 releasably communicates with the nut 15. The hypodermic syringe and a one-piece insert are positioned inside the apparatus 10a as shown in FIG. 8. The first body 11 has a first hollow core 23a that is formed all the way through the first body 11 from the first body first edge 11f to the to the first body second edge 11e. The diameter of the first hollow core 23a, that is formed by the first body inner surface 11b, is a variety of sizes depending on the size of the hypodermic syringe and insert to be used. The first body 11 shape is defined by the first body first outer surface 11a and the first body tapered second outer surface 11i. All the surfaces of the first body 11 are usually machined. As is known by the practitioner in the art, the machining of the first body inner surface 11b, the first body first outer surface 11a and the first body tapered second surface 11i is substitutable for casting the first body 11. Furthermore, the first body first edge 11f and the first body second edge 11e are typically formed in parallel planes. The first connection means 34a at the first body first edge 11f is usually a releasable wrap 15c. Typically, the releasable wrap 15s is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. The second connection means 33 at the first body second edge 11c is usually a second male thread 11c. It is formed starting at the first body second edge 11e at a diameter that is smaller than the first body first outer surface 11a and larger than the diameter of the first body inner surface 11b. Typically, the second male thread 11c diameter is formed in the range of about 70% to 85% the diameter of the first body first outer surface 11a. It is machined back from the first body second edge 11e to the first body third edge 11g for a depth of about 5% the overall length of the first body 11. The second male thread 11c is typically a unified fine thread or a unified coarse thread. In other applications, the male thread connections are substitutable for female threads, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. The first body first outer surface 11a is cylindrical in shape but is readily substitutable for any circular or polyhedron shape. Also, the first body 11, the second body 12 and the nut 15 can be cast with machining the ends and the connections. Finally, the wall thickness between the first body first outer diameter 11a or the first body tapered second outer surface 11i and the first inner diameter 11b must contain enough radiation shielding material to provide adequate protection against radiation exposure. At the first connection means 34a the first body first edge 11f contains a first hollow stem 11l and a second hollow stem 11k. The first and second hollow stems are large enough to have positioned inside them the first telescoping rod 16h (FIG. 6) and the second telescoping rod 16g (FIG. 6). The first and second hollow stems are typically drilled in the first body 11 from the first body first edge 11f through to the first hollow core 23a. The second body 12 has a second hollow core 23b that is formed starting from the second body third edge 12e to a depth that is about 75% to 85% of the length of the second body 12. The second hollow core 23b is usually machined. The diameter of the second hollow core 23b that is formed by the second inner surface 12b is a variety of sizes depending on the size of the hypodermic syringe and insert to be positioned in the second hollow core 23b. The second body 12 shape is defined by the second body tapered first outer surface 12a and a second body second outer surface 12g, wherein both are typically machined and cylindrically shaped. The second body second outer surface 12g diameter usually is flush with the first outer surface 11a. Alternately, the second body second outer surface 12g can have the same tapered plane as the second body tapered first outer surface 12a. Typically, the second body second outer surface 12g at the second body third edge 12e is flush with the first outer surface 11a. Furthermore, the second body first edge 12h, the second body second edge 12d and the second body third edge 12e are all typically formed in parallel planes. The cylindrical shape of the second body 12 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the second outer surface 12g, the second body tapered first outer surface 12a and the second inner surface 12b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe 25 placed inside the second hollow core 23b. The second connection means 33 at the second body third edge 12e is usually a first female thread 12f that is formed by machining either a unified fine thread or a unified coarse thread. The first female thread 12f is formed starting at the second body third edge 12e at a diameter that is smaller than the second body second outer surface 12g and larger than the diameter of the second inner surface 12b. Typically, the first female thread 12f diameter is formed in the range of about 70% to 85% of the diameter of the second body tapered first outer surface 12a or the second body second outer surface 12g. The first female thread 12f is machined back from the second body third edge 12e to the second body first edge 12h for a depth that is about 15% the distance of the overall length of the second body 12. Alternately, the first female thread 12f is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. There is a second body annular ridge 23e that is formed to provide a means for the insert (FIG. 3) to be coaxially and releasably secured to the third inner surface 12c. The diameter of the third inner surface 12c depends upon the diameter of the insert second outer surface 21f (FIG. 3). The third inner surface 12c is typically the size to fit an insert that accepts 3 cc or 5 cc hypodermic syringes. The nut 15 has a nut outer surface 15a diameter that is flush with the diameter of the third body tapered second outer surface 13a at the first body first edge 11f. The nut 15 has a length of about 10% to 15% the length of the first body 11 and extends from the nut outer edge 15f to the nut inner edge 15h. A first connection means 34a is a releasable wrap 15c that is typically a fabric hook or loop fastener. Finally, the thickness of material required between the nut outer edge 15f and the nut inner edge 15h is enough to adequately prevent radiation of leaking through the nut 15 in all directions. The single-ended syringe shield apparatus 10a as illustrated in FIG. 5 shows the nut 15 releasably communicating with the first body 11 by the first connection means 34a. The first body 11 releasably communicates with the second body 12 by the second connecting means 33. The first body first edge 11f, the first body second edge 11e, the first body third edge 11g, the second body first edge 12h and the second body third edge 12e are formed in parallel planes. Additionally, the nut inner edge 15h and the nut outer edge 15f are formed in parallel planes with the first and second body edges. The forming in parallel planes allows the first connection means 34a to be a snug fit between the first body 11 and the nut 15 when they are securely connected by the releasable wrap 15c. The forming in parallel planes allows the second connection means 33 to be a snug fit between the first body 11 and the second body 12 when they are securely connected by axially threading the first body 11 and second body 12. In the preferred embodiment of the invention the radiation shielding material is typically lead. However, in many applications although lead is an excellent radiation shielding material it is unsuitable because it is too heavy and insufficiently flexible. Other materials include, but are not limited to, tungsten. Consequently, the radiation shielding material is any material that will attenuate the photons released from the radioactive agent. For example, a radiation shielding material is obtainable from lead acrylate or lead methacrylate combined by polymerizing it at a temperature above the melting point in a mixture with a copolymerizable monomer such as methyl methacrylate. Alternately, another radiation shielding material comprises an elastomeric or rubbery plastics material filled with lead particles. These materials combine the excellent radiation shielding properties of lead with other materials that weigh less than lead to provide a good radiation shield that is flexible and not too heavy. Another commonly utilized radiation shielding material is tungsten. When tungsten, a tungsten compound or a tungsten based alloy is used as the material with high radiation absorptivity, when the xcex3-ray absorption coefficient of tungsten is not less than about 1 when the energy of the xcex3-ray is 511 KeV or greater, there is provided a safe radiation shielding material. For example, one such tungsten compound with high radiation absorptivity is a tungsten powder that is not less than 80% by weight or greater than 95% by weight combined with vulcanized rubber. The tungsten powder in combination with the vulcanized rubber has particle sizes in the range of about 4xcexc to 100 xcexcm. When a tungsten alloy is used for the radiation shielding material a typical combination includes but is not limited to a hard-find grained internally stressed material of tungsten and carbon or tungsten, carbon and oxygen. The insert holder 16i material is non-attenuating typically a plastic, a fiberglass or a polyethylene that is easily formed into the shape required to hold the insert 20 as shown in FIG. 2 and FIG. 6. In another embodiment the insert holder 16i is shaped so that it can directly position and hold the hypodermic syringe 25 without using the insert 20. The first telescoping rod 16h and the second telescoping rod is typically constructed from a light weight material, preferably a non-attenuating material. FIG. 6 illustrates the cross-section of the single-ended syringe shield 10a with the dose applicator 18a. The dose applicator 18a communicates with and is releasably secured to the first body 11. The dose applicator 18a is used, for example, when it is desired to load the hypodermic syringe 25 (FIG. 7) into a well counter 28, wherein individuals are shielded from radiation emanating from the radiopharmaceutical 26 in the hypodermic syringe 25. The dose applicator 18a consists of a nut 15, a first telescoping rod 16h, a second telescoping rod 16g and an insert holder 16i. The first telescoping rod 16h is positioned into the first hollow stem 11l and communicates with the nut 15. The second telescoping rod 16g is positioned into the second hollow stem 11k and communicates with the nut 15. The first telescoping rod 16h further consists of a first telescoping rod first section 16l that is larger in diameter and slides around a first telescoping rod second section 16m that is larger in diameter and slides around a first telescoping rod third section 16n. Furthermore the second telescoping rod 16g consists of a second telescoping rod first section 16o that is larger in diameter and slides around a second telescoping rod second section 16p that is larger in diameter and slides around a second telescoping rod third section 16q. The insert holder 16i securely fastens to the first telescoping rod first section outer end and the second telescoping rod first section outer end. The nut 15 securely fastens to the first telescoping rod third section outer end and the second telescoping rod third section outer end at the nut inner edge 15h. The first telescoping rod 16h and the second telescoping rod 16g are symmetrically positioned inside the third hollow core, wherein the insert 20 can be positioned between them and be releasably secured by the insert holder 16i. The first hollow stem 11l is sized providing a first gap 19a between the first hollow stem circumferential surface 16j and the first telescoping rod first section 16l. The first gap 19a is large enough to allow the first telescoping rod 16h to completely extend or retract within the first hollow core 23a. The second hollow stem 11k is sized providing a second gap 19b between the second hollow stem circumferential surface 16k and the second telescoping rod first section 16o. The second gap 19b is large enough to allow the second telescoping rod 16g to completely extend or retract within the first hollow core 23a. The first body inner surface 11b is formed large enough to allow a slideable movement of the insert holder inside the hollow core 23a. The first connection means 34a comprises the nut 15 with a releasable wrap 15c that is releasably secured to the first body 11. Typically, the releasable wrap 15c is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. The nut outer edge 15f, the nut inner edge 15h and the first body first edge 11f are all formed in parallel planes. The edges formed in parallel planes allow the nut 15 and the first body 11 to be releasably secured with a snug fit between the nut inner edge 15h and the first body first edge 11f when the releasable wrap 15c is used. The nut outer surface 15a diameter is formed flush with the first body tapered second outer surface 11i at the first body first edge 11f. However, the nut outer surface 15a can have a diameter that is either larger or smaller than the diameter of the first body tapered second outer surface 11i at the first body first edge 11f. Typically, the nut edges and surfaces and the first body edges and surfaces are formed by machining to produce a snug-fit at the edges and a flush-fit at the surfaces. Alternately, the nut and first body can be cast with their edges machined to produce a snug fit when they are connected together. In the preferred embodiment of the invention the first body first outer surface 11a is typically formed as a straight cylinder while the first body tapered second outer surface 11i is formed as a cone. Alternately, the first body first outer surface 11a is substitutable for a tapered surface that matches the first body tapered second outer surface 11i. The first telescoping rod 16h and the second telescoping rod 16g are substitutable for one telescoping rod. The single telescoping rod is circumferentially mountable on the holder inside edge 16w as long as the insert 20 can be positioned and freely movable inside the third hollow core 23c, the second hollow core 23b and the first hollow core 23a. FIG. 7 illustrates the single-ended apparatus 10a being loaded into a well counter 28. The well counter 28 typically has a well counter liner 27 that the apparatus 10a is set into to allow the hypodermic syringe 25 containing a radiopharmaceutical 26 to be loaded and measured at the well counter 28. The dose applicator 18a positions the insert 20 by the insert holder 16i and the first telescoping rod 16h and the second telescoping rod 16g. The well counter liner gap 27a is large enough so that the first body second male thread 11c can easily fit into the well counter liner 27 allowing the first body 11 to set on top of the well counter liner. In this illustration the second body 12 (FIG. 5) has been removed and the first body 11 is positioned into the well counter liner 27 in the direction of the arrow 31. The nut 15 is extended as the insert 20 rests in the first hollow core 23 to be pushed into the well counter 28 in the direction of the arrow 31. FIG. 8 illustrates the doubled-ended apparatus 10 with the dose applicator 18a. The apparatus 10 transports a hypodermic syringe 25 containing a radiopharmaceutical 26 and protects individuals from radiation generated therefrom. A first body 11 releasably communicates with a second body 12 and the first body 11 releasably communicates with a third body 13. The third body 13 releasably communicates with a nut 15. Attached to the nut 15 is the first telescoping rod 16h and the second telescoping rod 16g of the dose applicator 18a. The first telescoping rod 16h is positioned in the first hollow stem 13l and sized to allow all of the sections of the first telescoping rod 16h to move freely within the first hollow stem 13l. Likewise, the second telescoping rod 16g is positioned in the second hollow stem 13k and sized to allow all of the sections of the second telescoping rod 16g to move freely within the second hollow stem 13k. Finally, the first connection means 34 releasably secures the first body 11 to the third body 13, the second connection means 33 releasably secures the first body 11 to the second body 12 and the third connection means 35 releasably secures the third body 11 to the nut 15. The dose applicator is positioned in the first hollow core 23a, the second hollow core 23b and the third hollow core 23c. This allows the hypodermic syringe 25 with the radiopharmaceutical 26 to be positioned inside the insert 20 wherein the insert is releasably secured to the dose applicator 18a by the insert holder 16i. Radiation leakage around the dose applicator 18a is significantly reduced by releasably securing the third body 13 and the nut 15 with the releasable wrap 15c. For example, when the nut 15 is not releasably secured by the releasable wrap 15c the nut can be moved away from the third body 13 exposing the first hollow stem 13l and the second hollow stem 13k. When there is radiation emanating from the radiopharmaceutical 26 located in the third hollow core 23c the radiation leakage is possible out of the first hollow stem 13l and second hollow stem 13k. A snug-fit between the third body 13 and nut 15 using the releasable wrap 15c as the third connection means 35 prevents this radiation leakage. FIG. 9 illustrates one view of the preferred embodiment of the invention, including the first body 11 and second body 12 (with the piston actuator 17) of the double-ended apparatus 10 with the hypodermic syringe 25 and the radiopharmaceutical 26 wherein the radiopharmaceutical can be injected into a patient or intravenous delivery system. The first body 11 and second body 12 are the radionuclide shield surrounding the insert 20 and are constructed of various materials including, but not limited to tungsten and lead. The insert holder 16i (FIG. 8) has been removed from the first hollow core 23a along with the dose applicator 18a (FIG. 8). When the radiopharmaceutical 26 is going to be injected into a patient the second section 22 of the insert 20 is removed from the first section 21 at the perforation 21b. The piston actuator 17 is partially withdrawn from the second body 12 and the actuator cap 36 is rotated to the engaged position, causing the internal sleeve engagement tooth 37a to engage the disk 39, which in turn engages the piston of the syringe 25. This is accomplished without exposing anyone to the radiation emanating from the radiopharmaceutical 26. The hypodermic syringe 25 is ready to be injected into the patient or intravenous delivery system once the needle cover 32 is removed. The radiopharmaceutical 26 is injected by depressing the actuator cap 36 which in turn compresses the syringe 25. FIG. 10 illustrates the cross-section of the piston actuator 17 used in the double-ended syringe shield apparatus 10 in the preferred embodiment of the invention. The piston actuator 17 communicates with and is slidably secured to the second body 12. The piston actuator 17 is used, for example, to inject the contents of the hypodermic syringe 25 (FIG. 7) into a patient or intravenous tubing. In the preferred embodiment, the means for compressing includes piston actuator 17 that comprises an actuator cap 36, a disk 39, at least one guide 38, and an internal sleeve 37, having a first arm 37b, a second arm 37c, a retainer lip 37d and an engagement tooth 37a. The internal sleeve 37 is a hollow cylinder, sized to allow it to slide within the second hollow core 23b without contacting the insert 20 or hypodermic syringe 25. The internal sleeve first arm 37b is positioned in the first hollow slot 12j and communicates with the actuator cap 36. The internal sleeve second arm 37c is positioned in the second hollow slot 12k and communicates with the actuator cap 36. The actuator cap 36 and the internal sleeve 37 are fixedly connected. The first hollow slot 12j and second hollow slot 12k are of sufficient width to allow the internal sleeve arms 37b and 37c to slide in the hollow slots 12j and 12k, allowing the internal sleeve 37 to slide longitudinally relative to the second body 12. FIG. 11 illustrates the cross section of the second body 12 with the actuator cap 36 and internal sleeve arms 37b and 37c extended from the second body 12. The first hollow slot 12j and second hollow slot 12k are of sufficient length relative to the width of the internal sleeve arms 37b and 37c that the actuator cap 36 is capable of rotating less than a full rotation, preferably approximately a quarter rotation, relative to the second body 12. In the preferred embodiment, the limit of rotation of the actuator cap 36 in one direction would be the engaged position and the limit of rotation of the actuator cap 36 in the opposite direction would be the disengaged position. The disk 39 consists of at least one guide notch 39a and at least one engagement notch 39b. In the preferred embodiment there are two guide notches 39a and two engagement notches 39b, corresponding to two guides 38 and two engagement teeth 37a. The disk 39 is sized so that it can slide within the internal sleeve 37. The at least one engagement notch 39b is slightly larger than the internal sleeve engagement tooth 37a. The at least one guide notch 39a is approximately the same size as the diameter of the at least one guide 38. The at least one guide 38 is fixedly attached to the inside of the second body 12, opposite the second body second surface 12d and extends to the second body first surface 12e. The at least one guide notch 39a slidably communicates with the at least one guide 38, allowing the disk 39 to slide within the internal sleeve 37. The at least one guide 38 prevents the disk 39 from rotating relative to the second body 12. The internal sleeve retainer lip 37d, retains the disk 39 inside of the internal sleeve. The internal sleeve engagement tooth 37a is positioned on the inside surface of the internal sleeve 37. The location of the internal sleeve engagement tooth is selected such that depressing the actuator cap when it is in the engaged position will completely compress the syringe piston into the syringe 25. The internal sleeve engagement tooth must be of sufficient size that it will engage the disk 39 when the disk 39 slides within the internal sleeve 37. The disk engagement notch 39b is positioned such that when the actuator cap 36 is rotated to the disengaged position and is extended from the second body, the internal sleeve engagement tooth 37a passes through the engagement notch 39a. When the actuator cap 36 is then rotated to the engaged position and compressed into the second body 12, the disk engagement notch 39b engages the disk 39 and causes the disk 39 to engage the piston of a syringe 25 contained within the double ended syringe shield apparatus 10. The actuator cap 36 is usually sized to the same diameter as the diameter of the second body 12 at the second body second edge 12d. While there has been illustrated and described what is at present considered to be the preferred embodiment of the invention, it should be appreciated that numerous changes and modifications are likely to occur to those skilled in the art. It is intended in the appended claims to cover all those changes and modifications that fall within the spirit and scope of the present invention.
048470090
abstract
A method is disclosed for loading and sealing a double container for tranrt and storage of radioactive material in which an inner cover is screwed into the inner container while said inner container is in a hot cell, and welding a second cover on the inner container outside the hot cell.
abstract
An oxide phosphor includes an oxide consisting of at least Gd, Ce, Al, Ga, and O, and has the crystal structure of a garnet structure, the atomic ratio (Gd+Ce)/(Al+Ga+Gd+Ce) of which is more than 0.375 and 0.44 or less, and the atomic ratio Ce/(Ce+Gd) of which is 0.0005 or more and 0.02 or less. This oxide phosphor reduces composition misalignment occurring during sintering, being a drawback of a phosphor having (Gd1-xCex)3Al5-yGayO12 composition, and has a property of extremely small afterglow and high luminescence efficiency. By using this oxide phosphor as a scintillator of a radiation detector having a light detector, the radiation detector with low afterglow and high output can be obtained. Further, by applying this radiation detector to an X-ray CT apparatus, a tomogram with high resolution and high quality can be obtained.
abstract
A containment cask is disclosed for safely transporting and storing radioactive hazardous waste in a dry air environment. The cask comprises a single drum containing the radioactive hazardous waste, a sealed and shielded containment vessel containing the drum, and an outer container. The outer container can be in the form of an outer shield vessel (OSV) made from iron to provide further shielding. This outer container is appropriate for a drum having higher activity waste. The outer container can also be in the form of an overpack assembly that adds protection for atmospheric hazards, but adds little in terms of shielding. This outer container is appropriate for a drum having lower activity waste.
048878855
claims
1. A system for generating a well defined traveling wave radiation beam not subject to beam spreading in the sense that the intensity pattern of the traveling wave radiation beam in a transverse plane is substantially unaltered by propagation over a range which is substantially larger than the Rayleigh range of a Gaussian beam with equal central spot width, said system generating a traveling wave radiation beam the amplitude of which has its transverse dependence substantially identical to J.sub.m (.alpha..rho.), the m.sup.th order Bessel function of the first kind, wherein .alpha. is a geometrical constant and .rho. designates the transverse radial coordinate of the wave, and further wherein the Bessel function argument is independent of the distance z of the propagation, which results in a well defined traveling wave beam not subject to beam spreading, said generating means comprising a pumped resonant cavity for the amplification of radiation for establishing a state of resonant amplification and emission of radiation therein, and a radiation element forming a part of the resonant cavity for directly forming an output radiation beam the amplitude of which has its transverse dependence substantially identical to said J.sub.m (.alpha..rho.), the m.sup.th order Bessel function of the first kind from said resonant cavity, which results in the generation of the well-defined traveling wave radiation beam. 2. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, said pumped resonant cavity comprising a laser cavity, which results in the generation of a well defined light beam. 3. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, said pumping resonant cavity comprising a microwave cavity, which results in the generation of a well defined microwave beam. 4. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, said radiation element comprising a circular annular reflector positioned at one end of said resonant cavity, and a focusing system having said circular annular reflector positioned in the focal plane of the focusing system, which results in the focusing system producing the well defined traveling wave radiation beam because the far field amplitude of an object is the Fourier transform thereof, and the Fourier transform of a circular function is the zero order Bessel function of the first kind. 5. A system for generating a well defined traveling wave radiation beam as claimed in claim 4, said focusing system being integrally formed with a partially reflecting surface which forms the opposite end of the resonant cavity from said circular annular reflector, and which focuses radiation transmitted by the partially reflecting surface to form the zero order Bessel function of the first kind output radiation beam. 6. A system for generating a well defined traveling wave radiation beam as claimed in claim 4, said focusing system comprising a focusing element positioned in the resonant cavity, and a partially reflecting surface forming the opposite end of the resonant cavity from said circular annular reflector, to allow transmission therethrough of radiation to form the zero order Bessel function of the first kind output radiation beam. 7. A system for generating a well defined traveling wave radiation beam as claimed in claim 4, said focusing system comprising a focusing element positioned outside the resonant cavity, and a partially reflecting surface forming the opposite end of the resonant cavity from said circular annular reflector, to allow transmission therethrough to said focusing element for formation of the zero order Bessel function of the first kind output radiation beam. 8. A system for generating a well defined traveling wave radiation beam as claimed in claim 4, wherein the mean diameter of the circular annular reflector is d, the width of the circular annular reflector is .alpha.d, the radius of the output aperture formed by the radius of the focusing lens system is R, the focal length thereof is f, and the radiation has a wavelength .lambda., and wherein the J.sub.o beam produced in this manner has a spot parameter .alpha.=(2.pi./.lambda.) sin .theta., where .theta.=tan.sup.-1 (d/2f), wherein the modulation of the amplitude by the diffraction envelope of the annular reflector is negligible within the finite output aperture R by maintaining the width of the annular reflector .alpha.d<f/R. 9. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, wherein said generating means comprises a circular annular source of the radiation beam positioned in the focal plane of a focusing means, which results in the generation of the well defined radiation beam by the focusing means because the far field amplitude of an object is the Fourier transform thereof, and the Fourier transform of a circular line function is the zero order Bessel function of the first kind. 10. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, wherein said radiation beam is generated with a transverse dependence of the zero order Bessel function of the first kind. 11. A system for generating a well defined traveling wave beam as defined in claim 1, wherein said generating means includes a focusing means located outside of the said resonant cavity. 12. A system for generating a well defined traveling wave radiation beam as claimed in claim 1, said resonant cavity having first and second reflective surfaces at opposite ends of the resonant cavity. 13. A system for generating a well defined traveling wave radiation beam as claimed in claim 12, said radiation element comprising one of the end reflective surfaces of the resonant cavity which has a circular annular aperture therein, and a focusing system having said circular annular aperture positioned in the focal plane of the focusing system, which results in the focusing system producing the well defined traveling wave radiation beam because the far field amplitude of an object is the Fourier transform thereof, and the Fourier transform of a circular function is the zero order Bessel function of the first kind. 14. A system for generating a well defined traveling wave radiation beam as claimed in claim 13, said circular annular aperture in one of the end reflective surfaces of the resonant cavity having a width d which is relatively narrow to sustain a Gaussian mode of operation in the cavity, and being of the order of one wavelength .lambda.. 15. A system for generating a well defined traveling wave beam as defined in claim 12, wherein said generating means includes a focusing means located within said resonant cavity. 16. A system for generating a well defined traveling wave beam as defined in claim 15, wherein said second reflective surface comprises said focusing means.
summary
description
This application is a division of application Ser. No. 10/962,247, filed Oct. 8, 2004, which is a continuation-in-part of application Ser. No. 10/683,885, filed Oct. 10, 2003. This invention concerns therapeutic radiation treatment of living tissue, usually but not necessarily within a body cavity, which may be a surgical cavity following a resection of a tumor. In one aspect the invention is concerned with use of a switchable, miniature electronic x-ray source, which may be controllable as to depth and intensity, for administering such therapeutic treatment. Treatment of surgical cavities, such as after malignant tumor excision, has been accomplished with applicators which are inserted usually into a newly formed opening through the skin, a conveniently located opening into the surgical resection cavity. Generally the location is different from the surgical closure itself. Applicators have been disclosed which essentially comprise a balloon of known and relatively rigid geometry, essentially spherical, expandable generally to about four to six centimeters, that is, designed to have an inflated size of about four to six centimeters diameter. Some of the generally spherical balloon catheters were described as having multiple walls to form inner and outer spaces, for reasons relating to the objective of delivering a uniform dose to tissue surrounding the balloon. In the prior art such known-geometry balloons were inflated with a liquid, with an applicator guide positioned within the balloon and in the liquid, so that the applicator guide could receive a radiation source comprising a radioactive isotope. With balloons limited to known geometries, there are limitations in the ability to treat a cavity margin thoroughly. In some cases, the patient cannot take advantage of such a treatment protocol because the known-geometry balloon applicator simply cannot fill many surgical cavities that are irregular in shape. Other measures have to be used in those cases, such as external radiation therapy. Another limitation of known procedures using balloon catheters is in regard to locating the balloon correctly within a cavity of the patient, such as a resection cavity. The saline solution used to inflate the balloon contains contrast material which will be visible by taking an external x-ray. With the contrast material contained in the balloon's solution, the surgeon or technician can detect a pale “shadow” in the x-ray to determine the location of the balloon and to correct its position if needed. The procedure typically calls for use of the contrast material at about 3% in the saline solution. Dose planning for the known-geometry balloon is based on specific concentration of contrast. However, because the balloon shape is difficult to see in the x-ray, surgeons usually add the contrast material in a much higher concentration, not as contemplated by the dose plan, so as to better detect the balloon in the x-ray. The concentration may be up to about 20%-30% in practice. As a result, the therapeutic radiation from the x-ray source placed into the center of the balloon becomes attenuated to the extent that the actual dose profile received in a patient's tissue may be significantly less than the prescribed dose. The use of isotopes has been the practice in administering x-ray radiation to patients prior to the present invention. The isotopes must be handled carefully and reliably shielded between uses. With the isotopes they are always “on”, and only one setting is available for all dwell locations where a dose is to be administered. In many cases it would be convenient to have a better procedure and source that would allow modulation and more accurate dose delivery. The invention now disclosed provides improved procedures for therapeutic radiation treatment of tissue, which may be following resection of a tumor or which may involve administering the radiation within an existing body cavity or in other locations. Although isotopes can be used in some of the procedures of the invention, in some, the radiation is emitted from an electronic switchable x-ray source that can be modulated as to dose depth, via voltage in the x-ray source, and preferably also as to intensity, via current in the x-ray source. In a preferred form the source is a miniature x-ray tube, having a diameter on the order of roughly about ½-3 mm, and a length of about 5-15 mm. Pursuant to the invention a miniature x-ray tube is inserted into a balloon catheter, either before or after the balloon has been placed at the desired location in the patient. The x-ray source is switched on via a control unit outside the patient only when the balloon has been inserted, inflated and confirmed as to position, and with the patient and physician ready to administer the prescribed dose profile to the patient. Radiation dose delivery can be high compared to prior practice, about 5 to 50 Gy/hour. The x-ray source can operate in the range of about 40 kVp to 80 kVp. In another aspect of the invention, either a switchable x-ray source or an isotope can be used in a therapeutic radiation treatment procedure. The balloon of the catheter is doped with contrast medium, in or on the skin of the balloon. The inflation medium for the balloon, which may be a saline solution, need not have any contrast medium added. The balloon catheter is placed in a cavity of living tissue, i.e. in a patient, and the balloon is inflated and then verified as to position in the cavity. This can be done by an x-ray taken exteriorly to the patient, since the balloon skin with contrast medium will have its outline visible by x-ray, after which the position of the balloon can be adjusted, if necessary. Once the correct balloon position has been verified by external imaging, the x-ray source, which may be an isotope source or a switchable source, is placed in the balloon catheter (if a switchable tube the source can be placed in the balloon before insertion). The source preferably is moved through a series of positions within the balloon catheter to administer radiation to tissue adjacent to the balloon, in accordance with a prescribed dose profile. The use of a balloon catheter with contrast medium in or on the skin of the balloon, as opposed to being contained in a saline solution within the balloon, is a strong departure from the prior art. The advantage is that the physician will not over-dope the saline solution with contrast medium, thus maintaining the strength of the therapeutic radiation emitted from inside the balloon. The balloon wall has virtually no attenuating effect on the therapeutic radiation, when the radiation passes through the balloon in a normal or generally normal direction to the skin of the balloon. However, when the x-ray is taken from outside, the outline of the balloon will show up sharply because of the tangential direction of viewing that outline and the fact that the outline represents many times the wall thickness of the balloon, perhaps 20-40 times the density of contrast medium, thus contributing to the visible outline in the x-ray. In the drawings, FIG. 1 shows somewhat schematically an applicator 10 according to one embodiment of the invention, the applicator including a flexible control line or cable 12 leading from a controller, not shown, and a catheter or applicator portion 14. A balloon 16 of the applicator and catheter is shown inflated in FIG. 1. The applicator device is generally as shown in co-pending application Ser. No. 10/683,885, filed Oct. 13, 2003. As shown, at the proximal end 18 of the applicator is a branch 20. The three ports 22, 24 and 26 of this branch device may comprise a service port, a drainage port and a balloon inflation port, respectively. The functions of these ports are explained further below with reference to other drawings. A flexible main shaft 28 extends from the branch device 20 to the balloon 16, and is sealed to the balloon at 30. The balloon in FIG. 1 is shown partially cut away to reveal an electronic x-ray source 32 within the balloon, at the end of the control line 12 and moveable longitudinally within the balloon 16 and catheter 10. In preferred embodiments the x-ray tube 32 is less than 4 mm in diameter, preferably no greater than about 3 to 3.2 mm in diameter, and in some embodiments this tube is as small as 1 mm in diameter or even smaller. The shaft 28 is flexible, and may be highly flexible and pliable near the proximal end 18, as explained in the co-pending application referenced above, for the purpose of folding the applicator over against the breast when not in use, when the control line 12 and x-ray source 32 are not inserted into the applicator, particularly for breast irradiation involving several dose fractions such that the applicator need not be removed between fractions. The flexible shaft provides a lumen for admitting a fluid to inflate the balloon 16, while also providing a duct or lumen for insertion of the radiation source 12, via guides connected to the balloon. The shaft 24 also preferably provides a channel for drainage of liquids from the body cavity within which the applicator is inserted. A drainage receptacle can be connected to the end of the drainage port or an aspirator can be used when needed to withdraw liquids. The applicator 10 is shown schematically in FIGS. 2, 3 and 4 as inserted into a resection cavity of a breast for treatment. FIGS. 5A, 5B and 5C show the applicator 10 in greater detail, and with the balloon 16 deflated and collapsed. The service port 22, in line with the flexible shaft 28, as well as the drainage port 24 and the balloon inflation port 26, are illustrated. Also shown is a distance scale preferably included, with distances shown at 6 cm, 7 cm, 8 cm, etc., up to about 15 cm, to indicate to the physician the total depth of the applicator into cavity and opening wound. This provides a direct and easily used means to determine the position of the distal end 35 of the applicator as it is being inserted. As shown in FIGS. 5B and 5C, drainage is provided for the resection cavity via drain holes 36 at the distal end 35 of the applicator, beyond the balloon 16, communicating internally to the drain port 24, and also preferably via drain holes 38 shown just proximal of the balloon, for draining fluids which travel over the surface of the balloon. As in co-pending application Ser. No. 10/683,885, the balloon preferably has some form of liquid channeling means on its outer surface. This could be a multiplicity of bumps, allowing for liquid travel even though the balloon is engaged against the tissue, or a series of longitudinal ridges on the balloon surface to form channels. The drain holes 38 catch most of the liquid flowing in this manner, and these holes communicate with the drain port 24. The balloon 16 may advantageously be formed of a silicone material, although other appropriate biocompatible materials can be used. The balloon material is bonded to the outside surface of the flexible shaft 28 in sealed relationship thereto, by known procedures. FIGS. 2, 3 and 4 indicate somewhat schematically the use of the applicator device 10 in a resection cavity of a human breast 41, for radiation therapy. In FIG. 2 the catheter 10 is shown with its balloon 16 shown in dashed lines, and the shaft 28 in the balloon forming a guide for an x-ray source which may either be a miniature x-ray tube or an isotope. A seal 40 is shown in FIG. 2, for sealing the flexible shaft 28 of the catheter/applicator against the surface of the skin where it enters the body. Also shown in FIG. 2 is a connector 42 for connecting the applicator shaft, via the service port 22, to an exterior cable 44 that contains the control cable 12, leading to the controller (not shown) for the applicator and for the x-ray source, if the source is a controllable miniature tube. FIGS. 3 and 3A illustrate the ability of the invention to achieve a more exact dose profile by use of a miniature electronic x-ray source in the applicator 10, a source which is capable of voltage variation and thus variation of the depth of dose. As one rather simple example, four dwell positions are shown in FIG. 3 and represented in a bar graph in FIG. 3A. The deepest dwell position, position 1, is closest to the lungs of the patient. Thus, the voltage is relatively low for this dwell position, controlling the depth of penetration into the surrounding tissue such that radiation will not reach the lungs to any appreciable degree. The second dwell position is farther from the lungs, and FIG. 3A shows that the voltage is increased for this dwell position, for a greater depth of penetration. Similarly, dwell positions 3 and 4 are progressively farther from the lungs and the voltage and depth of dose are progressively higher. FIGS. 4 and 4A illustrate schematically the use of a switchable, controllable electronic x-ray source in the catheter 10, wherein current is varied at different dwell positions in order to vary the dose intensity at different positions. In the schematic drawing of FIG. 4, four different dwell positions are again indicated for the electronic x-ray source, within the balloon 16 of the catheter 10, the balloon positioned in a resection cavity in a patient's breast 41. The control current does not vary the depth of penetration of the radiation, only the dose intensity. In the illustrated procedure, the current is varied in order to produce a uniform isodose profile. Thus, at positions 1 and 4 where the x-ray source is closest to tissue, the current is set at a lower level, while at dwell positions 2 and 3, close to the center of the balloon 16 and of the resection cavity, where the tube is more distant from tissue, the current is set higher. Note that dose intensity can be controlled also by controlling the length of time the source is “on” at each dwell position, or simply by controlling the length of dwell at each position assuming the source remains “on”. These profiles of FIGS. 4 to 5A are just examples of how the variation of voltage and current using an electronic x-ray source can be beneficially used accurately to create a required isodose profile. FIGS. 6 and 7 illustrate the balloon 16 having an x-ray contrast medium in or on the balloon wall. As explained above, this differs from prior practice in which a saline solution within the balloon contained a weak solution of contrast medium so that the balloon would show up in external x-ray imaging, for location of the balloon. In this case the contrast medium is only in or on the balloon wall, and this medium will absorb radiation, indicated at 46, during external imaging; it will also absorb radiation from the therapeutic source and thus will attenuate the radiation delivered from inside the balloon to some extent. However, with a low concentration of such contrast medium in the balloon wall, the attenuating effect of the medium for radiation passing through the balloon at an angle normal or generally normal to the balloon wall will be small and essentially negligible. On the other hand, the effect of radiation, particularly x-ray radiation, passing tangentially through the edges of the balloon as indicated in FIGS. 6 and 7, will be at a maximum, since the radiation must pass through the balloon edge wise at this tangential angle, a much longer effective path length. The result is that a balloon 16 with such contrast medium can be located by external x-ray, visible in an x-ray image by its edges. This is demonstrated in FIG. 7 showing effective path length of x-rays through balloon material as a function of distance from the center of the balloon. The densest outline of the balloon will be at its circumference, especially at distal and proximal ends of the balloon itself, where the wall material may be somewhat thicker at its attachment to the flexible shaft 28 and in any event, where the balloon has areas that are stretched far less due to the geometry of the balloon and its attachment to the flexible shaft 28 of the catheter device. FIG. 6 shows in a schematic approximation a graph of x-ray density (darkness or density of the line appearing in an x-ray image) on a vertical axis, versus position. For clarity the balloon 16 is represented directly adjacent to the graph, and showing the direction of x-ray radiation 46. As illustrated, density is low in the x-ray image of the balloon at a region 48 in FIG. 6 where the radiation passes generally normally through the balloon wall; however, spikes of extreme density are shown at 50 and 52, where the rays must pass through considerable distance of the balloon wall on edge. As can be seen from the graph of FIG. 7 (showing effective path length through both 4 and 5 cm diameter balloons), the effective path length at these tangent regions can be about 15 to 25 times greater than the normal path length. Thus, the contrast-doped balloon wall provides a far superior imaging arrangement than the prior saline solution, without adversely affecting therapeutic radiation. The procedures and apparatus described above are applicable to natural body cavities (e.g., bladder, uterus, vaginal), and naturally occurring lumens, as well as surgically created cavities. The term cavity in the claims is intended broadly to refer to natural or surgical cavities or lumens. Also, except where a switchable x-ray source is specifically called for herein for the advantages it offers in modulation or other purposes, the described procedures can ordinarily be performed using isotopes. The term brachytherapy device refers to either type of radiation source. The above described preferred embodiments are intended to illustrate the principles of the invention, but not to limit its scope. Other embodiments and variations to these preferred embodiments will be apparent to those skilled in the art and may be made without departing from the spirit and scope of the invention as defined in the following claims.
051695928
summary
FIELD OF THE INVENTION The present invention relates to controlling nuclear reactors, and more particularly pressurized water nuclear boilers, in such a way as to cause them to provide at all times the power required to satisfy varying needs. Simultaneously, such control is performed in such a manner as to avoid as far as possible both unnecessary burning of fuel and any risk of an accident. In particular, very stringent safety criteria are defined for limiting such risks with respect to the boilers constituted by such reactors. BACKGROUND OF THE INVENTION Such a boiler comprises components that are well known and are referred to below in the description of the present invention. In particular, such a boiler is provided with a protection system which is triggered by excess reactor power, and with a protection system which is triggered by too high a temperature in the cooling fluid. These systems are referred to below as the "excess power system" and the "high temperature system". They are designed to protect the reactor core during certain accidental transients during which they trigger an emergency stop of the reactor. To this end, they generate an emergency stop signal on the basis of measurements of the following parameters: (a) the temperature difference DT between the hot branch and the cold branch, which difference is representative of the nuclear power, i.e., the heat power of the reactor; PA1 (b) the mean temperature ST of the reactor vessel; PA1 (c) the primary pressure (used only by the high temperature system); PA1 (d) the speed of rotation VP of the primary pumps; (representative of the flow rate of the primary heat exchange fluid); and PA1 (e) the axial power difference DI, i.e., the difference between the power measured at the top of the core and the power measured at the bottom of the core. PA1 (a) the increase in load on the secondary circuit causes more power to be extracted from the primary circuit, thereby reducing the operating temperature ST of the reactor; and PA1 (b) the reactor control system increases the nuclear power in order to keep up with the increased demand from the secondary circuit. By way of example, the nuclear power limit beyond which one or the other of these two protection systems generates an emergency stop signal is shown in FIG. 3. In FIG. 3, curves JP and KP represent the limit, and apply respectively to constant values of pump speed and to constant values of axial power difference. A problem arises in the operation of this system. The problem can be described with the help of FIG. 3 where a curve ET shows the typical behavior of an accidental transient due to uncontrolled increase in the load on the secondary heat exchange circuit. This circuit is thermally coupled to the steam generator which constitutes a heat receiver in the primary heat exchange circuit. Two phenomena arise: An analysis of this type of transient has shown that with a protection system of known design, an emergency stop of the reactor is not guaranteed. With some transients, the safety criteria that guarantee fuel integrity are not satisfied. SUMMARY OF THE INVENTION A particular object of the present invention is to improve reactor protection by limiting the consequences that may arise from an accidental transient due to uncontrolled increase in the load on the secondary heat exchange circuit of a pressurized water nuclear power station and in the event that such a transient causes an increase in nuclear power. To this end, the invention proposes a correction on the excess power system emergency stop limit as a function of temperature. The present invention thus provides a protection method for a nuclear reactor, in particular a pressurized water reactor, in the event of an increase in its load, whereby an emergency stop of the reactor is triggered in the event that its nuclear power reaches an emergency stop limit, said limit being lowered for lower operating temperatures of the reactor.
056129866
description
DESCRIPTION OF MODES OF PRACTICE OF THE INVENTION In an on-axis embodiment of the invention, shown in FIG. 1, a plane wave X-ray beam, including a representative X-ray 11, is incident on a hologram 15 with an associated opaque frame 13 to be used to produce the desired image or pattern on a chosen wafer or substrate 17. For a given choice of a separation distance d between the hologram 15 and a wafer or substrate 17, an image pixel at a position 19 is contributed to by a localized group of points 21 on the holographic image source 15. The size of the area defined by the group of points 21 is determined by the maximum diffraction angle and by the distance d. In practice, the distance d may be chosen in the range 20 .mu.m.ltoreq.d.ltoreq.3000 .mu.m. The embodiment illustrated in FIG. 1 uses transmission projection of a holographic image. The in-line holographic geometry shown in FIG. 1 is similar to the geometry for contact printing except that the hologram replaces the mask and is located at a larger distance d=20-3000 .mu.m, preferably d.apprxeq.200 .mu.m from the wafer in this example. This on-axis embodiment is implemented by use of an algorithm for designing the hologram, where diffraction effects caused by wavefield propagation over a distance d are accounted for. We seek a hologram 15 that diffracts all incoming plane wave to form the desired image intensity at the wafer 17. This is an inverse diffraction problem, which is always involved in the design of computer-generated holograms and which has been discussed fairly extensively in the literature, for example, by J. R. Fienup, Opt. Eng., vol. 19 (1980) pp. 297-305, and by S. H. Lee, ed., Special Issue on Computer Generated Holograms, Appl. Optics, vol. 26 (1987) pp. 4350-4399. The hologram is assumed to be constructed from an optical medium whose thickness h varies with position and can be chosen by an algorithm, discussed below, and whose complex refractive index n=1-.delta.-i.beta. at the X-ray wavelength .lambda. is known. A constant thickness membrane, or a support membrane that does not further modulate the transmitted wavefield, is assumed to support the hologram. If the thickness h at a certain location in the hologram is chosen to produce a desired value for the phase change (.theta.=2.pi..delta.h/.lambda.), the amplitude attenuation factor r must be r=exp(-2.pi..beta.h/.lambda.). Therefore, the only allowed combinations of amplitude and phase of the beam are those that lie on the logarithmic spiral r=exp(-.theta..beta./.delta.) in the complex plane (r versus .theta. in polar coordinate form). An example of such a curve, for carbon at .lambda.=5 nm, is shown in FIG. 2. Carbon has a refractive index n=1-.delta.-i.beta. with .delta.=0.0039 and .beta.=0.0027 at .lambda.=5 nm. Other attractive choices of the hologram material include germanium (at .lambda.=1 nm), silicon (1.24 nm), GaAs (1.1 nm), Si.sub.3 N.sub.4 (3 mn), SiO.sub.2 (2.5 nm), fused quartz, nickel (1.4 nm), gold (0.56 nm), silver (0.36 nm), platinum (0.44 nm) and palladium (0.38 nm). Because most of the information in diffractive imaging techniques is encoded as phase, we prefer to use materials with a large ratio .delta./.beta. for transmission holographic optical elements. This allows large phase changes for the thickness h to be achieved somewhat independently of changes in amplitude. If large signal attenuation by the hologram 15 in FIG. 1 is required, the signal attenuation can at least approximate this by adding a suitable integer multiple of 2.pi. to the phase angle .theta.. Considerations of resist penetration, resist resolution and organic contaminant contrast suggest that optimum wavelengths for X-ray lithography are either just above the carbon K edge at .lambda.=4.4 nm, or at .lambda.=1-2 nm. Use of .lambda.>10 nm X-rays in many of the published projection lithography schemes is driven by considerations of multilayer technology that are not involved here. FIGS. 3A and 3B show the ratio .delta./.beta. and the thickness required to obtain a 2.pi. phase shift in several materials that have already been successfully used to make high resolution Fresnel zone plates (another type of holographic optical element). All these materials have favorably high ratios .delta./.beta. at .lambda..apprxeq.1 nm wavelength, and .delta./.beta. is also high on the long wavelength sides of the absorption edges. One benefit of considering shorter wavelengths is that, for a desired transverse resolution D, the depth of field DOF.apprxeq.4 D.sup.2 /.lambda. for 100 nm resolution projection lithography increases to even more flavorable values. For the example calculations presented here, we shall consider the use of carbon at .lambda.=5 nm wavelength, although the method is applicable generally, including extension to the .lambda..apprxeq.1 nm wavelength region. The binary test-object for which specific calculations have been done, shown in FIG. 4, is represented by 256.times.256 0.063 .mu.m.sup.2 pixels, and has black or zero-exposure features ranging in size from 0.063 .mu.m to 1.0 .mu.m on a uniform white background of normalized intensity 1.0. We chose the very narrow minimum linewidth (0.063 .mu.m) to provide a severe test of the algorithm for designing the hologram, although the application of the procedure to pattern minimum feature sizes in the 0.1-0.25 .mu.m range is of immediate interest and is easier to implement. We now describe one procedure that can be used to design a carbon hologram to deliver the X-ray intensity pattern in FIG. 4 to the wafer. As a first step, we backpropagate a .lambda.=5 nm wave field whose initial phase and amplitude at the wafer plane are equal to zero and to the square root of the desired pattern intensity, respectively. This wave field arrives at the hologram plane (located d=200 .mu.m upstream from the wafer) with definite known values of the amplitude and phase at each pixel. We wish to design the hologram so that a unit intensity plane wave transmitted through the hologram would match these values. To do this with carbon, we only have access to complex numbers lying on the spiral in FIG. 2, so we proceed (within a specified upper limit on the pattern thickness) by choosing the correct value for the phase and adding whatever multiple of 2.pi. gets us closest to the correct amplitude. This produces the zero order approximation to the hologram. By forward propagating the wave field that would be transmitted by this hologram to the wafer plane and by taking the square of the magnitude, a zero order approximation to the desired image at the wafer is produced, which image turns out to be a surprisingly good approximation to the desired pattern. We wish to produce a more accurate print of the desired pattern intensity, but we have no interest in controlling the optical phase at the wafer plane. Therefore, for the next iteration we leave the current value of the phase at the wafer plane unchanged. For a desired intensity of 0, we set the wafer-plane magnitude to 0, calculate the average magnitude of the non-zero or "white" pixels, and choose those pixels to have a magnitude of 1.05 times the average magnitude, to drive the algorithm to improving the diffraction efficiency of the hologram while simultaneously producing a uniform "white" intensity. The numerical factor 1.05 here is an example and may be replaced by any number between 1.0 and 1.5 according to convenience. We then combine these magnitude and phase values, backpropagate to the hologram plane, approximate the complex amplitude as before, forward propagate to the wafer plane, and continue this procedure for the desired number of iterations. The carbon thickness or hologram pattern calculated by 100 iterations of this procedure is shown in FIG. 5. This procedure chooses low carbon thickness for most pixels. The wafer plane intensity, produced when this hologram is illuminated by a unit plane wave, is nearly identical with the desired image shown in FIG. 4; the desired dark features remain dark, and the light features have a normalized intensity in the range 0.7-1.5, with an average value close to the target value of 1.0. The good fidelity of this image is also illustrated in FIG. 6, which shows the intensity pattern across a scan line of the wafer-plane image. Ideally, the image relative intensity at each point should be either 1.0 or 0. However, the values illustrated here are easily within the accuracy needed for microlithographic processing. Note that the resolution of the image is essentially the same as that of the hologram. This is true in general for in-line holograms, of which the zone plate is another example. Thus, the manufacturing tolerances and field size required in making the hologram would be essentially the same as for producing a proximity mask for the same test pattern. This result is an important advantage of the present approach, and should be compared to the formidable 0.5-1 nm surface manufacturing tolerances required for the optical reduction projection lithography systems described above. The calculations presented thus far relate to a mostly-white type of test object. We have also tested the applicability of the method to mostly-black objects by utilizing the complement of the object in FIG. 4 as the desired image. The method works even better for this case, and one result of the algorithm used is shown in FIG. 7. The overall image fidelity is very similar, but the light intensity in the white image region is about 50 times higher. This indicates that the holographic optical element is capable of concentrating the light in a manner analogous to a zone plate. Both of these example designs show that the carbon relief mask, which is in essence a near-image-plane phase hologram, has the remarkable property that the twin-image, intermodulation arid zero-order terms are all suppressed. A general feature of this type of hologram is localization of the recorded information, corresponding to production of each image pixel by a moderately small region of the hologram defined by the hologram-image distance d and the numerical aperture NA, as indicated in the discussion of FIG. 1 above. Here, NA is equal to .lambda./2s, where s is the minimum feature size. One consequence of this is a great reduction in the required coherence length used in illuminating the hologram to reconstruct the original image (projecting the image onto the wafer in this first embodiment). In the present example, the radius of good mutual coherence would need to be 10 .mu.m transversely, and the monochromaticity .lambda./.DELTA..lambda. would need to be 45 waves for 63 nm (0.063 .mu.m) resolution, or 6 .mu.m and 20 waves for 100 nm (0.1 .mu.m) resolution. These requirements are easy to meet and are consistent with X-ray sources capable of illuminating high wafer throughput systems. Another consequence of the localization of information is that one can readily compute a large wave field by dividing it into smaller computationally tractable parts and stitching the resulting holograms together afterward. Furthermore, in many electronic devices, large regions have repetitive mask patterns; this repetitive feature could be used to ease computation of the hologram. The algorithm for producing a holographic image source rapidly converges to a solution, with most of the optimization occurring during the first few iterations. It may be possible to improve upon this convergence by using an input-output type, rather than an error-reduction type, of algorithm. Uniqueness considerations are eliminated here. We seek any hologram that will produce the desired wafer plane pattern, rather than a unique solution to the inverse source problem. The above simulations assume that we could vary the carbon thickness continuously over the range 0 to 1 .mu.m. FIGS. 8A and 8B illustrate the wafer plane intensity variations calculated with discrete maximum thickness limits of 0.5, 1, 1.5, . . . , 5.0 .mu.m for the white background and black background situations, respectively. For black features on a white background (FIG. 8A), the spread in the range of white intensity values changes little as the thickness limit is varied. In the case of white features on a black background (FIG. 8B), the algorithm seeks to minimize the spread in white intensity values rather than to increase the average white intensity value. If the thickness limit is reduced from 1 .mu.m to 0.5 .mu.m (0.5 .mu.m thickness corresponds to a phase shift of 0.8.pi.), the algorithm is no longer able to produce well-separated white and black intensity levels. The calculations that implement backpropagation are discussed by L. P. Yaroslavskii and N. S. Merzlyakov, Methods of Digital Holography, translated from Russian by Consultants Bureau, New York and London, 1980, pp. 105-111, and incorporated by reference herein. Existing fabrication techniques for binary optics for visible light involve overlays of N binary etch steps (with each etch pattern written by electron beam lithography) to create 2.sup.N allowable thickness values in a refractive media. Because this technology may be directly applicable to our proposed method for X-ray projection lithography, we have also modified our hologram design procedure for the situation where only discrete thickness values are allowed. FIGS. 9A and 9B present water plane intensity limits calculated with discrete thickness increments of 30, 60, 90, and 120 nm, up to a maximum thickness of 1000 nm, for white and black backgrounds, respectively. This allows 34, 17, 12, and 9 thickness levels, respectively. Where white features are printed on a black background (FIG. 9B), the contrast of the pattern remains quite good, even with only nine allowed thickness levels. We judge the case of printing black features on a white background to be acceptable only when the thickness step size is 60 nm or less, corresponding to four etch overlays. We assume that one of the 17 gray levels for 60 nm thickness increments can be safely ignored. Either positive or negative lithographic images, with hologram thicknesses corresponding to about 2.pi. or more of phase shift, and with only four etch overlays, can be produced by this approach. For example, we have done simulations to generate the same test pattern using 2.sup.4 thickness levels in 1500 nm of fused quartz at .lambda.=2.5 nm wavelengths and have obtained results of equally good quality as those shown here for 2.sup.4 thickness levels in 1000 nm of carbon at .lambda.=5.0 nm wavelength. Binary optical systems using fused quartz have been fabricated with four etch steps, 500 nm feature width, and 40 nm step thickness, and aspect ratios as high as 20:1 have been achieved in 35 nm linewidth lithography in other contexts. The technological challenges in producing in-line transmission holographic optics for projection X-ray lithography thus appear to be surmountable. As the linewidth used in X-ray lithography is reduced, the problem of contamination becomes more and more serious. Proximity X-ray lithography with .lambda.=1 nm X-rays offers some relief from the problem because some types of contaminant particles present on the mask or wafer will be transparent to the X-rays. This fact is considered to be one of the advantages of X-ray lithography. On the other hand, the existence of contaminant particles between the mask and the wafer places limits on how small the mask-wafer gap can be made, and this in turn leads to a corresponding limit on the diffraction-limited resolution. Furthermore, the use of X-rays does not overcome defects in the mask resulting from contamination during its manufacture. Holography provides a radical new approach to the contamination problem. Because the information relating to each point of the image is distributed over many pixels of the hologram, we expect that it would not matter if a small fraction of that area is blocked by a defect or a contaminant. This will more likely be true if the wafer is in the far field of the defect; i.e., if the defect size is less than about d'=[.lambda.d].sup.1/2 . With a hologram-to-mask separation distance d=200 .mu.m and wavelength .lambda.=5 nm, this threshold defect size becomes 1 .mu.m in the present example. This implies that if a high brightness X-ray source is used for wafer exposure, one may wish to increase the hologram-to-wafer separation distance d above 200 .mu.m to improve immunity to contamination, at the expense of requiring a greater degree of coherence in the illumination of the hologram. As a test of these ideas, we performed simulations where spherical gold particles were assumed to be located on the carbon holograms associated with FIGS. 4 and 7 (one sphere was located at the center, and the other was located near the lower left comer). FIGS. 10A and 10B illustrate an effect of high Z contaminant diameter (0.2-1.0 .mu.m) on wafer plane intensity, for white and black backgrounds, respectively. FIGS. 10A and 10B indicate that, if these high Z contaminants are sufficiently small, of size <0.4 .mu.m for printing black features on a white background, or of size <1 .mu.m for printing white features on a black background, the desired wafer plane image is produced with almost no degradation. This result is also valid for low Z contaminants. These values apply to a choice of d=200 .mu.m for hologram separation distance, but we can obtain immunity to the presence of still larger contaminant particles by using a larger separation distance d. This property, that mask defects or contaminants will often not lead to image defects, is a considerable advantage of the technique, and may have significant impact on the economics of device manufacture. A second embodiment of the invention uses reflection from a hologram to form image features of a given size the wafer or substrate. With reference to the reflection configuration 31 shown in FIG. 11, for a feature size s, illumination wavelength .lambda., hologram width L and a square wafer image field of area w2, the numerical aperture for this arrangement is EQU NA=sin.beta.=K.lambda./s, (1) where K is a dimensionless empirical number of the order of 1. In FIG. 11, a light beam 33 of wavelength .lambda. is incident at grazing incidence upon an optical substrate 35 containing a hologram. The grazing incidence angle is .alpha. and the diffraction angle of interest is .beta., both being chosen based upon considerations of material reflectance and resolution. A wafer 37 has an area of w.sup.2 and is illuminated by the diffracted image produced by the incident light beam 33, and satisfactory imaging at the wafer 37 is obtained if the coherence length of the light .lambda..sup.2 /.DELTA..lambda. is equal to the largest path length difference among rays arriving at any point in the image. From the geometry of FIG. 11, it is easily verified that a transverse dimension w of the wafer is determined by the relation EQU w=(L/2)sin(.alpha.+.beta.) (2) and that the hologram-to-wafer distance is p=L sin .alpha./sin .beta.. The maximum path difference, which occurs for light rays arriving at the point E on the wafer 37, is found to be the coherence length HBE-AE=BE-GE=(L sin .alpha.).sup.2 /2p and is set equal to .lambda..sup.2 /.DELTA..lambda.. This yields the relation EQU .lambda./.DELTA..lambda.=Kw sin .alpha./[s sin (.alpha.+.beta.)].(3) In order to penetrate at least 0.2 .mu.m into a typical photoresist material, light of wavelength .lambda..ltoreq.13.5 nm must be used. Longer wavelengths have advantages in this situation, because monochrometer technology becomes easier to implement and the coherent output of a light source improves as the wavelength increases. For grazing incidence angles of 0.15 radians (8.59.degree.) or less, gold and carbon each reflect with greater than 60 percent efficiency for all wavelengths .lambda.>9.5 nm. Other attractive choices for the reflective coating include, without limitation, chromium, nickel, rhodium, rhenium, iridium and platinum. Choosing gold with K=1, minimum feature size s=0.1 .mu.m, wafer size w=1 cm and .lambda.>9.5 nm, the configurations illustrated in Table 1 should produce acceptable holographic image patterns with minimum feature size s=0.1 .mu.m or larger on the wafer 37. TABLE 1 ______________________________________ Acceptable Configurations For Hologram Reflection Imaging (s = 0.1 .mu.m) .lambda.(nm) .alpha. .beta. L(cm) p(cm) .lambda./.DELTA..lambda. Beam width (cm) ______________________________________ 13 8.59.degree. 7.47.degree. 7.2 8.3 54,000 1.1 10 8.59.degree. 5.74.degree. 8.1 12.1 60,000 1.2 5 5.degree. 2.87.degree. 14.6 25.4 64,000 1.3 1 2.degree. 0.57.degree. 44.6 156.5 78,000 1.6 ______________________________________ Although all configurations indicated in Table 1 are feasible, the most easily achievable choice for the second embodiment is the configuration with .lambda.=13 nm. This compact systems requires a hologram area of size .apprxeq.8 cm.times.3 cm, with reasonable values of wavelength and feature size, but with synchrotron radiation monochromaticity (.lambda./.DELTA..lambda.) that has not yet been obtained at these low wavelengths. However, the desired radiation monochromaticity appears to be within reach. Using the grating equation (including the conical diffraction for the transverse direction), the fringe period or resolution for "writing" a hologram to deliver a smallest feature size s=0.1 .mu.m for longitudinally and transversely oriented lines, respectively; and for .lambda.=13 nm, the required resolution is 0.31 .mu.m and 0.10 .mu.m for longitudinally and transversely oriented lines, respectively. This second embodiment of the invention requires use of a very high resolution monochromator, and this is best operated from a low emittance, high brightness synchrotron source. An ideal synchrotron source would be a 6-cm-period undulator on a high brightness storage ring, of energy about 1.5 GeV, delivering a single mode beam. Another possibility is use of an X-ray laser having the appropriate emission wavelength. Presently available X-ray lasers have the necessary monochromaticity but do not yet have sufficient flux per mode per pulse to adequately expose 1 cm.sup.2 of photoresist material. In this embodiment, an inverse diffraction problem must also be formulated and solved, in order to determine a hologram required to produce a given image on the wafer. In either embodiment, the wafer or substrate that receives the X-rays from the hologram may be coated with a photoresist material, such as PMMA. The irradiated photoresist material should be developed by procedures well known in the art to bring out the desired image. Although the invention has been illustrated with minimum linewidths as small as 0.06 .mu.m, minimum linewidths as low as 0.01 .mu.m should be achievable with this approach, if the hologram writing device can provide linewidths sufficiently small. Linewidths above a minimum value, such as 0.25 .mu.m, are also easily achieved with this approach.
claims
1. A mobile X-ray unit comprising:a base having a control unit configured to control an X-ray applicator and a power supply configured to supply power to the X-ray applicator;an articulated arm associated with the base and coupled to the X-ray applicator, the X-ray applicator having an X-ray tube including a target for generating an X-ray beam, a collimator for shaping the X-ray beam, and an exit surface through which the shaped X-ray beam is configured to exit the X-ray tube; andat least one light source configured to illuminate at least a portion of the X-ray beam emitted from the exit surface. 2. The mobile X-ray unit according to claim 1, wherein the at least one light source is an array of light sources arranged concentrically around the X-ray applicator. 3. The mobile X-ray unit according to claim 2, wherein the X-ray beam has a longitudinal axis, and wherein each light source is arranged to emit a beam of light towards the longitudinal axis at a pre-determined distance from the exit surface of the X-ray tube. 4. The mobile X-ray unit according to claim 1, wherein the light source is disposed inside the X-ray applicator, and wherein the light source is configured to emit a light beam that is intercepted by the collimator so as to generate an image of an X-ray field emitted from the exit surface of the X-ray tube. 5. The mobile X-ray unit according to claim 1, further including at least one optical fiber configured to deliver light from the light source for interception by the collimator. 6. The mobile X-ray unit according to claim 5, wherein the at least one light source is connected to a plurality of optical fibers disposed above the collimator in the X-ray applicator, the optical fibers being configured to illuminate an opening of the collimator. 7. The mobile X-ray unit according to claim 1, wherein the at least one light source is configured to emit a light beam so as to delineate a longitudinal axis of the X-ray beam. 8. The mobile X-ray unit according to claim 1, wherein the at least one light source is a laser. 9. The mobile X-ray unit according to claim 1, wherein the at least one light source is a light emitting diode (LED). 10. The mobile X-ray unit according to claim 1, wherein the at least one light source is configured to provide a contrast image of at least a portion of the X-ray beam. 11. The mobile X-ray unit according to claim 1, wherein the collimator is provided with identification devices configured to generate a signal representative of characteristics of the collimator and transmit the signal to the control unit. 12. The mobile X-ray unit according to claim 1, wherein the collimator is configured to be received in a receptacle having a resistive path, the collimator having a set of projections configured to cooperate with the resistive path of the receptacle for generation the signal. 13. The mobile X-ray unit according to claim 1, further including a set of collimators each having unique identification devices. 14. The mobile X-ray unit according to claim 1, further including a radiation detector for detecting the X-ray beam. 15. The mobile X-ray unit according to claim 14, wherein the radiation detector is configured to generate a control signal upon generation of the X-ray beam. 16. The mobile X-ray unit according to claim 1, further comprising a temperature sensor configured to measure a temperature of a surface of one of the X-ray tube and the X-ray applicator. 17. The mobile X-ray unit according to claim 1, further including an applicator cap for covering at least an exit surface of the X-ray applicator. 18. The mobile X-ray unit according to claim 17, wherein the applicator cap is disposable after a single use of the X-ray applicator. 19. The mobile X-ray unit according to claim 17, wherein a thickness of the applicator cap in a direction of the beam propagation is sufficient for substantially eliminating electron contamination from the X-ray beam. 20. The mobile X-ray unit according to claim 1, wherein the power supply is operable in the range of 60-75 kV for generating the X-ray beam. 21. The mobile X-ray unit according to claim 20, wherein the power supply is operable for delivering power of about 200 W in use. 22. The mobile X-ray unit according to claim 1, wherein the X-ray applicator is connected to the base by a flexible cable, and wherein the flexible cable is disposed in a displaceable panel. 23. The mobile X-ray unit according to claim 22, wherein the at least one light source is disposed in the displaceable panel. 24. The mobile X-ray unit according to claim 20, wherein the at least one light source is disposed in the base. 25. The mobile X-ray unit according to claim 22, wherein the displaceable panel includes a user interface for controlling the X-ray unit. 26. The mobile x-ray unit according to claim 1, wherein the at least one light source is configured to illuminate a two-dimensional portion of the surface irradiated by the X-ray beam. 27. A method for visually delineating an X-ray beam emitted from a mobile X-ray unit, the mobile X-ray unit including a base having a control unit configured to control an X-ray applicator and a power supply configured to supply power to the X-ray applicator, the mobile X-ray unit further including an articulated arm associated with the base and coupled to an X-ray applicator, the X-ray applicator having an X-ray tube including a target, a collimator, and an exit surface, the method comprising:generating an X-ray beam;shaping the X-ray beam; andilluminating at least a portion of the surface irradiated by the shaped X-ray beam emitted from the exit surface. 28. The method according to claim 27, further including a light source. 29. The method of claim 28, wherein the light source is disposed in the X-ray applicator. 30. The method according to claim 28, wherein the light source is disposed on the X-ray applicator. 31. The method according to claim 28, wherein the light source is configured to generate a light field providing indication of a two-dimensional area of the X-ray beam. 32. The method according to claim 28, wherein the light source is configured to delineate a longitudinal axis of the X-ray beam.
045171521
claims
1. The method of testing spaced fuel element tubes which are assembled in bundles to form a reactor core by ultrasonic energy, wherein an ultrasonic transmit transducer and an ultrasonic receive transducer are moved through open spaces between the tubes and when testing a tube are disposed with a tube in the space between said transducers, said transmit transducer when in position for testing a tube being energized to cause ultrasonic energy to propagate in said tubing and the resulting revolving echo signal being received by said receive transducer and if occurring within a predetermined gated time interval (12) being passed to an evaluation means, the improvement comprising: determining anew for each tube to be tested the start of said gated time interval (12) by measuring the transit time value (T.sub.L) of ultrasonic signals traversing the distance from said transmit transducer (4) to said receive transducer (5) when said transducers are in the open space between the last tested tube and the next to be tested tube, and subtracting a constant value (C) from the transit time value (T.sub.L), said constant value (C) being selected to cause the transmitted ultrasonic signal (DE) received during the transit time measurement to fall just outside said gated time interval (12).
abstract
Disclosed herein is a spacer grid for a nuclear fuel assembly. The spacer grid has dimples for supporting fuel rods and is formed from grid strips which are assembled in a lattice shape to form lattice cells. Each grid strip has at least one hole which is formed separately from the dimples. Therefore, when coolant is drawn into the fuel assembly, a pressure difference between opposite sides of the holes is reduced, and friction generated between cut edges of the holes and the coolant reduces the magnitude of the vibration and causes a damping effect, thus reducing flow-induced vibration. The hole formed in the grid strip function to widen the range of the frequency of the flow-induced vibration caused by vortex sheddings formed around the edges of the grid strip, thus reducing the possibility of the generation of resonance with the natural frequency of the spacer grid.
claims
1. An apparatus for transferring spent, radioactive nuclear fuel comprising:a cylindrical inner shell having a length, forming a cavity within which spent nuclear fuel can be placed;a cylindrical outer shell concentric with, having substantially the same length as, and surrounding the inner shell to form an annulus with the inner shell, the annulus filled with lead;a jacket shell concentric with and surrounding the outer shell to form a jacket for holding a neutron absorbing liquid, the jacket surrounding the outer shell for an axial length, the axial length extending from a bottom of the jacket shell to a top of the jacket shell;one or more holes extending through the jacket shell at or near the top of the jacket shell to form a passageway into the jacket;one or more drain valves in fluid connection with the jacket, the one or more drain valves located at or near the bottom of the jacket shell and movable between an open position and a hermetically closed position;a bottom flange having holes;a removable bottom lid; anda plurality of fasteners that extend through the holes in the bottom flange and engage the removable bottom lid. 2. The apparatus according to claim 1 further comprising means to hermetically seal the one or more holes. 3. The apparatus of claim 2 wherein the means to hermetically seal the one or more holes comprises a plug. 4. The apparatus of claim 1 wherein the one or more holes are located on a top surface of the jacket shell. 5. The apparatus of claim 1 wherein the inner shell and outer shell are constructed of carbon steel. 6. The apparatus of claim 1 further comprising a plurality of radial plates located within the jacket, the radial plates connecting the outer shell and the jacket shell. 7. The apparatus of claim 1 wherein the jacket shell is constructed of carbon steel. 8. The apparatus of claim 1 wherein the inner shell, the outer shell, and the jacket shell are substantially circular in cross-section. 9. The apparatus of claim 1 further comprising a neutron absorbing liquid in the jacket. 10. The apparatus of claim 9 wherein the neutron absorbing liquid is water. 11. The apparatus of claim 1 wherein the fasteners are bolts and the bolts threadily engage the bottom lid.
description
Hereinafter, embodiments of a scanning electron microscope according to the present invention will be described with reference to the drawings. As shown in FIG. 16, fabrication steps of a semiconductor wafer or the like according to the present invention are as many as several hundred steps and it is important in promoting the yield to discover pattern defects or adhering foreign particles (these are referred to as defects) caused in respective steps and to carry out countermeasures thereof at an early stage. Therefore, inspection of defects of pattern defects of adhering foreign particles and so on caused on a wafer (substrate) in fabrication steps is carried out by using optical appearance inspecting apparatus or optical foreign particle inspecting apparatus. According to the optical appearance inspecting apparatus, defects of pattern defects, adhering foreign particles and the like present on a substrate such as a wafer are inspected and a number of the defects and positions thereof within the substrate are detected and accordingly, it has been difficult to estimate causes of these defects. Hence, in order to estimate causes of these defects, it is necessary to optically observe (review) these defects manually. However, when a number of defects per each substrate. (wafer) becomes as large as one hundred through several thousands or more, it is no longer possible to review them manually as a particle of fact. Accordingly, there is needed a microscope according to the present invention for utilizing coordinates of defects detected by the inspecting apparatus and automatically taking images of defects at coordinate positions, that is, a microscope having a function of automatically sampling images of defects. Hence, as a microscope having the function of automatically sampling images of defects according to the present invention, there is used an optical microscope or an electron beam microscope using xe2x80x9cixe2x80x9d ray, ultraviolet ray (excimer laser beam) having shorter wavelength or the like capable of observing a defect having a size of several nanometers through micrometer order. Further, according to the microscope having the function of automatically sampling images of defects, it is necessary to automatically classify and determine a state of fabrication process (particularly, a state of causing a defect, that is, a category of a defect) by carrying out detailed analysis based on images of outlook defects having high resolution of an object substrate such as a semiconductor wafer or the like. Next, description will be given of an embodiment using an electron beam microscope as a microscope having a function of automatically sampling images of defects according to the present invention with reference to FIG. 1 through FIG. 7. FIG. 1 is a schematic configuration diagram showing a first embodiment of a scanning electron microscope 3 having a function of automatically sampling defect images according to the present invention. To be more specific, of substrates in which defects of pattern defects, adhering foreign particles and the like have been inspected and a number of the defects and positions in the substrates has been detected by an optical appearance inspecting apparatus 2 shown by FIG. 2, an object substrate (sample) 10 for automatically classifying and determining a state of a fabrication process (particularly, a state of causing a defect, that is, a category of a defect) is charged and mounted on a stage 106. Further, in order to automatically classify and determine a state of a fabrication process (particularly, a state of causing a defect, that is, a category of a defect), previously, instruction data for instructing a category of a defect needs to form based on characteristic amounts (feature data) provided from outlook defect images (a size of a defect, a Surface texture of a defect, a gray scale value being obtained from a defect, color information on a defect and so on). Hence, an object substrate having an outlook defect for instruction is charged and mounted on the stage 106 to previously acquire an outlook defect image for instruction. The scanning electron microscope 3 having the function of automatically sampling defect images according to the present invention is constituted by a detection optical system 100, a control system 200 and an electron beam image outputting unit 300. The detection optical system 100 is constituted by an electron gun 101, a convergent lens 103 for converging electron beam 102 irradiated from the electron gun 101, a deflecting coil 104 for deflecting the electron beam 102, an objective lens 105 for focusing the electron beam in a spot-like shape, the stage 106 installed in a sample chamber for mounting the object substrate 10, a detector 112 for detecting secondary electron or reflected electron or absorbed electron 111 generated from the object substrate 10, and a signal amplifying unit 114 for amplifying a signal of secondary electron or reflected electron or absorbed electron detected by the detector 112. The control system 200 is constituted by a stage drive control unit 110 for controlling the drive of the stage 106 in x-y axes directions based on a stage control signal 204 provided from the total control unit 20 and controlling the drive of the stage 106 in a z axis direction (height direction) based on a beam spot diameter control signal based on a beam spot diameter control signal provided from a beam spot diameter control unit 203, a deflection controlling unit 108 for controlling a frequency, a deflection width and so on of the electron beam deflected by the deflecting coil 104 or the like and scanning the electron beam 102 two-dimensionally on the object substrate 10 based on an instruction from the total control unit 20, an objective lens control unit 109 for controlling numerical aperture or the like of the objective lens 105 based on an instruction from the total control unit 20, a sample information and inspection information storing unit 201 for storing information in respect of the object substrate (sample) 10 inputted to the total control unit 20 and inspection information on coordinates of positions of defects or the like inspected and detected by the appearance inspecting apparatus 2, an image taking magnification setting unit 202 for setting image taking magnification of secondary electron or reflected electron or absorbed electron image of which is taken by the detector 112 in accordance with a range of two-dimensionally scanning:the electron beam 102 on the object substrate 10 based on information in respect of the object substrate (sample) stored in the sample information and inspection information storing unit 201 and an error between a coordinate system of the appearance inspecting apparatus 2 and a coordinate system of the electron microscope and so on, the beam spot diameter control unit 203 for forming a spot diameter control signal by determining a beam spot diameter on the surface of the sample which is optimum for image taking based on the image taking magnification of secondary electron or reflected electron or absorbed electron set by the image taking magnification setting unit, information in respect of the object substrate (sample) stored to the sample information and inspection information storing unit 201, information in respect of a sampling system provided from an A/D conversion unit 116, x-y-z position information of the stage 106 detected by the stage drive control unit 110 and instruction for controlling the deflection controlling unit 108 and the objective lens control unit 109 provided from the total control unit 20, and the total control unit 20. The electron beam image outputting unit 300 is constituted by an image processing unit 120 (15) having the A/D conversion unit 116 for A/D-converting an analog signal of secondary electron or reflected electron or absorbed electron amplified and outputted by the signal amplifying unit 114 into a digital signal in synchronism with the deflection control signal provided from the deflection controlling unit 108 and providing the information in respect of the sampling system comprising period information T or the like in digital sampling to the beam spot diameter control unit 203, a frame memory 117 for temporarily storing digital electron beam image converted by the A/D conversion unit 116, an operating unit constituted by CPU or the like for subjecting image stored to the frame memory 117 to sum processing or difference processing and storing the image again to the frame memory 117 and a D/A conversion unit 118 for converting digital electron beam image stored to the frame memory 117 into analog electron beam image, a display apparatus 115 (18) for displaying the analog signal of secondary electron or reflected electron or absorbed electron amplified and outputted by the signal amplifying unit 114 and the analog electron beam image provided from the D/A conversion unit 118 and an image preserving unit 119 constituted by an optical disk, a magnetic disk, a semiconductor memory or the like for storing and preserving the digital electron beam image stored to the frame memory 117. The operating unit of the image processing unit 120 is also provided with a function of carrying out the sum processing for taking image at the same portion by plural times by the detector 112 by scanning the electron beam on the same portion by plural times and constituting the image of the portion by an average value of the plurality of images in order to promote SN ratio of the provided image and extracting difference image indicating a defect by comparing digital electron beam image having high magnification where the defect is present when digital electron beam image having high magnification is detected with digital electron image having high magnification where the defect is not present. The sample information and inspection information storing unit 201 is provided with a function of storing information and inspection information on the sample 10 image of which is taken, which is provided by being inputted to the total control unit 20 by a keyboard, a record medium, a network or the like. The information on the sample 10 includes, for example, kind, material, color, shape, pattern, size or the like of the sample and the total control unit 20 can input and acquire from a fabrication line management system (a field management system) 1205 managing a fabrication line for fabricating the sample (object substrate), from a CAD system 1206 having design information via a network 22 or a record medium shown by FIG. 2. In respect of pattern of the sample, there is included information on presence or absence of a pattern formed on the surface of the sample, presence or absence of periodicity of the pattern, a period of the periodic pattern and the like. Further, when the sample 10 is provided with pattern or color which differs by respective fabrication steps as in a semiconductor wafer, names of the fabrication steps can be included as information provided from the fabrication line management system 1205. Other than these, when position information on an image taking portion in the sample 10 is previously determined, the position information is also included. The sample information may be a design value previously determined in respect of the sample or other value of coordinates of a position of a defect detected by the outlook detecting apparatus 2. Further, the sample information may be a value sampled by adding an arbitrary processing to analog data or digital data acquired at the image processing unit 120. For example, the value may include frequency components of a detected signal provided from the image processing unit 120 by subjecting provided digital data to Fourier Transformation processing. At the image taking magnification setting unit 202, magnification of taking image of the sample 10 is set. The magnification may be a value provided from outside of the scanning electron microscope according to the present invention to the image taking magnification setting unit 202 or may be a value provided based on information concerning the sample stored to the sample information storing unit 201. The image taking magnification is set to high magnification for acquiring digital image having high resolution for analyzing in details, for example, a defect portion (when the magnification is, for example, about 10,000 or more, for example, 30,000 through 60,000, the field of view becomes about 1 through 2 xcexcm and a defect of 0.1 xcexcm can be recognized by a size of about 3 mm through 6 mm) and low magnification capable of specifying the position of the defect portion (when the magnification is about 10,000 or low, for example, 10,000, the field of view becomes about several through 10 xcexcm and can be made to fall within an error between coordinate systems in various kinds of outlook inspection apparatus and a coordinate system in the electron beam microscope, and further, a defect of 0.1 xcexcm can be recognized with a size of about 1 mm). The beam spot diameter control unit 203 is provided with a function of determining a beam spot diameter on the surface of the sample which is optimum in taking image, based on information concerning sampling within the sample information and inspection information storing unit 201, the image taking magnification setting unit 202 and the A/D conversion unit 116. The information in respect of sampling provided from the A/D conversion unit 116 includes period information in digital sampling or the like. Further, although an explanation has been given such that the sampling period T is made constant when image is taken at low magnification and when image is taken at high magnification in sampling and quantizing at the A/D conversion unit 116, the sampling period T may be changed depending on the case of taking image at low magnification and the case of taking image at high magnification. When the sampling period T is changed at the A/D conversion unit 116, the sampling period may be changed based on a beam spot diameter control signal outputted from the beam spot diameter control unit 203. Next, description will be given of automatically sampling image by using the scanning electron microscope 3 as a microscope based on coordinates of defects in the object substrate inputted and provided from the optical appearance inspecting apparatus 2 to the total control unit 20 by a network or a record medium with reference to FIG. 3. First, in step S301, an aggregation of coordinates of a number of defect portions inspected and detected by the optical outlook inspection apparatus 2 with regard to a plurality of the object substrates 10 charged into the scanning electron microscope 3, is inputted to the total control unit 20 by the network 22 or a record medium of a disk or the like and is stored to the sample information and inspection information storing unit 201. Next, in step S302, the total control unit 20 reads a coordinate of a position of one defect portion in defect portions on the object substrate 10 charged and mounted onto the stage 106 by selecting it from the sample information and inspection information storing unit 201. Next, in step S303, the total control unit 20 positions the position of the selected defect portion substantially on an optical axis of the electron beam 102 by moving the stage 106 based on instruction outputted to the stage drive control unit 110 for taking electron beam image at the selected. coordinate portion on the object substrate 10. Next, in step S304, after moving and positioning the stage 106, the total control unit 20 enlarges a two-dimensional scan width of the electron beam 102 on the object substrate 10 of the electron beam 102 to about several through 10 xcexcm by controlling the deflection controlling unit 108 such that electron beam image having low magnification set by the image taking magnification setting unit 202 can be taken by the detector 112. At the same time, in order to prevent high frequency noise components from being included in electron beam image detected by the detector 112 in correspondence with the low magnification set by the image taking magnification setting unit 202, the beam spot diameter control unit 203 provides the beam spot diameter control signal to the stage drive control unit 110 to thereby lift the stage 106 in z direction so that as shown in FIG. 6(b), the electron beam 102 is irradiated to the defect portion on the object substrate 10 in a defocused state by enlarging the beam spot diameter as indicated by numeral 404, electron beam image which does not include noise components is taken by the detector 112 by the low magnification at the defect portion, converted into digital electron beam image by the A/D conversion unit 116 and is stored to the frame memory 117. The low magnification, mentioned here, is set as image taking magnification of up to about 10,000 such that the defect portion is positioned in the field of view (about several through 10 xcexcm) in accordance with the error between coordinate systems in the outlook inspection apparatus 2 of various kinds and the coordinate system in the electron microscope 3. In this way, when the low magnification is set to about 10,000, the field of view becomes about several through 10 xcexcm and a defect of 0.1 xcexcm can be recognized by a size of about 1 mm. Next, in step S305, the total control unit 20 instructs the stage drive control unit 110 to move the stage 106 to thereby position a portion with no defect having a background being the same as that of the defect portion at the optical axis of the electron beam 102 based on sample information stored to the sample information and inspection information storing unit 201. Further, the electron beam 102 is irradiated to the no defect portion in the defocused state with the two-dimensional scan width (low magnification) being the same as that in step S304, electron image having no noise component is taken at the no defect portion by low magnification by the detector 112, converted into digital electron beam image by the A/D conversion unit 116 and is stored to the frame memory 117. For example, for a semiconductor product, a plurality of chips having the same structure are arranged on a wafer thereof and a pattern thereof is formed and accordingly, for example, the above-described operation is carried out by taking electron beam image at the same portion of a contiguous chip. When electron beam image at a portion with no defect having a background being the same as that of the defect portion can previously be acquired and can be stored to a storing apparatus such as memory or the like (for example, 119) installed at inside or outside of the microscope, the step S305 can be omitted. Next, in step S306, the image processing unit 120 extracts difference image by positioning two of the electron beam images taken in step S304 and step S305 and having no noise components relative to each other and a portion producing a difference in the extracted difference image can be recognized as a defect portion. For example, the defect portion can be recognized by displaying the extracted difference image on the display apparatus 115 and by feeding back the coordinate of the recognized defect portion to the total control unit 20, the total control unit 20 can accurately position the defect portion which is recognized by being taken at the low magnification to the optical axis of the electron beam 102. Further, recognition of the coordinate of the defect portion by the low magnification may be executed by the operating unit in the image processing unit 120. Further, by designating the defect portion by the low magnification displayed at the display apparatus 115 on the screen, the position coordinate can be outputted form the display apparatus 115 and provided to the total control unit 20. Next, in step S307, the total control unit 20 positions the defect portion to the optical axis of the electron beam 102 accurately with an accuracy of about 1 xcexcm or lower by moving the stage 106 by controlling the stage drive control unit 110 based on the position coordinate of the defect portion which is recognized based on electron beam image having the low magnification provided from the image processing unit 120 or the display apparatus 115. Further, the total control unit 20 narrows the two-dimensional scan width of the electron beam 102 on the object substrate 10 of the electron beam 102 to about 1 through 2 xcexcm or lower by controlling the deflection controlling unit 108 such that electron beam image having high magnification of, for example, about 30,000 through 60,000 set by the image taking magnification setting unit 202 can be taken by the detector 112. At the same time, in order to be able to take ultra fine electron beam image having high resolution capable of honestly calculating characteristic amounts of the defect in correspondence with high magnification set by the image taking magnification setting unit 202, the beam spot diameter control unit 203 provides the beam spot diameter control signal to the stage drive control unit 110 to thereby lower the stage 106 in the z direction so that as shown in FIG. 6(a), the electron beam 102 is irradiated to the defect portion of the object substrate 10 in a focused state, ultra fine electron beam image having high resolution is taken with high magnification at the defect portion by the detector 112, converted into digital electron beam image by the A/D conversion unit 116 and stored to the frame memory 117. The high magnification, mentioned here, is image taking magnification of 10,000 or more (for example, about 30,000 through 60,000) capable of providing digital electron beam image having high resolution. When the image taking magnification is set to high magnification of, for example, 30,000 through 60,000, a defect of 0.1 xcexcm can be recognized by a size of about 3 mm through 6 mm and a defect of 0.05 xcexcm can be recognized by a size of about 1.5 mm through 3 mm, digital electron beam image having high resolution can be acquired and detailed analysis can be carried out by extracting characteristic amounts (size, shape, surface texture, gray scale value and so on) of the defect portion based on the acquired digital electron beam image having high resolution. In this way, the defect portion inspected and detected by the appearance inspecting apparatus 2 is positioned in the coordinate system of the electron microscope and accordingly, the defect portion can be positioned within a field of view having high magnification of 10,000 or more. As a result, ultra fine electron beam image having high resolution can be taken with high magnification including the defect portion. Further, since ultra fine electron beam image having high resolution can be taken with high magnification including the defect portion, characteristic amounts (size, shape, surface texture, gray scale value and so on) of the defect can be extracted based on the ultra fine defect electron beam image having high magnification and based on the characteristic amounts and previously instructed instruction data (a relationship between a representative characteristic amount of defect and category of the defect), the category of the defect (a kind capable of presuming cause of generating defect) can be classified. Next, in step S308, the total control unit 20 confirms whether or not electron beam image has finished to be taken in respect of all of defect portions based on inspection information inspected by the appearance inspecting apparatus 2 and stored to the sample information and inspection information storing unit 201. When there remain data to be taken, the operation returns to step S302 and when all of the data has been taken, automatic sampling of image is finished. According to automatic sampling of image, as described above, in step S304, firstly, image of defect portion is taken by low magnification, and position of the defect portion is sampled by the coordinate system of the electron microscope. Then, in step S307, image of the portion is taken with high magnification. Originally, the object of acquiring ultra fine electron beam image of defect portion is achieved only by taking the image with high magnification at the electron microscope based on position of the defect coordinate provided by the appearance inspecting apparatus. The reason of taking the image with high magnification after once taking the image with low magnification here is that there is an error between the coordinate provided by the appearance inspecting apparatus 2 and the coordinate used in the electron microscope 3 for determining movement of the stage 106 and the field of view for taking the image and accordingly, even when image of the coordinate position of defect from the appearance inspecting apparatus 2 is intended to take with high magnification, there is no guarantee that the defect portion is brought into the field of view. Accordingly, in consideration of the error between the coordinate system of the appearance inspecting apparatus 2 and the coordinate system of the electron microscope 3, based on inspection information stored to the sample information and inspection information storing unit 201, the image taking magnification with low magnification at the electron microscope 3 is determined and set by the image taking magnification setting unit 202. As a result, when the object substrate 10 having the defect portion is charged and mounted onto the stage 106 of the electron microscope 3, the defect portion can be positioned in the field of view for taking the image with low magnification (about several through 10 xcexcm). Further, the reason of calculating the difference image between the electron beam image which does not include noise components by the low magnification at the defect portion and the electron beam image which does not include noise components by the low magnification at the portion with no defect having the background being the same that in the defect portion by the image processing unit 120 at the step S306, is that by carrying out the difference image calculation of the two images at portions having the same background, the difference portion can easily be recognized as the defect portion and the position of the defect portion can be specified by sampling the position in the coordinate system of the electron microscope. In step S306, the difference image calculation carried out by the image processing unit 120 is executed by using two-dimensional digital images provided by converting the electron beam images detected by the detector 112 in analog-to-digital conversion by the A/D conversion unit 116. When an analog signal is converted into a digital signal at the A/D conversion unit 116, if a frequency component w of the analog signal and the sampling interval T in digital sampling do not satisfy a sampling principle shown by Equation (1), as described below, an analog image signal including information on a defect portion detected by taking image thereof at low magnification, cannot be converted into a digital image signal accurately without causing pseudo noise components. The reason is that generally, pseudo noise referred to as moire is caused in digital data converted under a condition which does not satisfy the sampling principle. Therefore, when the difference image processing is carried out in step S306 in a state of including such a noise, there is a high possibility of erroneously recognizing a portion of producing a difference in the electron beam image caused by noise as a defect portion. As a result, there is a case in which the defect portion cannot be specified by the electron beam coordinate system and electron beam image with high magnification of the defect portion cannot accurately be acquired in step S307. In this case, the sampling principle is a principle in which when the frequency component included in the analog signal component before digital conversion is designated by notation. w and the sampling interval in sampling the analog signal component is designated by notation T, the condition of Equation (1) shown below needs to satisfy. This is a, relationship between {circle around (1)} the frequency component w of the detected analog signal and {circle around (2)} the digital sampling interval T. T less than xc2xd wxe2x80x83xe2x80x83(1) In the case of taking image of defect caused in fabrication steps of a semiconductor or the like by a scanning electron microscope, what are related to {circle around (1)} the frequency component of the detected analog signal are a frequency component provided by an object to be observed (object substrate 10), that is, a pattern per se of a semiconductor wafer and a beam diameter when a surface thereof is detected by electron beam. According to the scanning electron microscope 3, when an electron beam diameter on the surface of the sample 10 is provided with a size of a degree being the same as fine irregularities of the pattern, an analog signal detected by the detector 112 is superposed with a frequency component of a degree being the same as that of the irregularities of the surface. However, when the beam diameter at the surface of the sample 10 is sufficiently larger than the irregularities of the pattern, the detected analog signal is not superposed with the frequency component of a degree being the same as that of the fine irregularities of the pattern. Thereby, when electron beam image is taken by the detector 112 by a control in which the electron beam diameter at the surface of the sample 10 is much larger than the. frequency component provided to the pattern of the surface of the sample of the object of taking image, that is, by defocusing (dimming) the image as shown in FIG. 6(b) based on the beam spot diameter control signal from the beam spot diameter control unit 203, the frequency component of the detected analog signal is provided with only a component which is much lower than the frequency component provided to the pattern of the surface of the sample and the image can be taken under the condition satisfying Equation (1). Therefore, the portion of defect detected by the coordinate system of the appearance inspecting apparatus 2 can be positioned in the field of view for taking image with low magnification in the electron microscope 3 and the position of the defect portion can be recognized and specified in the coordinate system of the electron microscope 3. However, when a degree of defocusing is excessively large, the signal component of the defect portion to be sampled is not detected by the detector 112. FIGS. 4(a), 4(b) and 4(c) are diagrams schematically explaining this state. FIG. 4(a) is a sectional view showing an embodiment of the surface of a sample to be detected. FIGS. 4(b) and 4(c) show waveforms of analog signals respectively detected from the same portion. To be more specific, FIG. 4(b) shows a waveform of an analog signal detected by taking the electron beam diameter on the surface of the sample to be substantially the same as the size of irregularities of the surface and FIG. 4(c) shows a waveform of an analog signal detected by controlling the electron beam diameter on the surface of the sample much larger than the size of the irregularities of the surface. FIG. 4(a) shows the sample 10 in which a pattern portion 401 is formed above a matrix portion 402 and a defect 403 of a foreign particle or the like adheres onto the matrix portion 402. When the waveform shown by FIG. 4(b) is viewed, a mountain of a waveform caused by detecting the defect 403 of a foreign particle or the like is observed. Further, a voltage value of a portion in correspondence with an edge portion of the pattern 401 becomes higher than a voltage value at the surrounding since an edge defect particularly to a detected waveform of a scanning electron microscope is manifested. In a state of excessive defocusing as shown in FIG. 4(c), a frequency component in correspondence with a frequency component of fine irregularities of the pattern 401 is not superposed on the detected signal. As a result, it is known that the defect portion 403 of a foreign particle or the like cannot be observed in accordance with a deterioration in the waveform of the signal component. Even when the analog signal detected by the detector 112 under such a state is converted into a digital signal by the A/D conversion unit 116, it is difficult to detect the defect by digital signal processing at the image processing unit 120. The size of a defect to be detected is normally xc2xd through ⅓ of a minimum dimension of a pattern formed on the semiconductor product and about 0.2 through 0.05 xcexcm. Hence, in order to determine the beam spot diameter in a defocused state necessary for detecting a defect to constitute a target under a state in which the sampling principle is satisfied in the beam spot diameter control unit 203, a consideration needs to be given also to sample information on a size of a pattern, a period of pattern or the like stored to the sample information and inspection information storing unit 201. Next, description will be given of {circle around (2)} digital sampling interval sampled and quantized at the A/D conversion unit 116. To be more specific, in the A/D conversion unit 116, it is determined in what number of digital data of one scan portion of beam scanning based on control of the deflection controlling unit 108 in respect of the deflecting coil 104 is sampled. This is determined as the apparatus specification of the electron microscope. This is determined as apparatus specification of the electron microscope. For example, when sampling is carried out by 512 times, a number of pixels in the scanning direction of the provided digital image becomes 512 pixels. Meanwhile, a scanning width controlled by the deflection controlling unit 108 based on instruction of the total control unit 20 is determined by magnification of image set by the image taking magnification setting unit 202. In the case of low magnification, compared with the case of high magnification, a relatively large area (about several through 10 xcexcm) is scanned at a time, and therefore, even when a number of sampling times stays constant, an interval between sampled data on the object substrate is widened. To be more specific, with regard to {circle around (2)} digital sampling interval, the image taking magnification becomes an important item thereof. At any rate, the image taking magnification at low magnification is determined to about 10,000 or smaller (about several through 10 xcexcm) at the image taking magnification setting unit 202 by being inputted to the total control unit 20 in accordance with the error between the coordinate systems of various kinds of the appearance inspecting apparatus 2 and the coordinate system in the electron microscope 3. To be more specific, since the object substrate 10 having defect portions inspected and detected by various kinds of the outlook inspection apparatus 2 is charged into the electron microscope, the image taking magnification of low magnification in the electron microscope 3 is determined such that defect portions are disposed within the field of view of low magnification when they are positioned in the coordinate system of the electron microscope based on the coordinate data of the defect portions in the object substrate 10 inspected and detected by various kinds of the outlook inspection apparatus. Therefore, when images of defect portions in the object substrate 10 charged and mounted onto the stage 106 of the electron microscope, is taken at low magnification, it becomes possible to acquire digital image signals indicating the defect portions and to specify position coordinates of the defect portions by the coordinate system of the electron microscope and it becomes possible to dispose the defect portions within the field of view with high magnification, acquire digital image signals with high resolution by high magnification and analyze in details in respect of the defect portions. In automatic sampling of image shown by FIG. 3, in step S304 and step S307, image of the same portion is taken by different magnifications by the electron microscope. Further, for the object. substrate 10, different patterns are formed for respective products and accordingly, period of pattern and size of pattern also differ. Accordingly, in the electron microscope, to accurately carry out A/D conversion and extract defect areas, the operation must be controlled such that the following three items satisfy the sampling principle by Equation (1). (1) A frequency component provided to a surface pattern of the object substrate, (2) An electron beam diameter on a surface of the object substrate in taking image, and (3) Image taking magnification. In this way, the beam spot diameter control unit 203 determines (1) the frequency component provided to the surface pattern of the object substrate which is provided from the sample information and inspection information storing unit 201, (3) image focusing magnification (particularly, low magnification) set by the image taking magnification setting unit 202, and (2) the electron beam diameter on the surface of the object substrate particularly when image is taken at low magnification based on the sampling interval at the A/D conversion unit 116. Based on the determined beam spot diameter control signal 205, the stage drive control unit 110 is controlled and electron beam is irradiated to the surface of the object substrate in a defocused state, so that digital image showing defect portions taken with low magnification can be acquired without causing noise components by satisfying the sampling principle of Equation (1). Next, description will be given further to a method of taking electron beam image of the object substrate (sample) 10 without causing noise components. FIG. 5(a) shows an image taking area 301 taken when an image of the sample 10 is taken by the scanning electron microscope and FIG. 5(b) shows two-dimensional digital image 302 of the image taking area 301. Area sizes xw and yw in x and y directions of the image taking area 301 indicate a size of an area on which the electron beam 102 is made to scan on the sample 10 by the deflection coil 104. Assume that the two-dimensional digital image 302 provided from the A/D conversion unit 116 is constituted by M and N pixels respectively in x and y directions. In providing the two-dimensional digital image 302 in respect of the image taking area 301, in view of x direction, a one-dimensional analog electric signal provided by one scan of electron beam 102 over the distance xw, is digitally sampled into M of digital data and in respect of y direction, N times of scanning of the electron beam 102 over the distance xw are carried out in respect of the distance yw in y direction. This signifies that analog electric signals detected from the sample 10 are sampled as data of N and M respectively in x and y directions with regard to both of x and y directions and in this case, to accurately take the two-dimensional digital signal 302, the sampling principle (Equation (1)) needs to satisfy between a frequency component of the analog digital signal produced by converting an intensity distribution of secondary electron emitted from the sample 10 and the sampling interval. When digital sampling is carried out under a condition which does not satisfy the sampling principle, two-dimensional digital image includes noise. When pixel numbers (M, N) to be sampled stay constant regardless of the image taking magnification, in the case in which scan. areas xw and yw are large, that is, the image taking magnification is large, compared with the case in which the scan areas xw and yw are small, that is, the image taking magnification is small, a spacial period of digital sampling becomes large. This signifies that the control must be carried out such that the sampling principle is established between the frequency component of the analog electric signal of the secondary electron intensity distribution and the sampling interval in accordance with a change in the image taking magnification. The control is grossly classified into two methods of (A) secondary electron is detected such that components having frequencies equal to or larger than a frequency provided by the sampling principle are not included in the detected analog electric signal and (B) components having frequencies higher than the frequency provided by the sampling principle are removed from the detected analog electric signal. Description will be given later of the method of (B) with reference to FIG. 10 and at this occasion, description will be given of the method of (A). FIGS. 6(a) and 6(b) show a behavior of irradiating the sample 10 with the electron beam. In FIG. 6(a), the electron beam 102 is converged substantially in a dot-like shape on the sample 10. A secondary electron intensity distribution detected in such a beam shape, includes high frequency components in correspondence with a fine shape on the sample or the like. In the meantime, FIG. 6(b) shows the state in which the stage 106 is moved in z direction from the state of FIG. 6(a). In this case, the electron beam 102 is not sufficiently converged onto the surface of the sample and a beam spot diameter 404 on the sample is provided with a value larger than that in the case of FIG. 6(a). When image is taken under the state, an analog electric signal of a detected two-dimensional electron intensity distribution does not include high frequency components in correspondence with a fine shape of a surface of the sample or the like and the taken image becomes a defocused (dimmed) image. In this way, by taking image in the defocused state by controlling the beam spot diameter of the electron beam on the sample, high frequency components of the acquired secondary electron signal intensity distribution can be eliminated. To be more specific, by controlling the beam spot diameter to an arbitrary size, components of frequencies higher than an arbitrary frequency can be prevented from being included in an analog electric signal produced by converting detected secondary electron. FIG. 7 shows a sequence of taking image without causing noise by using the above-described principle according to the embodiment of the present invention shown in FIG. 1. First, in step S701, image taking magnification is set to the image taking magnification setting unit 202. As mentioned above, the set value may be calculated from content of the sample information and inspection information storing unit 201 or may be inputted directly from outside by the user. Next, in step S702, the beam spot diameter control unit 203 determines conditions of taking defocused image to satisfy the sampling principle by using the image taking magnification set by the image taking magnification setting unit 202 and information with regard to the sampling system provided from the A/D conversion unit 116. The conditions include a value of the beam spot diameter on the surface of the sample and a moving amount of the stage 106 for taking image with the beam spot diameter. Next, in step S703, the stage 106 is moved in z direction based on control by the stage drive control unit 110 by using the beam spot diameter control signal such that image is taken by the determined conditions. Further, in step S704, the total control unit 20 controls the deflection controlling unit 108 to thereby control the deflection coil 104 in accordance with the image taking magnification set by the image taking magnification setting unit 202, so that the electron beam 102 is irradiated to a two-dimensional scanning range on the surface of the sample 10 and electron beam image is taken by the detector 112 with the image taking magnification. When a periodic pattern is formed on the sample 10 constituting the object of image taking, an analog electric signal detected by the detector 112 may be superposed with frequency components caused by the frequency of the pattern on the sample depending on the image taking magnification and therefore, the beam spot diameter control unit 203 can determine image taking conditions for satisfying the sampling principle in respect of various patterns of samples by using information in respect of the pattern of the image taking object stored to the sample information and inspection information storing unit 201, information on image taking magnification set by the image taking magnification setting unit 202 and the sampling interval provided from the A/D conversion unit 116. To be more specific, even when image of any object is taken by any magnification, the beam spot diameter control unit 203 can accurately take the image without causing pseudo noise by controlling the beam spot diameter to satisfy the sampling principle. Next, description will be given of a second embodiment of a scanning electron microscope for automatically sampling defect image according to the present invention with reference to FIG. 8. According to the second embodiment, as a method of controlling the spot diameter of the electron beam 102 on the sample 10, there is used a method in which a characteristic of the objective lens 105 used for converging the electron beam 102 on the sample 10 is changed without moving the stage 106 in the z direction as shown by the first embodiment in FIG. 1. Other constitution of the second embodiment is the same as that of the first embodiment shown in FIG. 1. To be more specific, the beam spot diameter control unit 203 determines image taking conditions satisfying the sampling principle and instructs: the objective lens control unit 109 to change (control) the numerical aperture of the objective lens 105 by using an objective lens control signal 206 to take image under such a condition. By changing the numerical aperture of the objective lens 109, as shown in FIG. 6(b), the electron beam spot diameter 404 on the surface of the sample 106 can be enlarged and electron beam image can be taken under the defocused state as shown in FIG. 6(b). Next, description will be given of a third embodiment of a scanning electron microscope for automatically sampling defect image according to the present invention with reference to FIG. 9. The third embodiment is of a system of controlling beam current of the electron beam 102 emitted from the electron gun 101 to take an image of the sample 10 in the defocused state. Other constitution of the third embodiment is the same as that in the first embodiment shown in FIG. 1. When beam diameter of the electron beam 102 is designated by notation d and beam current is designated by notation i, the following relationship of Equation (2) is established. Equation (2) shows a relationship in which by increasing the beam current of the electron beam 102, the electron beam spot diameter on the surface of the sample 10 is also increased. xe2x80x83d=Kxc3x97ixe2x80x83xe2x80x83(2) where notation K designates a constant. To be more specific, the beam spot diameter control unit 203 can enlarge the electron beam spot diameter 404 on the surface of the sample 10 as shown in FIG. 6(b) by increasing the beam current emitted from the electron gun 101 on the basis of an electron gun control signal 207 to thereby enable to take electron beam image in the defocused state. Further, by increasing the beam current in this way, there can be achieved an advantage of capable of accelerating beam scanning. Next, description will be given of a fourth embodiment of a scanning electron microscope for automatically sampling defect image according to the present invention with reference to FIG. 10. According to the first, the second and the third embodiments, upon taking image by low magnification, the electron beam 102 is defocused on the sample 10, so that a detected analog electric signal of an intensity distribution of secondary electron is controlled not to include frequency components equal to or higher than a constant frequency, with a result that a digital image signal having low magnification indicating a true defect portion which does not include noise components is provided from the A/D conversion unit 116 to thereby specify the position of the defect portion within the field of scope of low magnification. In the meantime, according to the fourth embodiment shown in FIG. 10, in an analog signal processing unit 703, by removing frequency components of a predetermined frequency or higher from the detected analog electric signal 113, a digital image signal of low magnification showing the true defect portion which does not include noise components is provided from the A/D conversion unit 116 to thereby specify the position of the defect portion within the field of view of low magnification. The fourth embodiment includes a signal processing control unit 702 for determining content of processing with regard to a detected signal and the analog signal processing unit 703 for carrying out analog signal processing based on information concerning the sample information and inspection information storing unit 201, the image taking magnification setting unit 202 and the A/D conversion unit 116. The signal processing control unit 702 instructs the analog signal processing unit 703 to remove frequency components of a constant frequency or higher from the analog electric signal 122 to satisfy the sampling principle by using an analog signal processing control signal 701. FIG. 11 shows an embodiment of the analog signal processing unit 703. The analog signal processing unit 703 is provided with one or more of frequency filtering circuits 802 having different frequency characteristics. The frequency filtering circuit 802 is an analog filtering circuit of a band-pass type. By instruction from the signal processing control unit 702, a switch control unit 803 arbitrarily changes connection states of the frequency filtering circuits 802 by a switching circuit 804 to thereby enable to select filters necessary for the processing. Further, in order to realize similar function, in pace of the system of selecting necessary filtering circuits from a plurality of filtering circuits as in the embodiment shown in FIG. 11, there may be adopted a system in which by instruction of the analog signal processing control signal 701, the filter characteristic is changed by changing parameters determining the characteristic of the filtering circuit (when the filtering circuit comprises capacitor, resistor and inductor, capacitance, resistance, inductance and so on). Next, description will be given of a fifth embodiment of a scanning electron microscope for automatically sampling defect image according to the present invention with reference to FIG. 12. In order to carry out digital processing such that pseudo data (pseudo noise) is not caused in electron beam image taken with low magnification, it is an object of the fifth embodiment to specify the position of defect portion within the field of view of low magnification by carrying out a digital frequency filtering processing in respect of A/D-converted digital data at the A/D conversion unit 116 in conformity with image taking conditions to thereby provide a digital image signal of low magnification indicating true defect portion. A digital signal processing unit 901 for carrying out digital signal processing is provided in the image processing unit 120. FIG. 13 shows an embodiment of the digital signal processing unit 901. The digital signal processing unit 901 includes a microprocessor 1003 capable of making access to the frame memory 117, a program memory 1001 stored with programs of processings carried out by the microprocessor and a program control unit 1002 for controlling the microprocessor 1003 to carry out the processings based on instruction provided from digital signal processing control signal 903. The program memory 1001 is previously stored with one or more of digital filtering programs having different frequency characteristics. According to the embodiment shown in FIG. 12 and FIG. 13, the signal processing control unit 702 instructs the signal processing unit 120 having such a digital signal processing unit to determine a program for processing image data on the frame memory 117 by using the digital signal processing control signal 903 based on information concerning the sampling interval and so on from the sample information and inspection information storing unit 201, the image taking magnification setting unit 202 and the A/D conversion unit 116. The program control unit 1002 receives instruction of the digital signal processing control signal 903 and :selects and starts a program stored in the program memory 1001 for processing the microprocessor 1003 as instructed. Thereby, there can be carried out processings having filter characteristics which differ in accordance with various image taking conditions. Further, the constitution of the above-described embodiment is effective even when programs stored to the program memory 1001 are not filter programs. As described above, upon taking image with low magnification, the control is facilitated by acquiring digital image indicating the defect portion by controlling to prevent pseudo noise components from being produced by irradiating the surface of the sample with the electron beam 102 in the defocused state as in the first, the second and the third embodiments rather than acquiring digital image indicating defect portion by removing pseudo noise components by filtering processing from a detected analog image signal or A/D-converted digital image signal as in the fourth and the fifth embodiments. Various kinds of patterns formed on the object substrate are conceivable and various kinds of filtering processings needs to provide in compliance with the kinds of patterns and image indicating defect portion needs to be prevented from being erased. Therefore, according to the fourth and the fifth embodiments, the filtering processing becomes complicated. Next, description will be given of control information (sample information and inspection information inputted to the total control unit 20 and stored to the sample information and inspection information storing unit 201 as well as image taking magnification set to the image taking magnification setting unit 202 and so on) for taking electron beam image under conditions satisfying the sampling principle in respect of various products of semiconductor wafers in the scanning electron microscope having the function of automatically sampling defect image according to the present invention with reference to FIGS. 14(a) and 14(b). FIGS. 14(a) and 14(b) show control information comprising sample information and inspection information inputted to the total control unit 20 and stored to the sample information and information storing unit 201 as well as image taking magnification set to the image taking magnification setting unit 202 and so on. Columns of product names indicated in FIG. 14(a) show an example with objects of five product kinds (kinds of samples) of Memory A (cell pitch is about 5 xcexcm, frequencies of a background pattern formed on the surface are high), Memory B (cell pitch is about 15 xcexcm and frequencies of a background pattern formed on the surface are low), Logic C, Logic D, Logic E mixed with memories (cell pitch is about 15 m, frequencies of a background formed on the surface are low). A column of xe2x80x9cPresence or absence of memory cellxe2x80x9d is a field showing whether or not respective products are provided with patterns of memory cells (frequency information on a background pattern at the defect portion concerning sample). xe2x80x9cCell pitchxe2x80x9d indicates a cell pitch (design information concerning sample) when the product is provided with a memory cell. xe2x80x9cImage taking magnificationxe2x80x9d is set with respective magnifications in low magnification and high magnification. In this case, the low magnification is determined to about 10,000 or lower such that the field of view becomes about several through 10 xcexcm in accordance with the error between the coordinate system inspected and detected by the appearance inspecting apparatus and the coordinate system in the electron microscope. To be more specific, the appearance inspecting apparatus is provided with various pattern inspecting apparatus and foreign particle inspecting apparatus including a difference in fabrication maker and accordingly, as inspection information, there are name of kind of the appearance inspecting apparatus and the position coordinate of the defect portion. To be more specific, the low magnification signifies image taking magnification having low magnification (about 10,000 or lower such that the field of view becomes about several through 10 xcexcm) which is used for specifying defect portion by difference image processing and the high magnification signifies magnification (equal to or higher than 10,000, for example, about 30,000 through 60,000) in taking image providing high resolution by high magnification to carry out further detailed analysis of the specified defect portion. The magnification in taking image with low magnification is determined by inputting it by the user to the total control unit 20 as the magnification capable of disposing defect of image taking object within the field of view of image having low magnification in consideration of the error of internal coordinate between various kinds of appearance inspecting apparatus and the scanning electron microscope. The magnification in taking image with high magnification is a value determined by inputting it by the user to the total control unit 20 in consideration of resolution of image necessary for detailed analysis of defect. Columns of xe2x80x9cControl conditionsxe2x80x9d are control conditions determined to control to take image in a state of satisfying the sampling principle from four values of xe2x80x9cProduct namexe2x80x9d, xe2x80x9cPresence or absence of memory cellxe2x80x9d, xe2x80x9cCell pitchxe2x80x9d and xe2x80x9cImage taking magnificationxe2x80x9d. FIG. 14(b) shows a table showing how to control specifically for respective control conditions. According to the example, Condition 1 signifies to set z direction position of the stage to 0 (mm) and beam current to 1.0 (pA) under a condition of irradiating electron beam to the surface of the object substrate 10 in a focused state as shown in FIG. 6(a) in order to adapt to image taking at high magnification. Condition 2 shows an example of a case of setting z direction position of the stage to 10 (mm) and beam current to 1.5 (pA) under a condition in which electron beam is irradiated to the surface of the object subject 10 by reducing an amount of defocusing as shown in FIG. 6(b) to adapt to Memory B or Logic E mixed with memory in taking image with low magnification. Condition 3 shows an example in a case of setting z direction position of the stage to 20 (mm), and beam current to 2.0 (pA) under a condition in which electron beam is irradiated to the surface of the object substrate 10 by increasing the amount of defocusing as shown in FIG. 6(b) to adapt to Memory A. Further, control conditions 2 and 3 show conditions in which electron beam is irradiated to the surface of the sample in the defocused state by increasing both of the z direction position of the stage and the beam current, however, it is apparent that either of them may be increased. To be more specific, a number of these tables are stored and prepared to a memory apparatus (not illustrated) of the total control unit 20 or the beam spot diameter control unit 203 or the signal processing control unit 702 and the total control unit 20 or the beam spot diameter control unit 203 or the signal processing control unit 702 can uniquely determine control conditions in taking image of different products with different magnifications by referring to these tables. According to the tables shown in FIGS. 14(a) and 14(b), both of Logic C and Logic D are not provided with memory cells in the pattern and accordingly, control conditions of image taking magnifications at low magnification and at high magnification are equal to xe2x80x9cCondition 1xe2x80x9d. This signifies that since the circuit patterns are not provided with memory cell portions, even when the electron beam diameter is not controlled by the difference in the magnification, there causes no noise, and electron beam image is taken by the detector 112 and can be A/D-converted by the A/D conversion unit 116. Further, both of Memory A and Memory B are provided with memory cells as their patterns; however, there is observed a difference in cell pitches thereof. Therefore, according to Control condition 1 in taking image of Memory A having a smaller cell pitch with low magnification, compared with Control condition 2 in taking image of Memory B with low magnification, an amount of moving the stage 106 and a value of beam current become large, that is, indicating that image is to be taken under a further defocused state. Further, Logic E mixed with memories is provided with a cell pitch of a pitch of about 15 xcexcm in its circuit pattern and therefore, the control condition is equal to the control condition of Memory B. Further, the image taking conditions of all the products in taking image with high magnification are equal to xe2x80x9cControl condition 1 xe2x80x9d because the image taking condition of xe2x80x9cControl condition 1 xe2x80x9d signifies a condition in the case in which the electron beam diameter on the sample is minimum, that is, electron beam image is taken with high resolution without dimming the electron beam image. The tables shown in FIGS. 14(a) and 14(b) are generalized into content shown in FIGS. 15(a) and 15(b). To be more specific, conditions of taking electron beam image are constituted by kinds of object substrates (sample) where defect portions are present and the position information stored to the sample information and inspection information storing unit 201, image taking magnifications (basically, comprising low magnifications and high magnifications) set to the image taking magnification setting unit 202, sampling intervals for converting analog image signals into digital image signals at the A/D conversion unit 116 and so on. On the other hand, as control patterns for taking electron beam image, there are a distance of moving the stage, a numerical aperture of the objective lens and beam current for changing a focused condition for irradiating electron beam to the surface of the sample and analog filters and digital filters for filtering processing. In this way, when a corresponding relationship between image taking conditions shown in FIG. 15(a) and control patterns shown in FIG. 15(b) is previously stored to the total control unit 20 or the beam spot diameter control unit 203 or the signal processing control unit 702 and prepared as tables, the total control unit 20 or the beam spot diameter control unit 203 or the signal processing control unit 702 can select control patterns in accordance with image taking conditions adapted to defect portions of object:substrates (samples) charged into the electron microscope. FIG. 2 shows a mode in which the scanning electron microscope 3 according to the present invention and one or more of appearance inspecting apparatus 2 are connected to the network 22. The scanning electron microscope 3 is provided with an inspection information receiving unit 1202 so that inspection information provided from various kinds of the outlook inspect ion apparatus 2 can be received via the network. The inspection information may be supplied via other computer such as the fabrication line control apparatus 1205 connected to the network 22 and controlling a fabrication line or may be transferred directly from the appearance inspecting apparatus 2. The inspection information includes all of information provided by the appearance inspecting apparatus 2 and signifies, for example, positions of detected defects in the object substrate or defect sizes. The inspection information is inputted and stored to the sample information and inspection information storing unit 201 via the total control unit 20 in the scanning electron microscope 3. The sample information and inspection information storing unit 201 can also store information on design values of circuit patterns formed on the object substrate and so on other than the inspection information. Considering detailed observation of defects detected by various kinds of the outlook inspection apparatus 2 by using the scanning electron microscope 3, there are normally a plurality of defects to be observed in one object substrate and accordingly, enormous laborious effort is required to take all of the images. According to the present invention, it is possible to calculate a maximum spatial frequency of a pattern at a surrounding of each defect portion from the position of each defect and a design value of a circuit pattern formed on the object substrate, to set magnification in image taking, to control electron beam in a defocused state in order to prevent pseudo noise from being produced and to thereby take digital electron image by filtering processing. When the apparatus is operated to continuously take images of other defects after taking image of one defect, even in the case in which a number of defect portions are present in one object substrate, with no manual operation, positions of defect portions for all of the defect portions can be specified and digital electron beam image having high resolution of the specified defect portions can be acquired to thereby carry out detailed analysis. Other than the mode shown in FIG. 2, similar effect is achieved even when the network 22 is connected not only with the appearance inspecting apparatus 2 but also with other inspecting apparatus (for example, a tester for carrying out operating test of each chip formed on the object substrate) and one or more of observation apparatus constituted by the microscope according to the present invention and so on. Next, FIG. 16 is a diagram for explaining a role of a defect automatic sampling apparatus according to the present invention playing in a semiconductor fabrication process. According to a semiconductor fabrication process, semiconductors are fabricated after having been subjected to several hundreds of processes 1 through n (P1 through Pn) and there is a case in which a time period of about 100 days is required to finish them. However, the acceptability of product is determined in Probe inspection 1 after finishing with all the processes. Accordingly, in order to promote the yield, means for predicting the acceptability of intermediate processes is indispensable. Therefore, outlook inspection of the object substrate to be inspected (wafer substrate) is carried out by the defect inspecting apparatus 2 comprising an optical pattern defect inspecting apparatus and a foreign particle defect inspecting apparatus and the acceptability of process is determined by abnormality of outlook such as defect of wiring patterns or foreign particle defects. When the abnormality of process is confirmed, a countermeasure needs to be carried out and a defect automatic image classifying apparatus 3 plays an important role as information sampling means therefor. To be more specific, since progress in miniaturization of wiring patterns in semiconductor wafers or the like is remarkable, a defect size to be detected tends to be further downsized to 0.2 xcexcm or smaller and accordingly, there is. brought about a situation in which although locations and numbers of defects can be grasped from a result 4 of outlook inspection inspected by the optical defect inspecting apparatus 2, information on properties of defects or the like cannot be provided. Hence, based on locations (position coordinates) of defects on the object substrate to be inspected provided as the result 4 of the outlook inspection, properties (categories) of defects are classified and outputted by referring to instruction data based on characteristic amounts (size, shape, surface texture, gray scale value and so on of defect portion) of an image 5 of a portion where defect is caused by the defect automatic image classifying apparatus 3. Then, in a quality control system 7, countermeasure candidates can be narrowed down by confirming whether or not the defects are defects serious to the process based on properties (categories) of the defects classified by the defect automatic image classifying apparatus 3. An example shown by numeral 6 of FIG. 16 shows a result of summarizing again a result of classifying defect images according to kinds (categories) of defects. According to the classification 6, defect kinds are schematically indicated by two kinds of pattern defect and foreign particle defect. According to the result 6 provided from the defect image classifying apparatus 3, in the quality control system 7, it is known to be preferable to carry out a countermeasure for preventing foreign particles from being produced since an occurrence frequency of foreign particles is larger than that of pattern defects. To be more specific, in the quality control system 7, promotion of the yield can swiftly be achieved by predominantly predicting cause of defect having a high occurrence frequency and establishing a countermeasure against the defect. The automatic image sampling apparatus 3 according to the present invention realizes automatic sampling of defect images for review, that is, an image sampling function capable of stably catching defects with the purpose of promoting efficiency of defect confirming operation or reviewing operation which has been carried out optically. Next, description will be given of a constitution of the automatic image sampling apparatus 3 constituted by the scanning electron microscope according to the present invention. The total control unit (host computer) 20 controls a transfer apparatus 8 via a transfer control unit 17 to thereby mount the object substrate (for example, wafer substrate) 10 on the stage 106 capable of moving (traveling) in X-Y axes directions. In the meantime, there is acquired information for specifying the object substrate 10 inputted from a wafer ID reading apparatus installed at the transfer apparatus 8 or inputted by a user via a keyboard or the like and the host computer 20 receives defect coordinate information in correspondence thereto from a higher system via the network 22. The defect coordinates information is related to all of points of defect coordinates outputted from the defect inspecting apparatus (appearance inspecting apparatus) 2 shown in FIG. 1 or points of defect coordinates narrowed down for defect review or for sampling defect images from a result outputted from the defect inspecting apparatus 2. The higher system indicates a quality control system of a yield control system, a fabrication line monitoring system, a process control system and so on or an inspection apparatus for inspecting defects. The host computer 20 transmits instruction to the stage control unit 16 by referring to the defect coordinates information to move the stage 106 so that defects are moved to observing positions. Images of defects are taken by the electron detector 112 and defect images are recorded in an image recording apparatus (image storing apparatus) 14 via an image inputting apparatus 13. The above-described processings are repeated with respect to designated defects and defect images taken for defect portions present on the object substrate 10 are accumulated in the image recording apparatus 14. Further, the total control unit (host computer) 20 is also inputted with kind names and classification names of defect inspecting apparatus inspecting object substrates charged into the automatic image sampling apparatus 3 directly from the appearance inspecting apparatus 2 or from a control system controlling a total of the fabrication line via inputting means 21 of a record medium or the like or the network 22 and the information is stored to a storing apparatus 23. Therefore, the total control unit (host computer) 20 can grasp also information on so that defect inspecting apparatus the charged object substrates have been inspected. In the case of a scanning electron microscope 90, electron beam image can be acquired as highly fine outlook image having high resolution. The scanning electron microscope 90 is constituted by the detecting unit 100 and the image inputting unit 13. An electron optical system in the detecting unit 100 is constituted by the electron gun 101, an electron beam pulling electrode (not illustrated), the condenser lens (converging lens) 103, a blanking deflector (not illustrated), a diaphragm (not illustrated), the scanning deflector 104, the objective lens 105, a reflecting plate (not illustrated) for reflecting detected electron, an ExB deflector (not illustrated) and a Faraday cup (not illustrated) for detecting the beam current. The reflecting plate is formed in a conical shape and provided with the secondary electron multiplying effect. In the electron detecting unit, the electron detector 112 for detecting electron of, for example, secondary electron, reflected electron, absorbed electron or the like is installed, for example, above or below the objective lens 105. Further, an output signal from the electron detector 112 is amplified by the amplifier 114. Inside a sample chamber are installed a sample base 97 for mounting the object substrate (substrate for instruction) 10 for acquiring highly fine outlook image having high resolution, the stage 106 for moving the sample base 97 in X-Y axes directions, a length measuring instrument for monitoring position (not illustrated) for measuring a position of the stage and a height measuring instrument for measuring height (not illustrated) for measuring a height of the object substrate 10. The position monitoring length measuring instrument measures the position of the stage 106 or the like and transfers the result to the total control unit 20. Therefore, the total control unit 20 can accurately grasp an area and a position to which the electron beam 102 is irradiated based on these data. Further, the storing apparatus 23 is stored with information on outline position coordinates (outline position data (xn, yn)) of defect, number of defects and dimensions of each defect in respect of the object substrate (including substrate for instruction) in which various defects of defects of foreign particles or wiring patterns (circuit pattern) inspected by the optical defect inspecting apparatus (foreign particle inspecting apparatus or pattern inspecting apparatus) 2 are present. Therefore, when the object substrate 10 in which various defects such as foreign particles or wiring patterns inspected by the optical defect inspecting apparatus 2 are present is mounted on the stage 106, the total control unit 20 can position defects within a wide area (wide field of view) to which the electron beam 102 is irradiated by controlling the stage 106 based on the outline position data of defect stored to a storing apparatus 23 and the position coordinates of the stage 106 or the like measured by the position monitoring length measuring instrument stored to the storing apparatus 23. The height measuring instrument measures the height of the object substrate 10 mounted on the stage 106 by using optical measuring instruments and the like. Further, based on the height data measured by the height measuring instrument, the focal length of the objective lens 105 for finely narrowing the electron beam is dynamically corrected and the electron beam can be irradiated always in a state in which the observation area is focused. The electron beam emitted from the electron gun 101 is narrowed to a beam diameter of about a pixel size on a sample face via the condenser lens 103 and the objective lens 105. At this occasion, negative potential is applied to the object substrate 10 by a ground electrode 95 and a retarding electrode 96 and the electron beam is decelerated between the objective lens 105 and the object substrate 10 so that high resolution formation in a low acceleration voltage area is achieved. When the electron beam 102 is irradiated, electrons are generated from the object substrate 10. By detecting electrons generated from the object substrate in synchronism with repeated scanning of the electron beam 102 by the scanning deflector 104 in X direction and continuous movement of the object substrate 10 by the stage 106 in Y direction, highly fine two-dimensional electron beam image of the object substrate is provided. Electrons generated from the object substrate are caught by the electron detector 112 and signals therefrom are amplified by the amplifier 114. In this case, as the scanning deflector 104, an electrostatic deflector having fast deflecting speed may preferably be used. Further, as the electron gun 101, a thermofield emitting type electron gun capable of increasing the electron beam current and capable of shortening an irradiation time period may preferably be used. Further, as the electron deflector 112, a semiconductor detector capable of driving at high speed may preferably be used. The image inputting unit 13 is constituted mainly by an A/D converter 131 and a preprocessing circuit 132. Further, an electron detecting signal detected by the electron detector 112 is amplified by the amplifier 114 and converted into digital image data (gray scale image data) by the A/D converter 131. The converted digital image data is transferred by, for example, transferring means (optical fiber cable) and inputted to the preprocessing circuit 132. At the preprocessing circuit 132, there are carried out correction of dark level, correction of fluctuation of electron beam and correction of shading and picture quality is improved by carrying out a filtering processing for erasing pseudo noise components. As is described above, according to the scanning electron microscope 90, by mounting on the stage 106 the object substrates (substrates for instruction) 10 which are provided from various fabrication processes and in which various fine defects having different dimension (size) and states are present, the fine defects are positioned within a wide area (wide field of view) to which the electron beam 102 is irradiated and very fine outlook images by electron images of the defects are acquired by the electron detector 112 and the amplifier 114 and inputted to the image inputting unit 13 and accumulated in the image storing apparatus 14. As is described above, when a relationship between a stage coordinate system of the defect inspecting apparatus 2 and the object substrate coordinate system is the same as that between the stage coordinate system of the automatic image sampling apparatus 3 and the object substrate coordinate system, by determining position on the object substrate by using the same alignment marks on the object substrate (wafer substrate) 10, there poses no problem in acquiring image of defect by the automatic image sampling apparatus 3 by using defect coordinate values of defects to be observed outputted. from the defect inspecting apparatus 2. However, in reality, it is difficult to share defect coordinates between the defect inspecting apparatus 2 and the automatic image sampling apparatus 3. As reason therefor, there are pointed out a difference in a relationship between stage coordinate systems in respective apparatus and a coordinate system on the object substrate, an error in braking stages in the respective apparatus and so on. Further, there also poses a problem in which marks for carrying out alignment are not present in an object substrate which is not formed with a pattern such as a face plate or the like. There is disclosed a method of carrying out alignment by using defects present on an object for observation when there are no common alignment marks or when there is present a deviation on coordinates between the defect inspecting apparatus 2 and the automatic image sampling apparatus 3 in Japanese Patent Laid-open No. 6-249790. In the meantime, in order to take image of defect by moving the stage 106 to a position of a designated defect under a state in which alignment is not carried out accurately, the image taking magnification must be lowered, and an image must be taken in a wide range to thereby catch the defect in the image. However, it is difficult to detect a fine defect by low magnification. Hence, when a defect is utilized to carry out alignment, by predominantly using a defect having a large defect size, the defect can firmly be detected even by the low magnification. Hence, the total control unit (host computer) 20 rearranges information on defect coordinates acquired from the defect inspecting apparatus 2 inputted via, for example, the network 22, or inputted by the inputting means 21 of a record medium or the like and stored to the storing apparatus 23 in an order of large to small in accordance with dimensions of respective defects, takes images of defects by the image detector 12 or the electron detector 112 and inputs signals to the image inputting unit 13, converts them into digital image signals at the image inputting unit 13, further carries out correction of dark level, correction of fluctuation of electron beam and correction of shading in respect thereof and stores them to the image storing apparatus 14 after carrying out a filtering processing for erasing pseudo noise components so that the defects can be detected even when images thereof are initially taken with low magnification. Therefore, the defects can be used for the purpose of alignment and after finishing the alignment, the positioning accuracy of the defects by the stage 106 is promoted and accordingly, the defect images can be acquired with higher magnification. To be more specific, defect images can be acquired with pertinent magnification also with respect to very fine defects. Next, description will be given of a first embodiment of an operation flow in which the automatic image sampling apparatus 3 acquires defect images with higher magnification based on position coordinates of defects, a number of defects on an object substrate and dimensions of defects provided form the defect inspecting apparatus 2 with reference to FIG. 18. First, in step S401, a memory unit 24 of ROM or the like is inputted with a number N of defects on an object substrate used for alignment by converting coordinates of positions of the defects provided from the defect inspecting apparatus 2 into a coordinate system of the automatic image sampling apparatus 3 and image taking magnification for sampling the defect with dimensions of the defects provided from the defect inspecting apparatus 2 as a reference (defect sampling magnification for defect dimension) previously by using the inputting means 21 and the number and the image taking magnification are stored and set to the memory unit 24 of ROM or the like. To be more specific, previously, the number N of defects on the object substrate used for alignment (N is equal to about 5 through 10 to be able to carry out alignment in the coordinate system of the automatic image sampling apparatus 3) and the image taking magnification for extracting defects with defect dimensions provided from the defect inspecting apparatus 2 as a reference (defect sampling magnification for defect dimension), may be set to the memory unit 24 of ROM or the like and prepared as tables. Further, as data with. respect to defect sampling magnification for defect dimension which is. set to the memory unit 24 of ROM or the like, there are conceivable a method of classifying defect dimensions provided from the defect inspecting apparatus 2 in accordance with sizes thereof and defining image taking magnification for respective classes and a method of setting image taking magnification such that sizes of defects relative to image fall in a constant size or a constant size range. According to the former method, defects are classified such that Class xcex1 includes defects, for example, less than 0.5 m, Class xcex2 includes defects, for example, equal to or more than 0.5 xcexcm and less than 1 xcexcm, Class xcex3 includes defects, for example, equal to or more than 1 xcexcm and less than 5 xcexcm and Class xcex4 includes defects equal to or higher than 5 xcexcm and image taking magnifications are allocated to the respective classes such that the Class xcex1 is allocated with 50,000 (for example, image of defect of 0.1 xcexcm is taken as a digital image signal of 5 mm), the Class xcex2 is allocated with 30,000 (for example, image of defect of 0.5 xcexcm is taken as a digital image signal of 15 mm), the Class xcex3 is allocated with 10,000 (for example, image of defect of 1 xcexcm is taken as a digital image signal of 10 mm) and the Class xcex4 is allocated with 30,000 (for example, image of defect of 5 xcexcm is taken as a digital image signal of 15 mm). Further, it is possible to set these such that the image taking magnification is not allocated to a specific class and image of a defect in correspondence with the class is not taken. For example, in the above-described example, the Class xcex4 includes defects, for example, equal to or more than 5 xcexcm and less than 10 m, and further, Class E is provided to defects of, for example, 10 xcexcM or more. And, image of defects in correspondence with Class E (in the case of 3,000, for example, image of defect of 10 xcexcm is taken as a digital image signal of 30 mm) is not taken. Thereby, image taking can be prohibited with respect to a defect having very large dimensions and protruding from the field of view of image. Further, as mentioned above, there is a scanning electron microscope for providing image taking magnification of about 3,000 through 50,000. According to the latter method, a size of a defect observed on an image is previously designated by an image size (unit: pixel). For example, when pixel resolution is set to Y xcexcm in an image having magnification of X and a defect size in image (unit: pixel) is designated as Z pixels, image taking magnification D for taking image of a defect having a dimension of C xcexcm is given by D=(CX)/(YZ). The same goes by designating it by a rate to image. Further, when a constant width is permitted in a designated value of a defect size on image, a constant width is permitted also to image taking magnification and therefore, image taking magnification may be selected within a permitted range. Next, in step S402, position coordinates of defects and dimension information on defects in respect of the defects having the defect number M on the object substrate 10 which are inputted from the defect inspecting apparatus 2 to the automatic image sampling apparatus 3, are read by using the network 22 or the inputting means 21 of a record medium or the like and stored and accumulated to the storing apparatus 23. Next, in step S403, the total control unit (host computer) 20 rearranges the defects in an order of large to small of defect dimension based on the dimension information on the defects having the defect number M on the object substrate 10 which are stored and accumulated to the storing apparatus 23 and inputted to the automatic image sampling apparatus 3 and registers the rearranged order to the storing apparatus 23 as an image sampling order n at the automatic image sampling apparatus 3. Further, the defect number on the object substrate 10 inputted to the automatic image sampling apparatus 3 is M and accordingly, the defect number as object of image taking at the automatic image sampling apparatus 3 becomes M. Further, the object substrate 10 is loaded onto the stage 106 by the transfer apparatus 8. Next, in step S404, the total control unit (host computer) 20 designates n=1 for the image sampling order n as an order having a large defect dimension on the object substrate 10 which is recorded and accumulated to the storing apparatus 23 and inputted to the automatic image sampling apparatus 3. Next, in step S405, the total control unit (host computer) 20 controls to drive a stage control unit 16 based on position coordinates of defects from the order n=1 having a large defect dimension stored to the storing apparatus 23 so that the stage 106 mounted with the object substrate 10 is moved and the defect n is positioned on the optical axis such that image of the defect n disposed at coordinates (Xn, Yn) on the object substrate can be taken by the electron detector 112. Next, in step S406, the total control unit (host computer) 20 selects the defect sampling magnification in correspondence with the defect dimension starting from the order n=1 having a large defect dimension stored to the storing apparatus 23 from tables set to the memory unit 24, performs the control to provide low magnification by switching the detecting system to the detecting system (112 etc.) having low magnification or enlarging a scanning deflection amount by the scanning deflector 13 (may be combined with scanning of the stage 106) to thereby provide the selected magnification and takes image of the defect by low magnification. The position coordinates (Xn, Yn) of the defect can be detected by the coordinate system of the automatic image sampling apparatus 3 by enabling to position the defect having such a large dimension within the field of view of image taking with low magnification. Further, in the case of the scanning electron microscope 90, a sampling period for carrying out digital conversion at the A/D converter 131 is made constant both in taking image with low magnification and taking image with high magnification. When the sampling period is made constant in this way, in the case of low magnification, the sampling interval is widened and: the resolution becomes lower than that in high magnification. However, the image taking magnification is determined in compliance with the defect dimension and accordingly, the same resolution can be provided to the defect dimension. However, the sampling period can be changed in accordance with the image taking magnification. To be more specific, in step S406, the total control unit (host computer) 20 controls the scanning deflection amount of the scanning deflector 13 (may be combined with scanning of the stage 106) such that images of defects having defect dimensions from an initial largest one to N-th (N=5 through 10) set to the memory unit 24 are taken by image taking magnification in correspondence with the defect sizes so that the images of the defects from the initial largest one to N-th are taken by the electron detector 112 by the defect sampling magnification in correspondence with the defect dimensions, inputted to the image inputting unit 13, converted to digital image signals at the image input unit 13, subjected to correction of dark level, correction of fluctuation of electron beam and correction of shading and stored to the image storing apparatus 14 after carrying out filtering processing for erasing pseudo noise components. In this way, the images of the defects from the largest one to N-th are taken in accordance with the coordinate system of the automatic image sampling apparatus 3 and accordingly, the alignment in accordance with the coordinate system of the automatic image sampling apparatus 3 is also carried out. The image taking magnification at this occasion may use fixed magnification or variable magnification (provided by controlling the scanning deflector 104) which is low magnification set and stored to the memory unit 24 for taking defect image for alignment. Next, in step S407, based on instruction from the total control unit (host computer) 20, for example, with image at the same location of a contiguous chip taken as reference image, images of defects having defect dimensions of an initial largest one to N-th which are set to the memory unit 24, are taken with defect sampling magnification in correspondence with the defect dimensions, inputted to the image inputting apparatus 13 and stored to the image recording apparatus (image storing apparatus) 14. As a result, an image processing apparatus 15 (120) samples difference images produced by defect images showing defects having defect dimensions from a largest one to N-th stored to the image recording apparatus 14 (119) and reference images, converts the difference images showing the sampled defects into, for example, binarized image signals and calculates, for example, positions of centers of gravity or central positions in X direction and Y direction from the converted binarized image signals showing defects to thereby detect positions of the defects and transmitted to the total control unit (host computer) 20. The total control unit (host computer) 20 can provide defect position coordinates (Xn, Yn) on the object substrate by converting the coordinate system into a reference coordinate system of the stage 106 measured by the position monitoring length measuring instrument (which is also a reference coordinate system on the object substrate 10 since the object substrate 10 is positioned and mounted onto the stage 106) based on position data of the defects in the images detected by the image processing apparatus 15. Further, in this case, it is possible that the total control unit (host computer) 20 controls to take a representative alignment mark formed on the object substrate 10 similar to the above-described and a central position of the alignment mark is calculated by the image processing apparatus 15 so that the defect position coordinates (Xn, Yn) are provided with the alignment mark as a reference and stored to the storing apparatus 23. At step S409, the total control unit (host computer) 20 outputs instruction to repeat the above-explained processings until n reaches N (about 5 through 10) set to the memory unit 24 to thereby provide N sets of pairs of coordinates [(xn, yn), (Xn, Yn)]. (xn, yn) designates a position of a defect detected by the coordinate system of the defect inspecting apparatus (appearance inspecting apparatus) 2, and (Xn, Yn) designates a position of the defect detected by the coordinate system of the automatic image sampling apparatus 3 and a difference between the two coordinates is accordingly a deviation of coordinate systems between the defect inspecting apparatus 2 and the automatic image sampling apparatus 3. Hence, the total control unit (host computer) 20 derives a correlation equation between the two coordinate systems by using N sets of coordinate pairs to thereby enable to convert position coordinates of the defect inspecting apparatus 2 into position coordinates of the automatic image sampling apparatus 3. There are. present rotational and offset deviation between the two coordinate systems and therefore, an equation of converting (xn, yn)xe2x86x92(Xn, Yn) is represented by Equation (3) shown below. [ Xn Yn ] = [ A - B B A ] ⁢ xe2x80x83 [ xn yn ] + [ C D ] ( 3 ) Hence, the total control unit (host computer) 20 can calculate A, B, C and D from N sets of coordinate pairs [(xn, yn), (Xn, Yn)]. In calculating these, offsets (C, D) is calculated from respective positions of centers of gravity of (xn, yn) and (Xn, Yn) and thereafter, A and B may be calculated by least square method utilizing a quasi-inverse matrix. In this way, the total control unit (host computer) 20 can calculate deviation correcting coefficients (A, B, C, D) in respect of the position coordinates (xn, yn) of the defect inspecting apparatus 2 for calculating the position coordinates (Xn, Yn) of the automatic image sampling apparatus 3. Next, in step S410, the total control unit (host computer) 20 calculates the defect position coordinates (Xn, Yn) on the object substrate 10 in the coordinate system of the automatic image sampling apparatus 3 by carrying out deviation correction by using the conversion equation shown by Equation (3), as mentioned above, in respect of the defect position coordinates (xn, yn) stored to the storing apparatus 23 and provided to the defect inspecting apparatus 2 with regard to defects which become smaller successively after n=N+1 to M-th. Next, in step S411, the total control unit (host computer) 20 controls the stage control unit 16 to move the stage 106 such that the defect n is positioned (aligned) to the optical axis so that an image thereof can be taken by the electron detector 112 in accordance with an order of sampling image with regard to the defect n which becomes smaller successively from n=N+1 to M-th. Next, in step S412, the total control unit (host computer) 20 selects image taking magnification in correspondence with a dimension of the defect n stored to the storing apparatus 23 from the correlation table between a defect dimension and image taking magnification stored to the:memory unit 24, controls the scanning deflection amount by the scanning deflector 13 (may be combined with scanning by the stage 106) such that the image can be taken by the selected image taking magnification, and takes the image by the electron detector 112 by the defect sampling magnification in correspondence with the dimension of the image of the defect n. A signal of the taken defect image is inputted to the image inputting unit 13, converted into a digital defect image signal at the image inputting unit 13 and stored to the image storing apparatus 14 after carrying out various correction or noise removal. To be more specific, by carrying out the deviation correction to the coordinate system of the automatic image sampling apparatus 3, the defect n which becomes. smaller successively from n=N+1 to M-th present on the object substrate 10 can be positioned (aligned) within the field of view of image taking magnification in correspondence with the dimension and the image of the defect n can be taken by the image taking magnification in correspondence with the dimension. Next, in step S413, a successive defect (n=n+1) is designated in accordance with the order of sampling image, in step S414, when input of images of all the defects present on the object substrate is finished. Then, in step S415, the object substrate 10 is unloaded from the stage 106 by the transfer apparatus 8 and the operation proceeds to taking image of defect of a successive object substrate. Although according to the above-described explanation, it is described that image is taken by the defect sampling magnification in correspondence with the defect dimension (defect size) based on the correlation table between the defect dimension stored to the memory unit 24 and the image taking magnification, for example, there may be used first fixed image taking magnification in taking images of defects for alignment of n=1 through N and second fixed image taking magnification for defects of n=N+1 through M. In this case, further detailed positional deviation correction can be carried out by using a defect image of which is taken by the first image taking magnification for alignment as mentioned above, positioning accuracy (alignment accuracy) of defects in taking image of defects of N+1-th and thereafter can be promoted. As a result, a dispersion of a positioned defect from the center of the field of view of image is reduced and image can be taken by the second image taking magnification with the field of view narrower than the field of view provided by the first image taking magnification, that is, higher magnification, that is, the second image taking magnification can be set to magnification higher than the first image taking magnification. In this way, by setting the second image taking magnification to magnification higher than the first image taking magnification, further fine defect can be caught with high resolution, characteristic amounts (size, shape, surface texture, gray scale value and so on) of further fine defect can accurately be extracted and can be classified by detailed analysis in respect of properties (categories) of the defect. Particularly, when the second image taking magnification is set to magnification at high as possible (for example, 50,000 (image can be taken as a digital image signal by a ratio of 0.02 xcexcm to 1 mm) through 30,000 (image can be taken as a digital image signal with a ratio of 0.02 xcexcm to 0.6 mm) or more) characteristic amounts of defect can accurately be sampled and properties (categories) of the defect can be classified by further detailed analysis. Although an explanation has been given of a case in which the image taking magnification is switched in two stages in accordance with object of taking image of defect in the above-described specific examples, the classification may be carried out in accordance with defect dimension (defect size) as mentioned above, to thereby determine the image taking magnification in accordance with the class of the defect dimension. Further, there may be adopted a method of applying the image taking magnification for taking an image with the size of defect observed on image by using previously designated image size (unit: pixel). Next, description will be given of a second embodiment of operation flow for acquiring image of defect with higher magnification based on position coordinates of defects, a number of detects on object substrate and dimensions of defects provided from the defect inspecting apparatus 2 with reference to FIGS. 19A and 19B. Next, description will be given of points of difference between the second embodiment and the first embodiment shown in FIG. 18. First, a first point resides in that in step S401xe2x80x2, in addition to content of step S401, magnification of observing defect in correspondence with defect size calculated by the image processing apparatus 15 is inputted by using the inputting means 21 and stored to the memory unit 24 as a table. Magnification of sampling defect is determined to be as low as 10,000 or smaller such that large defect can be disposed within the field of view of taking image in consideration of the error between the coordinate system of the defect inspecting apparatus 2 and the coordinate system of the automatic image sampling apparatus 3. In respect of defect having a dimension smaller than that of the above-described defect, although the error is corrected between the coordinate system of the defect inspecting apparatus 2 and the coordinate system of the automatic image sampling apparatus 3, since position data (xn, yn) detected by the defect inspecting apparatus 2 also includes an error of detection, the magnification of sampling defect is obliged to determine to about 30,000 which is lower than 50,000 such that the defect having a small dimension can be disposed within the field of view of taking image. However, by detecting a position (Xn, Yn) by the coordinate system of the automatic image sampling apparatus 3 and repositioning (realigning) again the defect at the center (optical axis) of the field of view of taking image, magnification of observing defect for taking image of defect again is made higher than the magnification of sampling to thereby correspond to the defect size so that image of defect having higher resolution can be acquired. A second point resides in that in step S407a, the image processing apparatus 15 calculates a difference image signal showing defect between a defect image signal provided in step S406 and a reference image signal, detects the position (Xn, Yn) of the defect from the calculated difference image signal and detects a size of the defect calculated by an area, lengths in X and Y directions and so on from a binarized image signal showing the defect. A third point resides in that in step S407b, when the size of the defect detected by step S407a is larger than a size prescribed HUGE I, the defect is not made an object of sampling image and the order is skipped to a successive defect. This is because when the size of the defect is large and, for example, protruded from the field of view of taking image, accurate alignment cannot be expected. A fourth point resides in that in step S407c, the position (Xn, Yn) of the defect detected in step S407a is repositioned to the center of the field of view, image of the defect is taken by. magnification of observing defect in accordance with the size of the defect which has been detected again, and a taken defect image signal is inputted to the image inputting unit 13 and stored to the image storing apparatus 14 after subjected to processings of various corrections and noise component erasure and so on to thereby acquire a digital image signal of large defect. Thereby, the digital image signal of large defect can be acquired with high resolution by taking image of defect with defect observing magnification of about 10,000 higher than the defect sampling magnification and accordingly, large defect can be classified by detailed analysis of characteristic amounts or properties of defect by the total control unit (host computer) 20. A fifth point resides in that in step S412a, with regard to defect smaller than N of defects provided from the defect inspecting apparatus 2, an image of the defect is taken by the defect sampling magnification in correspondence with a dimension of the defect number n, and a defect image signal and a reference image signal are detected and inputted to the image inputting unit 13, subjected to processings of various corrections and noise component erasure and stored to the image storing apparatus 14 to thereby acquire the defect image signal and the reference image signal. A sixth point resides in that in step S412b, the image processing apparatus 15 calculates a difference image signal showing defect between the defect image signal and the reference image signal acquired in step S412a, and detects a position (Xnxe2x80x2, Ynxe2x80x2) of the defect from the calculated difference image signal and a size of the defect calculated by an area and lengths in X and Y directions and so on from a binarized image signal indicating the defect. A seventh point resides in that in step S412c, when the size of the defect detected in step S412b is larger than a size prescribed by HUGE II, the defect is not made an object of sampling image and the order is skipped to a successive defect. This is a processing of assuming a case in which there is no need of sampling and confirming image with respect to defect with a certain size or larger. An eighth point resides in that in step S412d, the position (Xnxe2x80x2, Ynxe2x80x2) of the defect detected in step S412b is repositioned on the center of the field of view, image of the defect is taken with defect observing magnification in correspondence with the size of the defect which has been detected again, and the taken image signal is inputted to the image inputting unit 13 and stored to the image storing apparatus 14 after having been subjected to various corrections and noise component erasure to thereby acquire an image signal of large defect. In this way, the defect observing magnification in correspondence with a size of the defect for taking the image of the defect is made higher than the defect sampling magnification to thereby correspond to the size of the defect so that the image of the defect having higher resolution can be acquired. As a result, a digital image signal of small defect can be acquired with higher resolution by taking image with the defect observing magnification of, for example, 50,000 or more which is higher than the defect sampling magnification and therefore, the total control unit (host computer) 20 can classify large defect by further detailed analysis of characteristic amounts and properties of defect. Other than the first through the eighth points described above, there is carried out operation and processing similar to those of the first embodiment shown in FIG. 18. Further, data concerning dimensions of defects stored to the storing apparatus 23 and detected by the defect inspecting apparatus 2 can also be updated by data in respect of sizes of defects detected in step S412b and step S407a. Further, data concerning positions of defects detected in step S412 and step S407 are updated since they are more promoted than data concerning positions of defects detected by the defect inspecting apparatus 2 in view of accuracy. Further, FIG. 19A and FIG. 19B are connected to each other by lines 501 and 502. Further, steps S410 through S414 shown in FIG. 19B may be executed after a former half of steps S401 through S409 of FIG. 18 and a latter half of steps S410 through S414 shown in FIG. 18 may be executed after steps S401xe2x80x2 through S409 shown in FIG. 19A. FIG. 20 shows a number N (about 5 through 10) of large defects (size of large defect is mostly about 1 xcexcm through 5 xcexcm) used in alignment and defect sampling magnification (low magnification of about 3,000 to 7,000: about 10 through 30 xcexcm as field of view of taking image) in carrying out alignment. The defect sampling magnification shows that in the automatic image sampling apparatus 3, based on position data detected by the defect inspecting apparatus 2, large defect present on the object substrate 10 can be positioned within field of view of taking image (about 10 through 30 xcexcm) of low magnification of about 3,000 through 7,000. To be more specific, the defect sampling magnification shows a degree of error (about 10 through 25 xcexcm or smaller) between the coordinate system of the automatic image sampling apparatus 3 and the coordinate system of the defect inspecting apparatus 2. Since a defect inspecting apparatus A is provided with defect sampling magnification of 3,000, positional accuracy of detected defect is the poorest and a defect inspection apparatus C is provided with defect sampling magnification of 7,000 and therefore, positional accuracy of detected defect is the most excellent. In this way, since there is an error between the coordinate system of the automatic image sampling apparatus 3 and the coordinate system of the defect inspecting apparatus 2, in order to widen the field of view of taking image to about 10 through 30 xcexcm such that defect can be positioned in the field of view of taking image, the image taking magnification needs to be low magnification of about 3,000 through 7,000. However, when the image taking magnification is made low magnification of about 3,000 through 7,000, the resolution is lowered and it becomes difficult to provide a digital image signal showing defect of, for example, about 1 xcexcm or smaller. Hence, even when the resolution is lowered by making the image taking magnification to about 3,000 through 7,000, when image of defect having a size capable of recognizing position of the defect (about 1 xcexcm through 5 xcexcm) from a digital image signal provided by taking the image is taken, at least the position (Xn, Yn) of the defect can be detected, and the deviation correcting coefficients (A, B, C, D) shown by Equation (3), as mentioned above, can be calculated. Therefore, even when the defect sampling magnification is made high magnification of, for example, 10,000 or higher, the defect can be positioned (aligned) within the field of view of taking image. As a result, a digital image signal having high resolution based on high magnification can be acquired for the defect. In each case, in the automatic image sampling apparatus 3, it is necessary to change a size of field of view of taking image, that is, image taking magnification (defect sampling magnification) necessary for alignment and a number of coordinates (number of alignment) N indicated by large defects depending on how coordinates are deviated at an initial state relative to those of the defect inspecting apparatus and on how the given coordinates are dispersed. These states are dependent on the defect inspecting apparatus 2 outputting information on coordinates of defects and therefore, as shown in FIG. 20, the defect sampling magnification in accordance with a dimension of defect and a number N of coordinates shown by large defects may be set and stored to the memory unit 24 for respective kinds of defect inspecting apparatus or respective defect inspecting apparatus. In this way, according to the total control unit (host computer) 20, information on so that defect inspecting apparatus the object substrate 10 charged into the automatic image sampling apparatus 3 has been inspected is inputted and stored to the storing apparatus 23 and accordingly, the defect sampling magnification (image taking magnification) in step S406 can be controlled for respective kinds of defect inspecting apparatus or respective defect inspecting apparatus. Further, according to the total control unit (host computer) 20, by displaying on a monitor 18 a digital image signal provided by taking image with the defect sampling magnification in correspondence with the dimension of defect and stored to the image storing apparatus 14 in step S406 and step S412, it can be confirmed whether or not the defect sampling magnification and the number of coordinates N in accordance with dimensions of defects for respective kinds of defect inspecting apparatus or respective defect inspecting apparatus set and stored to the memory unit 24 are pertinent. Further, in this case, the total control unit 20 can display the table of the defect sampling magnification in accordance with dimensions of defects and the number of coordinates (number of alignment) N for respective kinds of defect inspecting apparatus or respect defect inspecting apparatus set and stored to the memory unit 24 on the monitor 18 and can modify them on the screen of the monitor 18 by using the inputting means 21 when they are impertinent. Next, description will be given of a third embodiment of operation flow in which in order to recognize position of defect, an optimum defect size is selected, alignment is carried out in consideration also of a location of the defect on the object substrate (wafer) 10 and image of the defect is acquired with higher magnification with reference to FIG. 21A and FIG. 21B. According to the third embodiment, the deviation correction coefficients [A, B, C, D] can be uniformly calculated over the whole are of the object substrate 10 by selecting the coordinate points (xnk, ynk) (k=1, . . . , K) of defect having defect dimensions most proximate to the designated defect dimension D on each of alignment blocks (n: n=1, . . . , N). First, in step S501, there is set information concerning from which portion on the object substrate 10 defects on the object substrate 10 used for alignment are selected. It is preferable that the defects for alignment are uniformly arranged over a whole area of the object substrate 10. In order to realize this, as shown in FIGS. 22(a) and 22(b), the object substrate 10 is partitioned into a number of blocks and the defects for alignment having a predetermined number are selected from one block. Hereinafter, the block is referred to as an alignment block. Examples of the alignment blocks are shown in FIGS. 22(a) and 22(b). According to the example of FIG. 22(a), the object substrate 10 is partitioned vertically and horizontally in a shape of a lattice and one section thereof is made the alignment block. According to the example of FIG. 22(b), the object substrate 10 is partitioned by concentric circles and radial lines and one section thereof is made the alignment block. A predetermined number of the defects for alignment are selected from all of the alignment blocks or portions of the alignment blocks. More specifically, information on the alignment blocks, that is, information on shape of the alignment block and sections to be used, a number N of blocks of the alignment blocks to be used and a number K of defects on the object subject 10 used for alignment which is set to one block, are previously set to store to the memory unit 24 of RAM or the like by inputting them by using the inputting means 21. The image taking magnification (defect sampling magnification in respect of defect dimension) for sampling defect with defect dimension as a reference is set similar to the first embodiment. Next, in step S501, a dimension D of defect on the object substrate 10 is previously set to store to the memory unit 24 of RAM or the like by inputting it by using the inputting means 21. Further, in respect of defect dimension data set to the memory unit 24 of RAM or the like, there are conceivable a method of defining the defect dimension by either of projected lengths in X and Y of detected image, that is, a larger one or a smaller one or an average of both, and a method of defining it by a diameter, a method of defining it by a dimension in a long axis or a dimension in a short axis when the detected image is approximated by an ellipse and so on in accordance with a definition of the defect dimension acquired from the defect inspecting apparatus 2. Next, in step S502, position coordinates of defects in respect of defects having a number M on the object substrate 10 and information on dimension of defects which are inputted from the defect inspecting apparatus 2 to the automatic image sampling apparatus 3 are read by using the inputting means 21 of a record medium or the like and stored and accumulated to the storing apparatus 23. Next, in step S503, the total control unit (host computer) 20 rearranges defects included in the respective alignment blocks in an order of large to small of dimensions thereof in respect of N of the alignment blocks designated by step S501 based on information concerning dimensions of defects with regard to defects having the number M on the object substrate 10 which are stored and accumulated to the storing apparatus 23 and charged to the automatic image sampling apparatus 3 and, in step 504, selects K of defects having defect dimensions most proximate to the designated defect dimension D and registers the selected order to the storing apparatus 23 as K-th defect coordinate points (xnk, ynk) in the alignment block n along with an alignment order k in the alignment block. Further, the object substrate 10 is loaded on the stage 106 by the transfer apparatus 8. Next, in step S505, the total control unit (host computer) 20 designates the alignment block number n as the order of alignment using defects on the object substrate 10 stored and accumulated to the storing apparatus 23 and inputted to the automatic image sampling apparatus 3 to be n=1 and, in step S506, designates the defect number k as the order of alignment of defects in the designated alignment block to be k=1. Next, in step S507, the total control unit (host computer) 20 moves the stage 106 mounted with the object substrate 10 such that image of the defect positioned at coordinates (xnk, ynk) on the object substrate can be taken by the electron detector 120 by controlling to drive the stage control unit 16 and positions the defect based on the position coordinates of the defect from the alignment block order n=1 and the alignment defect order k=1 stored to the storing apparatus 23. Next, in step S508, the total control unit (host computer) 20 selects the defect sampling magnification in correspondence with the dimension of the defect from the alignment block order n=1 and the alignment defect order k=1 stored to the storing apparatus 23 from the table set to the memory unit 24, controls to provide low magnification by switching the detecting system (112 etc.) to the low magnification detecting system to constitute the selected magnification or enlarging the scanning deflection amount by the scanning deflector 104 (may be combined with scanning of the stage 106) and takes image of the defect by low magnification. In this way, the defect having large dimension can be positioned within the field of view of taking image of low magnification and the position coordinates (xnk, ynk) of the defect can be detected by the coordinate system of the automatic image sampling apparatus 3. Further, in the case of the scanning electron microscope 101, the sampling period of digital conversion at the A/D converter 116 is made constant both in image taking at low magnification and image taking at high magnification. When the sampling period is made constant in this way, in the case of low magnification, a sampling interval is widened and the resolution is reduced in comparison with that in high magnification. However, the image taking magnification is determined in compliance with the dimension of the defect and accordingly, the same resolution can be provided in respect of the dimension of the defect. Further, the sampling period can be changed in accordance with the image taking magnification as necessary. To be more specific, in step S508, according to the total control unit (host computer) 20, images of respective K of defects included in N of the alignment blocks are taken by the electron detector 120 with the defect sampling magnifications in correspondence with dimension of the defects by controlling the scanning deflection amount of the scanning deflector 104 (may be combined with scanning of the stage 106) such that the images are taken by the image taking magnifications in correspondence with the defect dimensions of respective K of the defects included in N of the alignment blocks set to the memory unit 24, inputted to the image inputting unit 13, converted into digital image signals at the image inputting unit 13, subjected to correction of dark level, correction of fluctuation of electron beam and correction of shading, subjected to filtering processing for erasing pseudo noise components and stored to the image storing apparatus 14. In this way, images of respective K of the defects included in N of the alignment blocks are taken by the coordinate system of the automatic image sampling apparatus 3 and accordingly, the operation also carries out alignment in the coordinate system of the automatic image sampling apparatus 3. The image taking magnification at this occasion may use fixed magnifications or variable magnifications (provided by controlling the scanning deflector 104 or the like) which are low magnifications set and stored to the memory unit 24 for taking the images of the defects for alignment. Next, in step S509, based on instruction from the total control unit (host computer) 20, the images of respective K of the defects included in N of the alignment blocks set to the memory unit 24 are taken by the defect sampling magnifications in correspondence with the dimensions of the defects with, for example, an image at the same location of a contiguous chip as a difference image, inputted to the image inputting apparatus 13 and stored to the image recording apparatus (image storing apparatus) 14. As a result, the image processing apparatus 15 extracts difference images showing defects between the defect images and the difference images of respective K of the defects included in N of the alignment blocks stored to the image recording apparatus 14, converts the extracted difference images showing defects into, for example, binarized image signals and calculates, for example, center of gravity positions or central positions in X direction and Y direction from the converted binarized image signals showing defects so that positions of the defects in the image are detected and transmitted to the total control unit (host computer) 20. The control unit (host computer) 20 can convert the positions into positions in a reference coordinate system of the stage 106 measured by a position monitoring length measuring instrument (which is also a reference coordinate system on the object substrate 10 since the object substrate 10 is positioned and mounted onto the stage 106) based on position data of the defects in the image detected by the image processing apparatus 15 to thereby provide position coordinates (Xnk, Ynk) of the defects on the object substrate and can store them to the storing apparatus 23. The total control unit (host computer) 20 provides (NXK) sets of coordinate pairs [(xnk, ynk), (Xnk, Ynk)] by instructing to repeat the above-described processings until k becomes K set to the memory unit 24 in step S511 and n becomes N set to the memory unit 24 in step S513. (xnk, ynk) designates positions of the defects detected by the coordinate system of the defect inspecting apparatus (appearance inspecting apparatus) 2 and (Xnk, Ynk) designates positions of the defects detected by the coordinate system of the automatic image sampling apparatus 3 and a difference between the coordinates is accordingly a deviation of coordinate systems between the defect inspecting apparatus 2 and the automatic image sampling apparatus 3. Hence, in step S514, the total control unit (host computer) 20 derives a relationship equation between the coordinate systems by using (NK) sets of the coordinate pairs such that position coordinates of the defect inspecting apparatus 2 can be converted into position coordinates of the automatic image sampling apparatus 3. The conversion equation of (xnk, ynk)xe2x86x92(Xnk, Ynk) is represented by Equation (3) shown by the first embodiment. The total control unit (host computer) 20 can calculate (A, B, C and D) from (MK) sets of the coordinate pairs [(xnk, ynk), (Xnk, Ynk)]. As the calculating method, the offsets (C, D) are calculated from respective center of gravity positions of (xnk, ynk) and (Xnk, Ynk) and thereafter, (A, B) may be calculated by the least square method utilizing pseudo inverse matrix. In this way, the total control unit (host computer) 20 can calculate the deviation correcting coefficients (A, B, C, D) in respect of the position coordinates (xnk, ynk) of the defect inspecting apparatus 2 for calculating the position coordinates (Xnk, Ynk) of the automatic image sampling apparatus 3 based on Equation (3). According to above mention, the deviation correction coefficients [A, B, C, D] can be uniformly calculated over whole area of the object substrate for all alignment blocks N. Next, in step S515, the total control unit (host computer) 20 determines the image taking order in respect of defects of the defect number M on the object substrate 10 stored and accumulated to the storing apparatus 23 and inputted to the automatic image sampling apparatus 3. Although according to the first embodiment and the second embodiment, the image taking order has been described as the order of dimensions of defects, there is no guarantee that the order is optimized in view of a total distance of movement of the stage for taking images of all the defects. There is the advantage of capable of shortening a total time period of taking images of defects by determining an order of observing defects under a reference for minimizing the stage moving distance. The shortest path connecting a plurality of points on a plane at predetermined positions can be calculated by a method disclosed in, for example, Isato, Koshizuka xe2x80x9cbit supplement, Calculus Geometry and Geographical Information Processingxe2x80x9d pp110-121, Kyoritsu Shuppan (1986). The total control unit (host computer) 20 determines the order of taking images of defects in accordance with the reference of minimizing the stage moving distance, as mentioned above, in respect of the defect position coordinates (xn, yn) stored to the storing apparatus 23 and provided from the defect inspecting apparatus 2 with respect to M of the defects and registers the rearranged order as the image sampling order n at the automatic image sampling apparatus 3. Further, a number of defects on the object substrate 10 charged into the automatic image sampling apparatus 3 is M and accordingly, a number of defects constituting objects of image taking at the automatic image sampling apparatus 3 becomes M. Next, in step S516 (S410), deviation correction is carried out by using the conversion equation shown by Equation (3), as mentioned above, and the defect position coordinates (Xn, Yn) on the object substrate 10 in the coordinate system of the automatic image sampling apparatus 3 are calculated. Further, the object substrate 10 is loaded on the stage 106 by the transfer apparatus 8. Next, in step S517, the total control unit (host computer) 20 designates n=1 as the image sampling order n of defects on the object substrate 10 stored and accumulated to the storing apparatus 23 and inputted to the automatic image sampling apparatus 3. Next, in step S518 (S411), the total control unit (host computer) 20 moves the stage 106 mounted with the object substrate 10 such that image of the defect n positioned at (xn, Yn) on the object substrate can be taken by the electron detector 120 by controlling to drive the stage control unit 16 based on the position coordinates of the defect from the defect number n=1 stored to the storing apparatus 23 to thereby position the defect n on the optical axis. Next, in step S519 (S412), the total control unit (host computer) 20 selects the defect sampling magnification in correspondence with the defect dimension from data of the defect number n=1 stored to the storing apparatus 23 from the table set to the memory unit 24 and controls to provide the observing magnification by switching the detecting system (112 etc.) to the low magnification detecting system to constitute the selected magnification or enlarging the scanning deflection amount by the scanning deflector 104 (may be combined with scanning of the stage 106) so that the image of the defect is taken. Next, in step S520 (S413), a successive defect (n=n+1) is designated in accordance with the image sampling order. In step S521 (S414), input of image is finished with respect to all of the defects present on the object substrate. Then, in step S522 (S414), the object substrate 10 is unloaded on the stage 106 by the transfer apparatus 8 and the operation proceeds to taking image of defect of a successive object substrate. In this way, as the image taking for M of defects can be realized by the route being shortened, a time of the image taking can be reduced. Although according to the above-described explanation, it has been described that image is taken with the defect sampling magnification in correspondence with the defect dimension (defect size) based on the correlation table between the defect dimension and the image taking magnification stored to the memory unit 24, for example, in taking image of defect for alignment, a first fixed image taking magnification may be used and in taking image of defect other than the above-described case, that is, in taking image of defect in step S519, a second fixed image taking magnification may be used. At this occasion, as mentioned above, further detailed position deviation correction can be carried out by using defects images of which are taken by the first image taking magnification for alignment, as mentioned above, positioning accuracy (alignment accuracy) of defect in taking images of defects in step S519 can be promoted. As a result, dispersions from centers of fields of view of images of defects after positioning are reduced and image can be taken by a field of view narrower than a field of view provided by the first image taking magnification, that is, higher magnification by the second image taking magnification. To be more specific, the second image taking magnification can be set to magnification higher than the first image taking magnification. By setting the second image taking magnification to magnification higher than the first image taking magnification, finer defect can also be caught on the image with high resolution and characteristic amounts (size, shape, surface texture, gray scale value and so on) of the finer defect can accurately be sampled and the defect can be classified by detailed analysis in respect of properties (categories) of the defect. Particularly, by setting the second image taking magnification to as high magnification as possible (for example, 50,000 (image can be taken as a digital image signal with a ratio of 0.02 xcexcm to 1 mm) through 30,000 (image can be taken as a digital image signal with a ratio of 0.02 xcexcm to 0.6 mm) or more), characteristic amounts of defect can more accurately be sampled and the defect can be classified by analyzing properties (categories) of the defect further in details. Although according to the above-described specific example, an explanation has been given of the case in which the image taking magnification is switched in two stages in accordance with the object of taking image of defect, as mentioned above, the classification may be carried out in accordance with the defect dimension (defect size) and the image taking magnification may be determined in accordance with a class of the defect dimension. Further, there may be adopted a method of applying image taking magnification for taking image by image size (unit: pixel) so that the size of defect observed on the image is previously designated. Further, FIG. 21A and FIG. 21B are connected to each other by lines 601 and S602. Next, description will be given of points of a fourth embodiment which differ from the third embodiment shown in FIGS. 21A and, 21B of operation flow shown in FIG. 23A and FIG. 23B for acquiring defect images with higher magnification based on position coordinates of defects, a number of defects on an object substrate and dimension of defects provided from the defect inspecting apparatus 2. First, a first point resides in correcting the coordinate system transmitted from the inspecting apparatus 2 by using a pattern for alignment formed on the object substrate 10 in step S504a. The alignment pattern is formed by utilizing a fabrication process similar to that of an electronic circuit pattern formed on the object substrate 10 and formed by a geometrical pattern having symmetry such as a circle, a square or a cross to facilitate to confirm its central position. By using at least two of alignment patterns at different positions, the coordinate conversion coefficients (A, B, C, D) for converting (x, y)xe2x86x92(X, Y) can be calculated by using Equation (3) from position coordinates (x, y) of the alignment marks in the inspecting apparatus 2 and position coordinates (X, Y) in the automatic image sampling apparatus 3 and a coordinate conversion function G: G (x, y)=(X, Y) for converting (x, y)xe2x86x92(X, Y) can be determined. A second point resides in that in step S507xe2x80x2, the total control unit (host computer) 20 corrects position coordinates of the defect from the alignment block order n=1 and the alignment defect order k=1 stored to the storing apparatus 23 by the coordinate conversion function G derived in step 504a and controls to drive the stage control unit 16 based on the corrected position coordinates so that the defect is positioned by moving the stage 106 mounted with the object substrate 10 such that the image of the defect positioned at G (xnk, ynk) on the object substrate can be taken by the electron detector 120. By the coordinate conversion function G, positioning accuracy (alignment accuracy) of defect for alignment can be promoted, as a result, a dispersion from the center of the field of view of image of defect at the position is reduced and alignment can be carried out firmly. A third point resides in that in step S517xe2x80x2, the total control unit (host computer) 20 stores and accumulates both of offset values for each of X and Y, offsetx and offsety as 0 to the storing apparatus 23. A fourth point resides in that in step S518xe2x80x2, the total control unit (host computer) 20 positions the defect n by moving the stage 106 mounted with the object substrate 10 such that image of the defect n positioned at (Xn+offsetxc3x97, Yn+offsety) on the object substrate can be taken by the electron detector by controlling to drive the stage control unit 16 based on position coordinates of the defect from the defect number n=1 stored to the storing apparatus 23 to thereby position the defect n at the optical axis. A fifth point resides in that in step S519a, the image processing apparatus 15 calculates a difference image signal showing defect by using, for example, a reference image signal from the acquired defect image signal and detects position (Xnxe2x80x2, Ynxe2x80x2) of the defect from the calculated difference image signal. A sixth point resides in that in step S519b, the offset (offsetx, offsety) is calculated from a difference between the position (Xnxe2x80x2, Ynxe2x80x2) of the defect calculated in step 519a and the position (Xn, Yn) of the defect calculated by using the correction function in step 516. The offset mentioned at the third point, the fourth point, the fifth point and the sixth point represents a deviation between the defect coordinate value provided by processing it by the coordinate connection function F provided by alignment and a true defect coordinate value provided by the image by actually providing the image of the defect. The defect is based on a way of thinking of minimizing movement of the stage and accordingly, according to the observation order, defects of contiguous two points are present comparatively proximate to each other. Accordingly, when the provided offset is used in positioning a successive defect, the defect can be positioned further accurately. Other than the first through the sixth points described above, the same operation and processing as those in the third embodiment shown in FIGS. 21A and 21B are carried out. Further, FIGS. 23A and FIG. 23B are connected by lines S601xe2x80x2and S602xe2x80x2. Further, steps S515 through S522 shown in FIG. 23B may be executed after a former half of steps S501 through S514 shown in FIG. 21A and steps S515 through S522 of a latter half shown in FIG. 21B may be executed after steps S501 through S514 shown in FIG. 23A. As mentioned above, although in the automatic image sampling apparatus 3, an explanation has been given of the embodiments of setting the image taking magnification of image in accordance with the dimension of defect, the image taking magnification of image may be determined in accordance with a kind of a circuit pattern formed at a portion on object substrate (wafer substrate) constituting the object of taking image. The circuit pattern on the object substrate such as a semiconductor wafer or the like is fine, and lowering of the image magnification signifies lowering of the sampling frequency when the circuit pattern is caught by a digital image. Therefore, when the sampling frequency becomes a half or smaller of a spatial frequency of the circuit pattern formed on the object substrate, there is a concern that a pattern of a kind of an interference fringe which is not originally present on the object substrate is superposed by aliasing so that defect becomes difficult to observe. Therefore, at a portion of the circuit pattern having high spatial frequency, the image taking magnification (defect sampling magnification, defect observing magnification) needs to set high and at a portion thereof having low spatial frequency, the image taking magnification (defect sampling magnification, defect observing magnification) needs to set low. Accordingly, the above-described noise pattern by aliasing can be prevented from being produced by prescribing the image taking magnification of image for respective areas on the object substrate. Accordingly, it is necessary for the total control unit (host computer) 20 that design information concerning kinds of circuit patterns formed at respective partial areas on the charged object substrate 10 is previously inputted from the CAD system 1206 (shown in FIG. 2) or the management system 1205 (shown in FIG. 2) by using the inputting means 21 of a record medium or the like or the network 22 and stored to the memory unit 24 or the storing apparatus 23. Further, the total control unit (host computer) 20 can, previously confirm whether or not the image taking magnification (defect sampling magnification, defect observing magnification) for respective area of the charged object substrate 10 is pertinent by calculating lowest image taking magnification for the respective areas of the object substrate 10 and storing it to the memory unit 24 based on design information concerning kinds of the circuit patterns (spatial frequency). To be more specific, the total control unit (host computer) 20 can output the lowest image taking magnification of the area from the memory unit 24 by position coordinates of defects detected by the defect inspecting apparatus 2 and stored to the storing apparatus 23. Therefore, the total control unit 20 can investigate on whether or not the defect sampling magnification in correspondence with the dimension of defect in step S406 and steps S412 and S412a and the defect observing magnification in step S407c and step S412d satisfy the condition of the lowest image taking magnification in an area where defect is present. When the condition is not satisfied, sampling of image of the defect is skipped in steps being the same as steps S407b and S412c for skipping to sample image of defect in accordance with the size of defect shown in FIGS. 19A and FIG. 19B. In this way, the total control unit 20 can acquire a digital image signal honestly showing defect by preventing noise pattern from a background (circuit pattern) of defect from being produced by taking image of defect by satisfying the minimum image taking magnification in an area where defect is present with respect to the defect sampling magnification or the defect observing magnification, can accurately carry out deviation correction (alignment) and can promote reliability of detailed analysis with respect to characteristic amounts and properties of defect. As has been explained, according to the present invention, even when a sample for image taking, a magnification for image taking and a period in digital sampling are changed, image of the sample can accurately be taken without causing pseudo noise under respective conditions. Further, according to the present invention, even when any object substrate is taken by any magnification, there is achieved an effect in which image can be accurately taken automatically without causing pseudo noise in the provided digital image, position of defect portion can be specified and characteristic amounts or properties of the defect portion can be analyzed for the defect portion. Further, according to the present invention, a difference of coordinate systems present between the apparatus of the present invention and a defect inspecting apparatus can stably be corrected and accordingly, even fine defect detected by the defect inspecting apparatus can stably be caught in a short period of search time, as a result, there is achieved an effect of realizing the automatic image sampling apparatus and the method by the scanning electron microscope capable of acquiring a digital image signal having high resolution taken at high image taking magnification (for example, 10,000 or higher) capable of carrying out detailed analysis in respect of characteristic amounts:(size, shape, surface texture, gray scale value) and properties (categories) of the defect.
abstract
A radiation image taking apparatus includes a case that contains a radiation detecting unit that has a detection surface in which a photoelectric conversion element that detects a radiation transmitted through an object is located, a grid unit which is detachably attachable to an outside of the case and removes a scattered radiation, and a photo timer unit which is detachably attachable to the outside of the case and measures a dose of the radiation. One of a first mode in which the grid unit is attached to the case, a second mode in which the photo timer unit is attached to the case, and a third mode in which the grid unit is attached to the second mode can be freely used in configuration.
047175320
abstract
An improved pressure control system for a pressurized water nuclear reactor plant contains a novel, two stage sparger in the pressurizer relief tank. The two stage sparger has a primary conduit and secondary conduit, both of which have orifices through the walls thereof, and an interconnecting valve that is responsive to a pressure differential between the two conduits. The secondary conduit is preferably of a diameter less, but with a larger area of flow therefrom, than the primary conduit and is bifurcated, with two leg sections extending back towards the primary conduit.
summary
abstract
A system for monitoring a reactor module housed in a reactor bay may include a mounting structure and one or more extendable attachment mechanisms connected to the mounting structure. Additionally, one or more monitoring devices may be operably coupled to the one or more extendable attachment mechanism, and the one or more extendable attachment mechanisms may be configured to selectively position the one or more monitoring devices at varying distances from a wall of the reactor bay to place the one or monitoring devices in proximity to the reactor module.
047599022
abstract
This invention relates to a method of predicting the long-term dose rates from radioactive material on the interior, wetted surfaces of the primary coolant piping of nuclear power reactors. The electrochemical potential of the cooling water of a nuclear power plant is measured over a short-term period with an electrochemical potential measuring device that has an unprefilmed measuring electrode. The results of these electrochemical potential measurements are divided by the result at a prescribed short period of exposure, and these normalized electrochemical potential fractions are plotted versus the logarithm of time. The negative of the slope of the straight line through the plotted data is divided into the measured average Co-60 concentration in the cooling water, and a standard curve of long-term dose rate versus this parameter is used to predict the eventual long-term radiation build-up performance of the nuclear power plant in which the electrochemical potential measurements are made.
description
This is a divisional application of U.S. Non-Provisional application Ser. No. 14/179,203 filed Feb. 12, 2014, now U.S. Pat. No. 9,831,006, which claims the benefit of U.S. Provisional Application No. 61/764,404 filed Feb. 13, 2013, are hereby incorporated by reference in their entirety into the specification of this application. The following relates to the nuclear reactor fuel assembly packaging and transportation arts, to shipping containers for unirradiated nuclear fuel assemblies, to apparatus for manipulating such shipping containers, to shipping and handling methods utilizing same, and to related arts. Unirradiated nuclear fuel assemblies for light water nuclear reactors typically comprise 235U enriched fuel pellets, and in a typical configuration comprise an array of parallel fuel rods each comprising a hollow cladding inside of which are disposed 235U enriched fuel pellets. The 235U enrichment of the fuel pellets is typically less than 5% for commercial nuclear power reactor fuel. Transportation of unirradiated nuclear fuel assemblies is accomplished using shipping containers that meet appropriate nuclear regulatory rules, e.g. Nuclear Regulatory Commission (NRC) rules in the United States. Under NRC rules, the shipping containers are designed to preclude the release of radioactive material to the environment and to prevent nuclear criticality from occurring in the event of postulated accidents. Furthermore, the shipping containers are designed to protect the unirradiated fuel from damage during shipment. Existing nuclear fuel shipping containers are typically “clamshell” designs that are rectangular or cylindrical in shape and consist of a lower shell, one or more internal “strongbacks” that support the fuel assemblies, and a removable top shell that encloses the fuel assemblies. A flanged joint between the top and bottom shells allow the container to be opened and closed by bolted or pinned connections along the periphery of the container. A fuel assembly is generally loaded into the shipping container by removing the top shell from the container and lifting the empty lower shell to a vertical position. The fuel assembly is positioned vertically when not supported by a strongback. The vertical fuel assembly is lifted with a crane and then moved laterally (i.e. sideways while remaining suspended upright by the crane) into the upright lower shell of the clamshell container until it is positioned against the strongback of the container. In some designs, several clamps along the length of the fuel assembly may be incorporated to secure the fuel assembly to the strongback. Some designs utilize hinged doors that cover the fuel and are clamped in place to secure the fuel assembly. After the fuel assembly is secured, the shipping container is placed in a horizontal position and the top shell is installed. The shipping container is shipped in the horizontal position. At the nuclear reactor site, the process is reversed, i.e. the top shell is removed, the lower shell with the fuel assembly still loaded on the strongback is up-ended from the horizontal position to the vertical position, and the fuel assembly is unclamped from the strongback and lifted out using a crane and loaded into the nuclear reactor. See, e.g. Sappey, U.S. Pat. No. 5,263,064; Sappey, U.S. Pat. No. 5,263,063. The clamps and doors used in clamshell type shipping containers have certain disadvantages. For example, the hinged connections and clamping mechanisms can generate metal shavings that can become trapped inside the fuel assemblies and lead to fretting failure of the fuel rods. The mechanical parts such as bolts, nuts, and washers, can become detached and may lead to fuel rod failure if the loose parts become trapped inside the fuel assembly. The securing mechanisms entail certain adjustments to avoid applying excessive forces on the fuel assemblies, and have the potential to become loose during transport. These securing mechanisms also adds time to the processes of loading and unloading the fuel assemblies from the containers. Moreover, the clamshell container can hold only one or two fuel assemblies, such that the complete set of loading and unloading operations may need repeated for each fuel assembly that is transported from the factory to the nuclear reactor site. The operation of moving the shipping container (or lower shell) with loaded fuel between the horizontal and vertical positions is typically performed using a dedicated piece of equipment, which is referred to in the art as an “up-ender” (even when used to move the loaded shipping container from the vertical position to the horizontal position). Existing up-enders are typically complex dedicated pieces of equipment that have numerous components and that occupy substantial storage space when not in use. See, e.g. Ales et al., U.S. Pub. No. 2007/0241001 A1. In one disclosed aspect, a shipping container comprises: a tubular or cylindrical shell having a closed end and an open end; a top end-cap removably secured to the open end of the tubular or cylindrical shell; and at least one fuel assembly compartment defined inside the tubular or cylindrical shell, each fuel assembly compartment including elastomeric sidewalls and sized and shaped to receive an unirradiated nuclear fuel assembly through the open end of the tubular or cylindrical shell. In some embodiments each fuel assembly compartment has a square cross-section sized to receive an unirradiated nuclear fuel assembly having a square cross-section, and the tubular or cylindrical shell includes support features protruding outward from the tubular or cylindrical shell, the support features being configured to support the shipping container horizontally on a level floor with the sides of the square cross-section of each fuel assembly compartment oriented at 45 degree angles to the level floor. In some embodiments each fuel assembly compartment has a square cross-section sized to receive an unirradiated nuclear fuel assembly having a square cross-section, and the shipping container further includes a divider component having a cross-shaped cross-section with ends of the cross secured to inner walls of the tubular or cylindrical shell, the divider component and the inner walls of the tubular or cylindrical shell defining four said fuel assembly compartments. In another disclosed aspect, an apparatus comprises a shipping container as set forth in the immediately preceding paragraph, and an unirradiated nuclear fuel assembly comprising 235U enriched fuel disposed in each fuel assembly compartment of the shipping container and compressing the elastomeric sidewalls of the fuel assembly compartment. In some such apparatus, each unirradiated nuclear fuel assembly comprises an array of parallel fuel rods each comprising a hollow cladding inside of which are disposed 235U enriched fuel pellets. In another disclosed aspect, a method comprises: arranging a shipping container comprising a tubular or cylindrical shell having a closed end and an open end into a vertical orientation in which the tube or cylinder axis of the cylindrical shell is oriented vertically with the closed end oriented down and the open end oriented up; loading an unirradiated nuclear fuel assembly comprising 235U enriched fuel through the open end of the tubular or cylindrical shell into a fuel assembly compartment defined inside the tubular or cylindrical shell; and after the loading, closing off the open end of the tubular or cylindrical shell by securing a top end-cap to the open end of the tubular or cylindrical shell. In some such methods, the shipping container includes N fuel assembly compartments defined inside the tubular or cylindrical shell where N is greater than or equal to two, and the loading is repeated N times to load N unirradiated nuclear fuel assemblies into the N respective fuel assembly compartments. A more complete understanding of the processes and apparatuses disclosed herein can be obtained by reference to the accompanying drawings. These figures are merely schematic representations and are not intended to indicate relative size and dimensions of the assemblies or components thereof. Although specific terms are used in the following description for the sake of clarity, these terms are intended to refer only to the particular structure of the embodiments selected for illustration in the drawings, and are not intended to define or limit the scope of the disclosure. In some illustrative embodiments, a shipping container comprises a plurality of fuel compartments, each fuel compartment comprising a first side and a second side; a chamber wall enclosing a portion of the fuel compartment; a shock absorbing material peripherally surrounding the chamber wall, and an outer shell peripherally surrounding shock absorbing material. In some illustrative embodiments, a method for loading a fuel assembly in a shipping container comprises: positioning a shipping container vertically in a loading stand; disassembling a container top removably assembled to a outer shell at a first end of the shipping container; loading a fuel assembly vertically at a first end of the shipping container into the a fuel assembly chamber; and reassembling the container top to the outer shell at a first end of the shipping container. With reference to FIGS. 1-5, an illustrative shipping container 10 comprises an outer shell 12 surrounding and containing one or more (four, in the illustrative example) fuel assembly compartments or chambers 14 as shown in the perspective and top-end views of respective FIGS. 3 and 4. The shell 12 is cylindrical or tubular in shape. The terms “tubular” and “cylindrical” are used interchangeably herein to indicate that the shell 12 is an elongate hollow element. The tubular or cylindrical shell 12 is not limited to any particular cross-sectional shape, e.g. the tubular or cylindrical shell 12 can have various cross-sectional shapes including but not limited to a circular cross-section, a hexagonal cross-section, a square cross-section, or so forth. The tubular or cylindrical shell 12 can also be constructed to have different cross-sectional shapes for the outside of the shell 12 versus the inner volume of the shell 12. Each fuel assembly compartment or chamber 14 is sized and shaped to receive a fuel assembly. The top-end views of FIGS. 3 and 4 show one chamber containing a loaded fuel assembly FA, while the remaining three chambers are empty. While the illustrative shipping container 10 includes four fuel assembly chambers 14, more generally the number of fuel assembly chambers can be one, two, three, four, five, six, or more. The illustrative fuel assembly chambers 14 have square cross-sections coinciding with or slightly larger than the square cross-section of the illustrative fuel assembly FA; more generally, each chamber has a cross-section comporting with the cross-section of the fuel assembly, e.g. if the fuel assemblies have hexagonal cross-sections then the chambers preferably have hexagonal cross-sections. In one contemplated embodiment, the fuel assembly compartments or chambers 14 are sized to receive fuel assemblies with square cross-sections in the range of about 8 inches×8 inches to about 9 inches×9 inches. As seen in FIGS. 1 and 5, the shipping container 10 further includes a lower or bottom end-cap 16 and an upper or top end-cap 18. The shipping container is designed for top-loading, and FIG. 1 shows the shipping container 10 oriented vertically (that is, with the tube or cylinder axis of the tubular or cylindrical shell 12 oriented parallel with the direction of gravity and transverse to a level floor) for loading with the top end-cap 18 located at the highest point and the bottom end-cap 16 located at the lowest point. FIG. 2 shows the shipping container 10 in its vertical position for loading with the upper end-cap 18 removed to allow access to the fuel assembly chambers 14 from above, as seen in the top end views of FIGS. 3 and 4 in which the top end-cap has been removed. After four fuel assemblies are loaded into the four chambers 14 (note however it is contemplated to leave one or more of the chambers 14 empty, that is, it is not necessary to load all four chambers for safe transport), the top end-cap 18 is replaced, and the shipping container 10 is moved to a horizontal position (that is, with the tube or cylinder axis of the tubular or cylindrical shell 12 oriented transverse to the direction of gravity and parallel with a level floor) as shown in FIG. 5 for transport. In the horizontal position of FIG. 5, the two end-caps 16, 18 are at (approximately) the same level or height. The illustrative shell 12 includes forklift engagement features 20 via which a forklift or other machinery can engage, lift, and manipulate the shipping container 10 while in its horizontal position. The illustrative shell 12 also includes lower and upper support features or flanges 22, 24 on which the shipping container 10 rests when on a flat floor or other flat surface. Optionally, the support features or flanges 22, 24 may also constitute securing flanges via which the respective end-caps 16, 18 are secured. (The forklift engagement features 20 may provide additional or alternative support, or alternatively the forklift engagement features 20 may protrude outward less than the support features or flanges 22, 24 such that the shipping container 10 in its horizontal position is supported only by the flanges 22, 24). In FIGS. 1, 2, and 5, the two end-caps 16, 18 are visually the same. In some embodiments, the two end-caps 16, 18 are actually structurally identical, and either end can be chosen as the “top” for loading. In other embodiments, the bottom end-cap 16 is structurally distinct from the top end-cap 18, for example by including support foam and/or other support element(s) to support the weight of the loaded fuel assembly FA when the shipping container 10 is in the upright or vertical position shown in FIGS. 1 and 2. Regardless of whether the bottom end is structurally distinct or structurally the same as the top end, it is generally appropriate to have some designation of the upper end, e.g. a “THIS END UP” marking to denote the upper end of the shell 12, since the fuel assemblies typically have defined distinct upper and lower ends. Since the lower end-cap 16 is not removed for the top-loading of the fuel assemblies, it is contemplated for the lower end-cap 16 to be permanently secured to the lower end of the shell 12, for example by welding, or for the lower end-cap 16 to be an integral part of the outer-shell 12, e.g. the shell 12 and the lower end-cap 16 may be a continuous single-piece element. On the other hand, the upper end-cap 18 is removed for the top-loading. In some embodiments the upper end-cap 18 is secured to the upper end of the shell 12 by bolts or other removable fasteners engaging the upper end of the shell 12 and/or the upper support feature or flange 24. The upper end-cap 18 may also be welded to the upper end of the shell 12, but in this case the welds should be breakable by a suitable mechanism, e.g., by using a pry bar. Conversely, while the lower end-cap 16 is not removed for loading or unloading fuel, it is contemplated for the lower end-cap 16 to be secured by bolts or other removable fasteners. The ability to remove the lower end-cap 16 can be advantageous for performing inspection and cleaning of the fuel assembly chambers 14. Because the shipping container 10 is top-loaded, there is no need for the shell 12 to be constructed as a clam-shell. In some embodiments, the shell 12 is a single-piece tubular or cylindrical element (where the terms “tubular” and “cylindrical” do not require a circular cross-section), e.g. formed by extrusion, casting, forging, or so forth. A continuous single-piece tubular or cylindrical outer-shell has advantages in terms of providing a high level of mechanical strength. However, it is also contemplated to construct the shell 12 as two or more pieces that are welded together or otherwise joined, optionally with a strap banding the pieces together. In such embodiments, the welding, strapping or other joinder can be a permanent joinder (as opposed to being separable to open the shipping container as is the case in conventional clamshell shipping containers), although a separable joiner could also be used, e.g. to facilitate inspection and cleaning of the fuel assembly chambers 14. With particular reference to FIGS. 3 and 4, each fuel assembly chamber 14 is square in cross section (or otherwise conforms with the cross-sectional shape of the fuel assembly, e.g. may be hexagonal in order to support fuel assemblies with hexagonal cross-sections) and is commensurate with or slightly larger than the space envelope of the fuel assembly FA, so that the fuel assembly FA can be inserted into the fuel assembly chamber 14 without excessive drag. In the illustrative embodiment, the horizontal support elements 20, 22, 24 are oriented respective to the illustrative square fuel assembly chambers 14 such that each fuel assembly FA is oriented with its sides at 45° angles to the supporting floor (or, equivalently, at 45° angles to the direction of gravity). This provides distributed support for each fuel assembly FA along two of the four sides of the illustrative square fuel assembly FA. In addition to providing extended support, this diagonal orientation suppresses lateral movement of the fuel assembly FA in the fuel assembly chamber 14. With particular reference to FIGS. 3 and 4, the fuel assembly compartments or chambers 14 are defined inside the shell 12 by a divider component 30 that extends most or all of the length of the interior space of the shell 12 and has a cross-sectional shape that, together with the shell 12, defines the cross-sections of the fuel assembly chambers 14. For the illustrative shipping container 10 having four fuel assembly chambers 14, the divider component 30 suitably has a cross-shaped cross-section with the ends of the cross secured to the inner walls of the shell 12, as seen in FIGS. 3 and 4. The divider component 30, along with the inner walls of the shell 12, defines the structural walls of the fuel assembly chambers 14. It will be appreciated that for embodiments in which the shipping container is designed or configured to contain only a single fuel assembly, the divider component may be omitted entirely such that there is a single fuel assembly compartment or chamber defined inside the shell 12. The divider component 30 may be manufactured as a single-piece, e.g. a single-piece cast element, or may be manufactured as two or more planar pieces that are welded together and to the inner walls of the shell 12. In the illustrative embodiment, the inner wall of the shell 12 includes axially oriented grooves 32 (that is, grooves that run parallel with the tube or cylinder axis of the tubular or cylindrical shell 12). These axially oriented grooves 32 receive the cross ends of the cross-shaped (in the sense of having a cross-shaped cross-section) divider component 30. The optional grooves 32 provide convenient alignment for the divider component 30. In a suitable assembly approach, the divider component 30 is top-loaded into the shell 12 by fitting the cross ends into the grooves 32 and sliding the divider component 30 into the shell 12. If the grooves 32 are provided then it is contemplated to rely entirely on the fitting between the grooves 32 and the cross ends of the divider component 30 (along with the end-caps 16, 18) to secure the divider component 30 in place inside the shell 12. Alternatively, tack welding, bolts or other fasteners, or other additional securing mechanism(s) may be employed. An advantage of the shipping container 10 is that the fuel assembly chambers 14 are designed to provide support for the loaded fuel assemblies FA without the use of straps or a dedicated strongback. Toward this end, the shell 12 and the divider component 30 defining the structural walls of each fuel assembly chamber 14 suitably comprise stainless steel, an aluminum alloy, or another suitably strong material, and the inside of the shell 12 is suitably lined with compressible elastomeric material to protect the fuel assembly FA from damage during installation and shipping. In the illustrative embodiment of FIGS. 3 and 4, the elastomeric material includes a relatively harder and relatively thicker structural shock absorbing foam 34 lined on the inside with a relatively softer and relatively thinner shock absorbing foam 36. It is also contemplated to employ only a single layer of elastomeric material, or to employ three or more layers with different thicknesses, elastomeric and/or structural characteristics. The foam or other elastomeric material 34, 36 is preferably sized such that it is compressed slightly as the fuel assembly is loaded into the chamber, thus preventing excessive movement of the fuel during transport. The thickness(es) and elastomeric characteristics of the elastomeric material 34, 36 are readily optimized to provide sufficient cushioning and to suppress movement of the fuel during transport while also not producing excessive drag when loading and unloading fuel assemblies. In some embodiments the elastomeric material 34, 36 is a consumable element that is replaced each time the shipping container 10 is used for a fuel shipment. Optionally, a protective sheet of thin plastic material (not shown) covers each side of the fuel assembly chamber 14 to prevent foam particulates from contacting the loaded fuel assembly. In one embodiment, the protective sheet of plastic is lined with a thin foam backing and the thin foam backing compresses slightly when the shipping container 10 is loaded with fuel. The fuel assembly chambers 14 are also designed to prevent nuclear criticality from occurring in the event of postulated accidents. Toward this end, the divider component 30 and the shell 12 comprise a neutron moderator material (e.g. nylon-6) and/or a neutron absorbing material (e.g. borated aluminum). The neutron moderator and/or neutron absorber materials may be bulk materials making up the structural elements 12, 30, or may be formed as continuous layers or coatings on these elements 12, 30 of thickness effective to prevent or suppress transfer of neutrons generated by radioactive decay events in one fuel assembly from reaching another fuel assembly. Various combinations of bulk and layered neutron moderators or absorbers are also contemplated. A given bulk material or layer may also provide both neutron moderator and neutron absorbing functionality. In one suitable configuration, a boron-impregnated neutron absorber material is interposed between neutron moderator layers of successive fuel assembly chambers 14 for criticality control. By use of suitably designed neutron moderator and/or absorber layers or elements, different fuel assembly types and varying fuel enrichments can be accommodated, including 235U enrichment levels above 5% (the current upper limit for similar containers). Although not illustrated, it will be appreciated that the end-caps 16, 18 can also be constructed with elastomeric material and/or neutron moderating and/or absorbing material. As previously mentioned, the lower end-cap 16 may include additional cushioning elastomeric material so as to support the fuel assembly 14 when the shipping container 10 is loaded and in the upright (vertical) position. With particular reference to FIG. 5, after end-loading of the shipping container 10 the top end-cap 18 is replaced and secured onto the upper end of the shell 12 and the shipping container 10 is placed into its horizontal position (shown in FIG. 5) for shipping. The shell 12 and end-caps 16, 18 of the shipping container 10 are constructed to comply with mechanical stress tests in conformance with applicable nuclear regulatory rules. For example, in the United States the NRC requires that the shipping container 10 withstand specified “drop tests” in various orientations. In the illustrative shipping container 10, the illustrative end-caps 16, 18 have impact energy-absorbing conical shapes that are designed to crumple to absorb an impact in order to protect the shipping container contents. Other shapes for the end-caps can be employed (cf. FIGS. 7 and 8 which employ flat end-caps, of which only the flat top end-cap 18′ is visible in FIGS. 7 and 8). With reference to FIG. 6, a side view is shown of the shipping container 10 secured in a loading stand 40 with an illustrative fuel assembly FA being loaded into (or unloaded from) one of the fuel assembly chambers. The upper end-cap 18 is shown off to the side on the loading stand 40. The weight of the shipping container 10 in its vertical or upright position is suitably supported in the loading stand 40 by a collar or other fastening to the loading stand 40, or by the lower end-cap 16, or by a combination of such mechanisms. The loading stand 40 provides lateral support to ensure the shipping container 10 does not move laterally during loading or unloading. Although not shown in FIG. 6, it is to be appreciated that the fuel assembly FA is loaded or unloaded using a crane or other suitable lifting apparatus engaging and lifting the fuel assembly FA. For example, Walton et al., U.S. Pub. No. 2013/0044850 A1 published Feb. 21, 2013 and incorporated herein by reference in its entirety discloses a lifting tool for a crane designed to engage mating features 42 at the top end of the fuel assembly FA to enable the crane to lift the fuel assembly for vertical loading into (and unloading from) a nuclear reactor, and such a tool is readily employed for top-loading or unloading the fuel assembly FA into or out of the shipping container 10. This is merely an illustrative example, and other fuel handling apparatus designed for top-loading and unloading fuel into and out of a nuclear reactor can readily be applied in loading or unloading the shipping container 10. As seen in FIG. 6, the fuel assembly FA comprises an array of parallel fuel rods, and during the loading these fuel rods are aligned parallel with the tube or cylinder axis of the tubular or cylindrical shell 12 so that the fuel assembly FA can be top loaded into the fuel assembly chamber. In a typical configuration, each fuel rod comprises a hollow cladding inside of which are disposed 235U enriched fuel pellets (details not shown). The 235U enrichment of the fuel pellets is typically less than 5% for commercial nuclear power reactor fuel. In some contemplated embodiments, two or more different divider components may be provided which fit into the shell 12, and the shipping container 10 may be reconfigured to ship different fuel assemblies of numbers, sizes, or cross-sectional shapes by inserting the appropriate divider component into the shell 12 (or, for shipping a single large fuel assembly, not inserting any of the available divider components). Typically, the axial length of the tubular or cylindrical shell 12 (that is, its length along the tube or cylinder axis) is chosen to provide the fuel assembly chambers 14 sufficient length to accommodate the fuel assemblies FA, and optionally tensioners can be employed in one or both end-caps 16, 18 to suppress axial load shifting. It is also contemplated to provide removable spacers and/or tensioners at the top and/or bottom of a fuel assembly chamber 14 in order to accommodate fuel assemblies of different lengths (i.e. different vertical heights). Advantageously, no clamping devices are required to restrain the fuel assembly laterally in the disclosed shipping container designs. The lack of fuel assembly clamping devices or doors to restrain the fuel assemblies provides a number of possible advantages, including, but not limited to, eliminating the possibility of loose parts such as bolts, screws, nuts, washers, and metal shavings from the movement of the clamps during removal and installation, that can become trapped in the fuel assembly and cause fuel rod failure due to fretting. Furthermore, the lack of moving parts such as clamps and doors reduces the time required to load and unload the fuel assemblies into and from the shipping container. The disclosed shipping containers are also top-loaded, which allows the shipping container to be positioned vertically without the use of a mechanical up-ender and the container top may be removed in the vertical position, thus saving time and floor space. The disclosed shipping containers are also easily sealed. If the shell 12 is a single-piece tubular or cylindrical element, then the only sealing surfaces are at lower and upper end-caps 16, 18; and of these, only the upper end-cap 18 is removed for loading and unloading fuel assemblies. This limited length of sealing surface reduces the likelihood of inadequate sealing. The disclosed shipping containers are top-loaded and top-unloaded, which has advantages including allowing the loading and unloading to be performed using a crane to manipulate the fuel assemblies using crane lift and transfer operations similar to those used in loading and unloading fuel from the nuclear reactor core. However, the fuel transport process includes the operations at the fuel source location of moving the loaded shipping container from the vertical position to the horizontal position for transport; and then at the nuclear reactor site “up-ending” the loaded shipping container from the horizontal position to the vertical position for unloading. Conventionally, these operations employ dedicated equipment, referred to in the art as an “up-ender”. Existing up-enders are typically complex dedicated pieces of equipment that have numerous components and that occupy substantial storage space when not in use. An up-ender must be provided at both the fuel source location and at the nuclear reactor site (or, alternatively, a single up-ender can be transported between these two sites, for example integrated into the bed of the transport truck). With reference to FIGS. 7 and 8, an improved up-ender 50 is disclosed, which is constructed as a tool for a crane or hoist. The tool includes a lifting anchor element, e.g. an illustrative lifting beam 52, and an auxiliary winch 54. Rigging lines 56 have upper ends secured to the lifting anchor element 52 and extend generally downward from the lifting anchor element 52. Winch cabling 58 extends generally downward from the auxiliary winch 54. A hook 60 or other connection to a crane or hoist (not shown) connects with the lifting anchor element 52 so that the crane or hoist can raise or lower the lifting anchor element 52. The lifting anchor element 52 can take other shapes and forms besides the illustrative beam configuration. The winch 54 may be separate from the lifting anchor element 52, as illustrated, or may be integrated with (e.g. housed inside) the lifting anchor element. If the winch 54 is separate from the lifting anchor element 52 (as shown), then the winch 54 is connected with the lifting anchor element 52 such that operating the crane or hoist to raise (lower) the lifting anchor element 52 also raises (lowers) the winch 54 together with the lifting anchor element 52. The winch 54 has a motorized spool assembly or other mechanism (not shown) by which the length of the winch cabling 58 extending downward from the winch 54 can be lengthened or shortened. In such embodiments, control of the winch 54 can be via a wireless communication link, or via a signal cable extending from the winch 54. Alternatively, a motorized spool assembly or other mechanism may be integrated with the crane or hoist and the winch cabling 58 passed through the auxiliary winch 54 to the mechanism in the crane or hoist in order to lengthen or shorten the winch cabling. In contrast to the winch cabling 58, the illustrative rigging lines 56 are of fixed length (although some motorized mechanism for length adjustment of the rigging lines is also contemplated). The up-ender 50 is shown engaging a shipping container 10′ oriented in the horizontal position in FIG. 7, and engaging the same shipping container 10′ oriented in the vertical position in FIG. 8. The illustrative shipping container 10′ is similar to the shipping container 10 described with reference to FIGS. 1-6, but the conical end-caps 16, 18 of the shipping container 10 are replaced by flat end-caps, of which only the flat top end-cap 18′ is visible in FIGS. 7 and 8. The shipping container 10′ of FIGS. 7 and 8 also differs from the shipping container 10 of FIGS. 1-6 in that the shipping container 10′ includes: at least one lifting connection 70 connected at some point along the shipping container 10′ (in the illustrative embodiment, two lateral lifting features 70 at opposite sides of the shipping container 10′ near the center of the shipping container 10′) and to which the lower ends of the rigging lines 56 connect; and at least one top connection 72 at the top of the shipping container 10′ to which the winch cabling 58 connects. In the illustrative example, the winch cabling 58 connects with two top connections 72 via a fixture 74; however, a direct connection is also contemplated. The top connection can be made either to the top of the shell 12 (as shown) or, if the top end-cap is sufficiently well-secured to the shell 12, can be made to the top end-cap. Operation of the illustrative up-ender 50 is as follows. The up-ending process (that is, transition from the horizontal position shown in FIG. 7 to the vertical position shown in FIG. 8) starts with connecting the lower ends of the rigging lines 56 to the lateral lifting features 70 of the shipping container 10′, and connecting the lower end of the winch cabling 58 to the top connection 72 (optionally via the fixture 74) of the shipping container 10′. The crane or hoist is operated to raise the lifting anchor element 52 to a height at which the rigging lines 56 are drawn taut without actually lifting the shipping container 10′. The winch 54 is then operated to draw the winch cabling 58 taut, again without actually lifting the shipping container 10′. Thereafter, the crane or hoist operates to continue raising the lifting anchor element 52 and the integral or connected winch 54. Since the rigging lines 56 and winch cabling 58 are both taut at the start of this lifting operation, the result is to lift the shipping container 10′ upward while keeping the shipping container 10′ in its horizontal position. This lifting is continued until the raised shipping container 10′ has sufficient ground clearance to be rotated about the lateral lifting features 70 into the vertical position about the without hitting the ground. At this point, the lifting operation is terminated and the winch 54 is operated to draw in (i.e. shorten) the winch cabling 58. This operates to rotate the shipping container 10′ about the lateral lifting features 70 by raising the upper end of the shipping container 10′. The winch is thus operated until the vertical position shown in FIG. 8 is achieved. Transitioning from the vertical position (FIG. 8) to the horizontal position (FIG. 7) is as follows. The process again starts with connecting the lower ends of the rigging lines 56 to the lateral lifting features 70 of the shipping container 10′, and connecting the lower end of the winch cabling 58 to the top connection 72 (optionally via the fixture 74) of the shipping container 10′. The crane or hoist is operated to raise the lifting anchor element 52 to a height at which the rigging lines 56 are drawn taut without actually lifting the shipping container 10′. The winch 54 is then operated to draw the winch cabling 58 taut, again without actually lifting the shipping container 10′. Thereafter, the crane or hoist operates to continue raising the lifting anchor element 52 and the integral or connected winch 54. Since the rigging lines 56 and winch cabling 58 are both taut at the start of this lifting operation, the result is to lift the shipping container 10′ upward while keeping the shipping container 10′ in its vertical position. In this case, because the shipping container 10′ has its lowest extent when it is in the vertical position, the lifting can be brief, i.e. just enough to lift the vertically oriented shipping container 10′ off the ground. At this point, the lifting operation is terminated and the winch 54 is operated to let out (i.e. lengthen) the winch cabling 58. This operates to rotate the shipping container 10′ about the lateral lifting features 70 by lowering the upper end of the shipping container 10′. The winch is thus operated until the horizontal position shown in FIG. 7 is achieved. In the illustrative embodiment of FIGS. 7 and 8, it will be noted that the lateral lifting features 70 are not at the center of the length of the shipping container 10′, but rather are slightly closer to the lower end versus the upper end. As seen in FIG. 7, this has the effect that the rigging lines 56, when drawn taut, are not precisely vertical but rather are angled toward the lower end of the shipping container 10′ at a small angle off vertical. This has the advantage of reducing the winch force needed to initiate the rotation of the horizontal shipping container 10′ toward the vertical position. While this provides some mechanical benefit, the up-ender would also work with the lateral lifting features at the center of the length of the shipping container, or even with the lateral lifting features shifted slightly toward the upper end of the shipping container. In an alternative embodiment for reducing the force needed to rotate the shipping container, the lifting anchor element 52 can be replaced by a second winch so that the rigging lines 56 become secondary winch cabling whose length can be adjusted. In this variant embodiment, going from the horizontal to the vertical position can be achieved by first letting out some line on the secondary winch cabling so as to lower the bottom end of the shipping container, and then drawing in the (primary) winch cabling 58 to raise the top end of the shipping container. In this approach, however, care must be taken to ensure the crane or hoist is lifted high enough prior to the rotation operation to provide sufficient ground clearance to accommodate the lowering of the bottom end of the shipping container during the rotation. The lateral lifting features 70 can have the form of an eyehole, as shown, or can have a more complex configuration that promotes easy rotation of the shipping container about the lateral lifting features, for example by including a swivel element. The illustrative embodiments include two lateral lifting features 70 connected at opposite sides of the shipping container 10′. This arrangement advantageously provides a balanced pivot axis for rotating the shipping container 10′ between vertical and horizontal. More generally, however, at least one lifting connection 70 is connected at some point along the shipping container 10′. For example, a single rigging line 56′ (indicated by a dashed line only in FIG. 7) could pivotally connect with an upper surface of the (horizontally oriented) shipping container. In this case, it would not be possible to rotate the shipping container into a precisely vertical position since the single rigging line 56′ would impinge on the shipping container; however, it would be possible to achieve a nearly vertical orientation which might, for example, be sufficient to then lower the shipping container into the loading stand 40 of FIG. 6. The winch 54 can be located anywhere along the winch cabling 58, and in some embodiments it is contemplated to integrate the winch into the fixture 74 proximate to the upper end of the shipping container. Note that in this case, the winch is connected with the lifting anchor element when the winch cabling is taut such that operating the crane or hoist to raise (lower) the lifting anchor element also raises (lowers) the winch together with the lifting anchor element. An advantage of the lift-based up-ender 50 is that the shipping container (in either its horizontal or vertical position) can be moved laterally using the crane or hoist. This can reduce operations. For example, to place a newly shipped container into the loading stand 40 of FIG. 6, a conventional process would employ a dedicated up-ender apparatus to up-end the shipping container into the vertical position, followed by connection of a separate crane to the vertically oriented shipping container to lift and laterally move the vertical shipping container. By contrast, the lift-based up ender 50 can lift the horizontal shipping container, rotate it to vertical, and then move it laterally without placing it back onto the ground. Alternately, the shipping container could be move laterally into a desired position and then rotated to the vertical if advantageous to do so (e.g., based on available space clearances for the lateral transport). While illustrated operating on the shipping container 10′, more generally the disclosed up-ender 50 can be used with substantially any type of unirradiated fuel shipping container that is to be rotated between horizontal and vertical positions, so long as the lifting connections 70 and top connection 72 can be made to the shipping container. Thus, the lift-based up-ender 50 can also be used with a clamshell-type shipping container or other type of unirradiated fuel shipping container. The present disclosure has been described with reference to exemplary embodiments. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the present disclosure be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
047708476
abstract
A process is disclosed for providing different irradiation growth rates between a first nuclear element cladding tube of an anisotropic metal and a second cladding tube of the same metal. The process comprises tailoring the tube fabrication of each to produce cladding tubes having a crystalline structure in a desired energy state. The desired energy state is brought about by regulating the size of the final cold-work reduction and the time and temperature of heat treatment following the final reduction. The process is utilized to produce substantially equivalent irradiation growth between fuel rods and water rods. The preferred anisotropic metals are alloys of zirconium.
abstract
A uranium dioxide nuclear fuel pellet has about 50 to about 400 μM (with respect to a 3-dimentional size) microcells formed of a ceramic material having a chemical attraction with fission products generated in the nuclear fuel pellet to absorb and trap the fission products, such that the extraction of the fission product may be retrained in a normal operation condition and that the performance of the nuclear fuel may be enhanced by mitigating PCI. In addition, highly radioactive fission products including Cs and I having a large generation amount or a long half-life enough to affect the environments can be trapped in the pellet in an accident condition, without being released outside.
052395669
abstract
For obtaining a high reflectivity, even when the thickness of periodic structure is reduced, in a multi-layered mirror consisting of alternate layers of a first substance showing a larger difference vacuum in the refractive index in the soft X-ray region and a second substance showing a smaller difference, a nickel-chromium alloy containing chromium in at least 5 wt. % is used as the first substance of larger difference. In a preferred form of the mirror, the second substance of smaller difference in refractive index is vanadium oxide.
abstract
Methods and systems for calibrating the location of x-ray beam incidence onto a specimen in an x-ray scatterometry metrology system are described herein. The precise location of incidence of the illumination beam on the surface of the wafer is determined based on occlusion of the illumination beam by two or more occlusion elements. The center of the illumination beam is determined based on measured values of transmitted flux and a model of the interaction of the beam with each occlusion element. The position of the axis of rotation orienting a wafer over a range of angles of incidence is adjusted to align with the surface of wafer and intersect the illumination beam at the measurement location. A precise offset value between the normal angle of incidence of the illumination beam relative to the wafer surface and the zero angle of incidence as measured by the specimen positioning system is determined.
description
This is a continuation application, under 35 U.S.C. §120, of copending international application PCT/EP2005/011862, filed Nov. 5, 2005, which designated the United States; this application also claims the priority, under 35 U.S.C. §119, of German patent application DE 10 2004 059 195.4, filed Dec. 9, 2004; the prior applications are herewith incorporated by reference in their entirety. The invention relates to a fuel assembly for a boiling water reactor. Such a fuel assembly, known for example from German Utility Model DE 201 05 913 U1 and Austrian patent AT 365 828 C, contains a fuel assembly base closed at the top by a filter plate, a fuel assembly head and, disposed between them, a bundle of long and medium-length fuel rods extending away from the fuel assembly base, and a water channel disposed inside the fuel rod bundle. The long fuel rods are held between the fuel assembly base and head with a small axial play. This play allows the fuel rods to expand in a longitudinal direction, without mechanical stresses occurring. The medium-length fuel rods, which extend starting from the fuel assembly base only as far as a position below the fuel assembly head, are used in boiling water reactors substantially for reasons of thermohydraulic stability and neutron moderation. In order to prevent the medium-length fuel rods from floating up during the reactor operation and reaching an unfavorable placement position, they are held on the fuel assembly base. This is conventionally done by incorporating bores, into which a sleeve is welded, in the filter plate (fuel guard) closing the fuel assembly base on the upper side and used to trap foreign bodies in the cooling water. A spring assembly, for instance sleeve-shaped, is fixed at the lower end of medium-length rods and is fitted into the bore provided with a sleeve in the filter plate and held there by a friction-fit or form fit. Producing the pores in the filter plate, soldering in the sleeves and fastening spring assemblies on the lower end of medium-length rods entail relatively high manufacturing and assembly outlay. It is accordingly an object of the invention to provide a fuel assembly for a boiling water reactor which overcomes the herein-mentioned disadvantages of the heretofore-known devices of this general type, which provides for a simple fastening of a medium-length fuel rod on a fuel assembly base. With the foregoing and other objects in view there is provided, in accordance with the invention, a fuel assembly for a boiling water reactor. The fuel assembly contains a filter plate, a fuel assembly base having an upper side with a top and closed at the top by the filter plate, a separate holding part connected to the upper side of the fuel assembly base, a fuel assembly head and a bundle of fuel rods, including long fuel rods and medium-length fuel rods, extending away from the fuel assembly base and disposed between the fuel assembly base and the fuel assembly head. A plurality of the medium-length fuel rods are fixed axially on the separate holding part. A water channel is disposed inside of the bundle of fuel rods. The object is achieved by a plurality of the medium-length fuel rods being fixed axially on a separate holding part which is connected to the upper side of the fuel assembly base. Introducing bores into the filter plate of a fuel assembly base and soldering in sleeves can be obviated. It is admittedly necessary to produce one or more separate holding parts. These, however, can be configured relatively simply, for example as stamped sheet metal parts, and can then be produced with correspondingly little outlay. Furthermore, a plurality or even all of the medium-length fuel rods can be fixed simultaneously on such a holding part. The holding part can likewise be fastened on the fuel assembly base or on the filter plate in a straightforward way, for example by welding at a few positions. A further simplification relates in configuring the holding part so that long fuel rods can also be supported thereon, with or without axial fixing. A plurality of medium-length and long fuel rods are thus simultaneously assigned to one holding assembly. For example, a holding assembly may then extend over an entire fuel rod row without this entailing particular requirements for the configuration of the holding assembly. In particular, a single holding assembly may be used for fixing all the fuel rods of a fuel assembly. A holding part may also be configured so that at least some of the long fuel rods are fixed at least radially thereon. Lateral holding of the long fuel rods thereby takes place in the region of the fuel assembly base, so that the bottom spacer, i.e. the one lying closest to the fuel assembly base, can be obviated. In this context, it may be advantageous for at least some of the medium-length fuel rods also to be fixed radially on a holding part. A fuel rod is preferably fixed on the holding part with the aid of a snap connection with an axial assembly direction. This simplifies assembly of the fuel rods on the fuel assembly base. A fuel rod merely needs to be fitted axially into a correspondingly configured holding assembly on the holding part, without further fixing measures being necessary. According to a preferred configuration of such a type of connection, the lower end of a fuel rod has a waist behind which a latch assembly disposed on a holding part engages in an axially effective fashion. The latch assembly is preferably formed by two clip assemblies molded onto the holding assembly with their flat sides facing one another, these respectively having a precurved region which engages resiliently into the waist. The mutually opposing clips also retain a fuel rod laterally, so that to this extent there is already some degree of lateral or radial fixing. Lateral displacement could at most take place in a direction extending for instance along the clips. This, however, is prevented in a preferred configuration by two projections protruding laterally at diametrically opposing positions being provided on the lower end of a fuel rod, each of which engages in a recess of a clip. In order not to further limit the flow cross section for the cooling water flowing through a fuel assembly base, which is already restricted by the filter plate, a holding part is disposed on the filter plate so that its filter openings remain substantially free. In the case of filter plates which contain bars extending mutually parallel, this is ensured by the holding parts being configured as rails which are disposed mutually parallel and with the grid period of the filter plate bars. It is in this case conceivable to use separate rails, i.e. ones which are not connected to one another. In such a case the individual rails are fixed, in particular welded on the grid plate. According to another advantageous configuration, the rails are components of an integral grid plate, and they are molded by their ends onto connecting bars aligned transversely to them. Such a grid plate can be fixed on the fuel assembly base with little assembly outlay. Particularly simple fixing is ensured by the grid plate containing an opening, through which the water channel passes, behind the opening edge of which the water channel engages in an axially effective fashion. Rotational fixing of the holding part, which is necessary in particular whenever the bottom spacer is intended to be obviated, may for instance be carried out by welding the grid plate on the fuel assembly base. Preferably, however, the grid plate is rotationally fixed on the water channel, which may be achieved by a form fit effective in the rotational direction between the water channel and the opening, or its opening edge. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a fuel assembly for a boiling water reactor, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings. Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is shown a fuel assembly of a boiling water reactor which, as substantial components, contains a fuel assembly head 1, a fuel assembly base 2, a bundle of fuel rods 3 which is disposed between them, a water channel 4 disposed inside the fuel rod bundle and a plurality of spacers 5 which are spaced apart in the axial direction and hold the fuel rods 3 laterally. FIGS. 2 to 6 show a first embodiment for fixing medium-length fuel rods 3a on the fuel assembly base 2. A grid plate 6 shown in FIG. 2 is used for this. It is composed of a plurality of rails 7 extending mutually parallel and forming holding parts, and thereto connecting bars 8 aligned at a right angle, the ends of the rails 7 being integral with the connecting bars 8. The rails 7 are spaced apart uniformly in a longitudinal direction of the connecting bars 8. In the assembled state, the grid plate 6 rests on the upper side of a filter plate 9 closing the fuel assembly base 2. The filter plate 9 contains a multiplicity of preforms 10 made of sheet steel or another suitable material, extending mutually parallel. The preforms 10 are connected to one another by bars 12, extending transversely to their longitudinal extent, arranged on their upper and lower sides (see in particular FIGS. 3 and 7). The rails 7 of the grid plate 6 are disposed with the grid period of the bars 12 of the filter plate 9. In the assembled state, the rails 7 do not therefore protrude into filter openings 13 present in the filter plate 9, rather they extend above the bars 12. The rails 7 and the connecting bars 8 are disposed in a plane, so that the grid plate 6 rests flat on the filter plate 9 in the assembled state. Both the long fuel rods 3 and the medium-length fuel rods 3a are therefore supported not on the bars 12 but on the rails 7. Each rail 7 thus extends in the direction of a fuel rod row 14. A rail 7a, which is assigned to a fuel rod row 14a containing medium-length fuel rods 3a, forms a holding part and has a number of latch assemblies 15 corresponding to the fuel rods 3a. A latch assembly 15 is formed by clips 16 molded at mutually opposing positions laterally on a rail 7a. A grid plate 6 is produced by stamping from a for example 1 mm thick steel sheet. After stamping, the clips 16 extend in the surface plane of the grid plate 6. From this situation, they are bent into their position shown in FIG. 2, in which they extend approximately in the longitudinal or axial direction of the fuel rod 3a. Before this, however, embossing is carried out by which a constriction 17, extending in the longitudinal direction of a rail 7a, is produced in a central length section of the clips 16. As can be seen particularly in FIG. 5, the constrictions 17 engage into an annular waist 18 at a lower end, formed by a plug 19, of a fuel rod 3. The waist 18 is followed upward by a conical region 21. Below the waist 18, the plug 19 has a region 20 radially widening toroidally. This is followed underneath by a conical region 22 with a rounded tip 23. The end sections 24, adjoining the constriction 18 at the top, of the clips 16 extend obliquely to a mid-longitudinal axis 25 of a fuel rod 3a and include an upwardly opening acute angle (FIG. 5). The oblique setting or angle α corresponds approximately to the cone angle of the conical region 21. The side edges 26 of a clip 16 extend obliquely and include a downwardly opening angle β (FIG. 6). An upper edge 27 of the clip 16 extends approximately parallel to the longitudinal direction of a rail 7a. As can be seen from FIGS. 5 and 6, the lower ends of the long fuel rods are configured like those of the medium-length fuel rods 3a. The end of the long fuel rods 3 may, however, be configured differently. When a fuel rod 3a is being mounted, it is fitted with its plug 19 into the latch assembly 15. The end sections 24 extending obliquely to one another then form an installation funnel. The conical region 22 and the region 20 adjoining it push the clips 16 apart. Once the plug 19 has been fitted fully into the holding assembly 15, the constrictions 17 latch into the waist 18 and fix the fuel rod 3a in the axial direction. As can be seen from FIG. 2, at a decentralized position of the grid plate 6 there is an opening 28 whose opening edge is formed by bars 29. The bars 29 are shaped and connected to two rails 7a′ in such a way as to form an octagonal opening 28. Two short rail sections 11, fitting into the grid period of the other rails and respectively with a bar 29 molded on their mutually opposing ends, extend between the two rails 7a′. In the assembled state a non-illustrated longitudinal section of the water channel 4, which has a circumferential shape formed complementarily with the opening shape, passes through the opening 28. The grid plate 6 is thereby fixed so that it can rotate on the water channel 4. The grid plate 6 is fixed in the axial direction by the non-illustrated longitudinal section of the water channel 4, which passes through the opening 28, undercutting the grid plate in an axially effective fashion. This is achieved by a non-illustrated radial shoulder, on the water channel 4, which protrudes radially beyond the bars 29 and those sections of the rails 7a′ which are connected thereto. FIG. 7 represents a second embodiment, which likewise contains a plurality of holding parts configured as rails 7b. The rails 7b are disposed on the bars 12 of the filter plate 9 and are welded in the region of their ends onto the filter plate 9, or the fuel assembly base 2. In the region of a recess 32 used for fixing the water channel 4, two short rails 7b′ between whose mutually opposing ends there is a section which is at least as large as the internal width of the recess 32, are assigned to a bar 12 present there and formed of two subsections 12a, 12b, or to a fuel rod row 14. A difference from the exemplary embodiment described above is that each rail 7b carries as many latch assemblies 15a as there are fuel rods 3, 3a in a fuel rod row 14. The latch assemblies 15a are configured so that they also fix a fuel rod 3, 3a in a direction extending in the longitudinal direction of a rail 7b. To this end, they have an approximately circular recess 33 in the region of their constriction 17. The toroidally widened region 20, the surface of which may for example also be configured as part of a spherical surface, engages into the recesses 33 of the clips 16 of a latch assembly 15a. It is also conceivable for the clips 16 to have a bulge (not shown) receiving the region 20. All the fuel rods 3, 3a are thus fixed on the fuel assembly base 2 in any radial directions. The fuel rods 3, 3a′ in the lower region of the fuel rod bundle are thereby fixed laterally so that the bottom spacer 5a (FIG. 1) may be obviated. It is also conceivable for the grid plate 6 according to FIG. 2 to be equipped with a number of latch assemblies 15 and/or 15a corresponding to the number of fuel rods 3, 3a of a fuel assembly. In order to facilitate mounting of the rails 7b on the filter plate 9, they are molded onto a frame 35 which has an outer contour corresponding to the circumferential surfaces of the filter plate 9. The short rails 7b′ are molded with their inner end on a plate 36. A structure formed of rails 7b, 7b′ and the frame 35 may, like the alternative embodiment of FIG. 2, be stamped from a for example 1 mm thick sheet steel preform, in which case as well the clips 16 are bent out of the surface plane of the original sheet metal preform into their position shown in FIG. 11. The auxiliary construction thereby obtained is put onto a filter plate 9 and then the individual rails 7b, 7b′ are welded at suitable positions, for example at their ends, to the filter plate 9 or the fuel assembly base 2. The plate 36 and the frame 35 are subsequently separated.
063209225
claims
1. A method of extracting elongated fuel rods having end plugs terminating in end caps from a nuclear fuel assembly employing an extractor tool having an inner rod, an outer tube coaxially about and axially movable relative to said inner rod and a collet at an end of said tool having end cap engaging sections positively pivotable by a camming action about a pin carried by the inner rod between an open position spaced from the end cap and a closed position engaging about said end cap, comprising the steps of: (a) inserting said extractor tool into the fuel assembly with said collet sections in a closed position; (b) relatively displacing said inner rod and said tube in a first axial direction to positively cam and thereby pivot said collet sections about the pin into an open position; (c) advancing the extractor tool into the fuel assembly to enable the open collet sections to straddle said end cap; (d) relatively displacing said inner rod and said tube in a second opposite axial direction to positively cam and thereby pivot said collet sections about the pin into said closed position engaging about said end cap; and (e) jointly displacing said inner rod and said tube in an axial direction to withdraw the engaged fuel rod from said fuel assembly. (a) relatively displacing said inner rod and said tube in a first axial direction to positively cam and thereby pivot said collet sections about the pin into an open position (b) relatively displacing the tool and fuel rod to enable the open collet sections to straddle said end cap of said first end plug; (c) relatively displacing said inner rod and said tube in a second opposite axial direction to positively cam and thereby pivot said collet sections about the pin into said closed position engaging about said end cap; (d) inserting said tool and engaged fuel rod axially into the fuel assembly with said collet sections in said closed position about said end cap; and (e) inserting said second end plug into an opening in a tie plate of the fuel assembly. 2. A method according to claim 1 including, subsequent to step (c) and prior to step (d), engaging an end face of the inner rod against the end cap to position the tool and end plug relative to one another in an axial direction. 3. A method according to claim 1 including engaging an end of said inner rod against the end cap, and displacing a weight along said tool to impact said inner rod while engaged with the end cap against the end plug. 4. A method according to claim 1 wherein steps (b) and (d) include threading a drive element relative to said inner rod to axially displace said inner rod and said outer tube in the first and second axial directions. 5. A method according to claim 4 including maintaining said inner rod and said outer tube non-rotationally oriented relative to one another while said inner rod and said outer tube are axially displaced relative to one another. 6. A method of inserting an elongated fuel rod having a first end plug terminating in an end cap into a nuclear fuel assembly employing an insertion tool having an inner rod, an outer tube coaxially about and axially movable relative to said inner rod and a collet at an end of said tool having end cap engaging sections positively pivotable by a camming action about a pin carried by the inner rod between an open position spaced from the end cap and a closed position engaging about said end cap, the fuel rod having a second end plug at an end thereof opposite said first end plug, comprising the steps of: 7. A method according to claim 6 including displacing a weight along said tool to impact said inner rod while the collet sections remain engaged with the end plug to further insert the second end plug into the tie plate opening.
summary
055263844
abstract
The guide elements (18) for the internal mast (13) include at least two straight beams (28), on each of which at least two sets of rotatable rollers (19) are mounted which are spaced apart from one another in the axial direction (11) and are on the exterior surface of the external shaft (10) of the fuelling machine. The external shaft (10) includes a through opening (27) for passage of each of the sets of rollers (19) of each of the beams (28), inside the external shaft (10) in order to guide the internal mast (13).
description
FIG. 1 illustrates a prior art transfer cask 10 having cylindrical body 11 and a retractable door assembly 12. In order to shield the environment from the radiation emitted by a canister of spent nuclear fuel once it is placed within cavity 13, cylindrical body 11 is typically constructed of a gamma absorbing material such as lead and a suitable hydrogenous material. Retractable door assembly 12 comprises rectangular compartment 14. Rectangular compartment 14 forms space 15 in which retractable plates 16, 17 (FIG. 2) are located. Prior art transfer cask 10 further comprises cask lid 18 having lid hole 19. Referring to FIG. 2, once prior art transfer cask 10 is loaded with a canister 20 of spent nuclear fuel, transfer cask 10 is positioned above and set atop storage cask 21. This is done in order to facilitate the transfer of canister 20 from transfer cask 10 to storage cask 21. However, as discussed in detail above, prior art transfer cask 10 is not secured to storage cask 21 during this process, transfer cask 10 merely rests atop storage cask 21. Once prior art transfer cask 10 is placed atop storage cask 21, retractable plates 16, 17 are moved to an open position. Retractable plates 16, 17 comprise rollers that require lubricant in order to move properly. Moving retractable plates 16, 17 to the open position results in an unobstructed path being formed between the cavity of transfer cask 10 and the cavity of storage cask 21. As such, canister 20 can be lowered by a crane 22 from prior art transfer cask 10 into storage cask 21 for permanent storage. As discussed above, prior art transfer cask 10 has a number of deficiencies. FIG. 3 illustrates an embodiment of the present invention, transfer cask 30 having sealable bottom lid 32. Transfer cask 33 comprises a cylindrical body 31, circular bottom lid 32, and cask lid 33. Cask lid 31 comprises cavity hole 34 and a plurality of cask lid bolt holes 43 circumferentially located around cask lid 31. Cavity hole 34 facilitates access to cavity 40 (FIG. 5) which is necessary to perform certain canister transfer operations. Cylindrical body 31 comprises bottom flange 35, top flange 36, drain valve 37, fill hole 38, and crane handles 39. Bottom flange 35 comprises a plurality of bottom lid bolt holes 41 and a plurality of mating device connection holes 42, both circumferentially located around bottom flange 35. For the reasons discussed below, mating device connection holes 42 are positioned closer to the outer perimeter 55 of bottom flange 35 than bottom lid bolt holes 41. Referring to FIG. 4, circular bottom lid 32 comprises a plurality of threaded holes 51 and circular groove 52. Circular groove 52 is adapted to receive circular gasket 53 (FIG. 5). Circular bottom lid 32 is preferably constructed of carbon steel and is of a thickness that provides adequate radiation shielding. Threaded holes 51 do not extend through the entire thickness of circular bottom lid 32. Moreover, circular bottom lid 32 can be a single circular plate or can be multiple circular plates welded or otherwise fastened together. As illustrated, circular bottom lid 32 comprises two circular plates welded together (FIG. 5). Referring to FIG. 5, cylindrical body 31 of transfer cask 30 is constructed so as to provide adequate radiation shielding for a canister 50 (FIG. 6) of spent nuclear fuel placed within cavity 40. Cylindrical body 31 comprises cylindrical inner shell 43. Inner shell 43 forms cavity 40 within which canister 50 (FIG. 6) can be placed when cask lid 33 is removed. Bottom lid 32 acts as the floor of cavity 40 when secured. Cylindrical body 31 further comprises cylindrical outer shell 44 which is concentric with and surrounds inner shell 43. Both inner shell 43 and outer shell 44 are made from carbon steel. Inner shell 43 and outer shell 44 are welded to top flange 36 and bottom flange 35, forming an annulus 45 that is capable of holding radiation absorbing material such as concrete, lead, or steel. Lead is preferred because it most effectively provides gamma shielding for the radioactive spent nuclear fuel once it is placed within cavity 40. Cylindrical body 31 further comprises jacket shell 46. Jacket shell 46 is concentric with and surrounds outer shell 44. Jacket shell 46 has top surface 47. The bottom of jacket shell 46 is welded to the top of bottom flange 35 while top surface 47 is welded to outer shell 44, forming a second annulus 48, referred to herein as xe2x80x9cjacket 48.xe2x80x9d Jacket 48 is adapted for receiving a neutron absorbing liquid such as water, which provides a layer of neutron shielding for the radioactive spent nuclear fuel once it is placed in cavity 40. In order to facilitate easy filling and draining of jacket 48, jacket shell 46 comprises one or more drain valves 37 and one or more fill holes 38. Additionally, transfer cask 30 comprises a plurality of radial plates (not shown) that extend radially from outer shell 44 to jacket shell 46. The radial plates are circumferentially located around transfer cask 30. Each radial plate is welded on one side to outer shell 44 and to jacket shell 46 on the other side. The radial plates act as fins for improved heat conduction. In the illustrated embodiment, bottom flange 35 forms the bottom surface of cylindrical body 31. Circular bottom lid 32 is secured to bottom flange 35 by extending bolts 49 through bottom lid bolt holes 41 (FIG. 3) and threadily engaging corresponding threaded holes 51 (FIG. 4) located on circular bottom lid 32. As a result, cavity 40 is formed wherein circular bottom lid 32 acts as a floor. Before circular bottom 32 is secured to bottom flange 35, circular gasket 53 is fitted circular groove 52 (FIG. 4). Upon securing circular bottom lid 32 to bottom flange 35 by sufficiently tightening bolts 49, circular gasket 52 hermetically seals the bottom of cavity 40. As mentioned earlier bottom flange 35 further comprises mating device connection holes 42 located closer to outer perimeter 55 than bottom lid bolt holes 41. By positioning mating device connection holes 42 sufficiently closer to outer perimeter 55 than bottom lid bolt holes 41, transfer cask 30 can be secured to mating device 70 (FIG. 7) even when circular bottom lid 32 is secured to bottom flange 35. In the illustrated embodiment, top flange 36 forms the top surface of cylindrical body 31. Top flange 36 comprises a plurality of circumferentially located threaded holes 57. Cask lid 33 is secured to cylindrical body 31 by extending bolts 54 through cask lid holes 43 (FIG. 3) and threadily engaging, the threaded holes 57 of top flange 36. Cask lid 33 is constructed of concrete and carbon steel, so as to provide radiation shielding for the enclosed canister 50 (FIG. 6). Cask lid 33 also comprises lid handles 56 for facilitating the lifting and removing of cask lid 33. Referring to FIG. 6, cavity 40 (FIG. 5) is adapted to receive a canister 50 when cask lid 33 is removed from cylindrical body 31. In the illustration, canister 50 is already placed into cavity 40 and cask lid 33 is secured to top flange 36. When canister 50 is in cavity 40, a small annulus (not labeled) is formed between inner shell 43 and the external wall of canister 50. This small annulus is a result of the diameter of canister 50 being slightly smaller than the diameter of cavity 40. As discussed earlier, gasket 53 hermetically seals the bottom of cavity 40 when circular bottom lid 32 is secured to bottom flange 35. In order to hermetically seal the top of cavity 40 when canister 50 is placed therein, annulus seal 59 is positioned between top flange 36 and the top of the external surface of canister 50. This results in the small annulus being hermetically sealed from the top in addition to the bottom. As such, the external surface of canister 50 is not exposed to pool water when transfer cask 30 and canister 50 are lowered into the pool as described below. Finally, handles 39 facilitate crane 60 to engage, lift, and transport transfer cask 30 throughout the defueling, transfer, and storage procedures. FIG. 7 illustrates an embodiment of the apparatus of the present invention, a cask mating device 70 for use in transferring a canister of spent nuclear fuel from the transfer cask of the present invention to a storage cask. In the illustrated embodiment, cask mating device 70 comprises top plate 71, bottom plate 72, radiation absorbing shield 73, and slidable tray 74. Bottom plate 72 and top plate 71 are constructed of carbon steel and are respectively welded to radiation absorbing shield 73 which comprises substantially U-shaped steel walls filled with a radiation absorbing material such as concrete. Top plate 71 comprises a plurality of threaded holes 75, a plurality of guide extrusions 76, and opening 80. Threaded holes 75 extend into radiation absorbing shield 73 and are used to secure transfer cask 30 (FIG. 9) to mating device 70. Threaded holes 75 are positioned near and partially surround opening 80. Opening 80 is adapted so that it is large enough so that canister 50 (FIG. 6) can pass through, 80 but small enough so that bottom flange 35 (FIG. 6) can rest on top plate 71 without falling into opening 80. Top plate 71 further comprises guide extrusions 76 which help correctly position transfer cask 30 (FIG. 9) atop mating device 70 when transfer cask 30 is being lowered onto and secured thereto. Bottom plate 71 comprises a plurality of storage cask connection holes 77, low friction tracks 78, and opening 81 (FIG. 8). Opening 81 is substantially aligned with opening 80 and adapted to be large enough so that canister 50 (FIG. 6) can pass through. Cask connection holes 77 are located in recesses in radiation absorbing shield 73. Storage cask connection holes 77 are used to secure mating device 70 to the top surface of storage cask 90 (FIG. 9). In the illustrated embodiment there are three cask connection holes 77 (although only one is visible). Bottom plate 72 further comprises low friction tracks 78 for guiding the horizontal movement of slidable tray 74. Low friction tracks 78 are constructed so as to not require lubricant or grease in order for slidable tray 74 to slide thereon. Specifically, low friction tracks 78 are constructed of steel and comprise roller bearings contained within steel guides, wherein only the roller bearings contact slidable tray 74. Referring to FIG. 14, horizontal movement of slidable tray 74 is afforded by a gear drive system comprising rack 85 and pinion 84. Slidable tray 74 has rack 85 welded to the bottom of slidable tray 74 to engage the gear system controlled by pinion 84 which controls the sliding motion. Power can be supplied to pinion 84 via hydraulic pressure, electric motor, compressed air, or human power. As will be described in more detail below, slidable tray 74 comprises a plurality of pneumatic lifters 79 for controlled lowering of circular bottom lid 32 (FIG. 4). Pneumatic lifters 79 are supplied with air through pneumatic hoses 82 which are connected to a source of pressurized air. Moreover, slidable tray 74 comprises elevated ring 88 which is adapted to receive circular bottom lid 32 (FIG. 4) and stabilize circular bottom lid 32 when it is resting on slidable tray 74. Slidable tray 74 is constructed of steel and is capable of horizontal movement between a closed and an open position. In FIG. 7, slidable tray 74 is in the closed position. When slidable tray 74 is in the closed position, slidable tray 74 covers opening 81 (FIG. 8) and is positioned so as to be capable of receiving circular bottom lid 32 (FIG. 4) when transfer casks 30 is secured to mating device 70 (FIG. 9). Referring to FIG. 8, slidable tray 74 is in the open position. When slidable tray 74 is in the open position, slidable tray 74 does not obstruct opening 81. As such, canister 50 can pass from cavity 40 of transfer cask 30, through hole 83 and openings 80, 81, and into cavity 91 of storage cask 90 (FIG. 1). Radiation absorbing shield 73 partially surrounds hole 83 through which canister 50 can pass. Mating device 70 further comprises alignment ring 87 (best illustrated in FIG. 14) welded to bottom plate 72. Alignment ring 85 serves as a guide to help center mating device 70 on storage cask 90 (FIG. 9) during installation. Alignment ring 87 is preferably tapered to help guide or funnel a canister 50 (FIG. 12) from storage cask 90 and into transfer cask 30 in the event that it is necessary to withdraw canister 50 from storage cask 90. FIG. 13 is a flowchart of an embodiment of the method of the present invention. The steps of FIG. 13 will be described in detail below using mating device 70 and transfer cask 30. Specifically, the steps of FIG. 13 will be discussed in relation to FIGS. 9-12 whenever possible. In defueling a nuclear reactor and storing the spent nuclear fuel according to the method of the present invention, initially cask lid 33 is not secured to cylindrical body 31 of transfer cask 30 and canister lid 58 is not secured to canister 50. Open canister 50 is then lowered into cavity 40 of open transfer cask 30 wherein circular bottom lid 32 is secured to bottom flange 35. Transfer cask 30 (having open canister 50 within cavity 40) is then submerged into a spent nuclear fuel pool, completing step 1300 of FIG. 13. Once transfer cask 30 is fully submerged and resting at the bottom of the spent nuclear fuel pool, spent nuclear fuel is removed from the reactor as necessary and placed into open canister 50, completing step 1310 of FIG. 13. Once canister 50 is fully loaded with spent nuclear fuel, canister lid 58 is secured to canister 50, sealing both pool water and the spent nuclear fuel within canister 50. As such, step 1320 of FIG. 13 is completed. At this point, transfer cask 30 (and loaded canister 50) are ready to be removed from the pool. However, before this occurs mating device 70 is secured to storage cask 90 (FIG. 9). Referring to FIG. 9, mating device 70 is secured to top surface 92 of storage cask 90 by positioning mating device 70 on top surface 92 so that cask connection holes 77 (FIG. 7) line up with threaded holes 93 (FIG. 10) located on top surface 92. Bolts 94 are then extended through cask connection holes 77 threadily engaging threaded holes 93. Moreover, at this point, slidable tray 74 of mating device 70 is in the closed position (see FIG. 7). As such, step 1330 of FIG. 13 is completed. Once step 1330 has been performed (or possibly during or after), crane 60 (FIG. 6) completes step 1340 of FIG. 13 by lifting transfer cask 30 (having loaded canister 50 in cavity 40) from the pool. Transfer cask 30 is then set down in a staging area where the pool water is pumped out of canister 50, the spent nuclear fuel is allowed to dray, and the canister is backfilled with an inert gas such as helium and then resealed. Canister 50 is now ready for dry storage and step 1350 of FIG. 13 is completed. At this point cask lid 33 (FIG. 3) is secured to cylindrical body 31 as described above, completing step 1360. Closed transfer cask 30 is then lifted by crane 60 and positioned above mating device 70 which is secured to storage cask 90. Once transfer cask 30 is positioned above mating device 70, crane 60 lowers transfer cask 30 down onto mating device 70 (see FIG. 9). As transfer cask 30 is being lowered onto top plate 71 of mating device 70, extrusion guides 76 help guide transfer cask 30 to its proper resting position. Transfer cask 30 is positioned so that the mating device connection holes 42 (FIG. 3) on bottom flange 35 line up with threaded holes 75 (FIG. 7) of mating device 70. Once properly positioned, bolts 95 are extended through mating device connection holes 42, threadily engaging threaded holes 75. As such, step 1370 of FIG. 13 is completed. Referring to FIG. 10, once mating device 70 is properly secured to both storage cask 90 and transfer cask 30, cavity 40 (FIG. 5) of transfer cask 30, hole 83 and openings 80, 81 (FIG. 8) of mating device 70, and cavity 91 of storage cask 90 are substantially aligned. Once properly secured together, circular bottom lid 32 is unfastened from bottom flange 35 by removing bolts 49 (FIG. 5). Pneumatic lifters 79 (FIG. 7) engage circular bottom lid 32 and lower circular bottom lid 32 onto slidable tray 74 within circular elevated ring 88. Referring to FIG. 11, once slidable tray 74 receives circular bottom lid 32, slidable tray 74 is moved to the open position as defined above. As such, slidable tray 74 slides on low friction tracks 78, horizontally removing circular bottom lid 32 so that a clear path through which canister 50 can pass from transfer cask 30 into storage cask 90 is formed. Thus, step 1380 of FIG. 13 is completed. Moreover, when circular bottom lid 32 and slidable tray 74 are moved to the open position, radiation absorbing shield 73 combined with circular bottom lid 32 substantially enclose the space between transfer cask 30 and storage 90 through which canister 50 will pass. In the illustrate embodiment, this is accomplished by designing U-shaped radiation shield 73 so that the diameter of circular bottom lid 32 is substantially equal to the perpendicular distance between the legs (i.e. the straight portions of the U-shape) of radiation shield 73. This design allows canister 50 to be lowered into storage cask 90 without radiation contaminating the outside environment in unacceptable levels. Upon a clear path being formed between cavity 40 of transfer cask 30 and cavity 91 of storage cask 90, canister 50 is lowered from cavity 40 into cavity 91 until canister 50 is fully within storage cask 90 (FIG. 12). This lowering process is performed by crane 60. Crane 60 engages canister handles 59 located on canister lid 58 through cavity hole 34. In this way, crane 60, completes step 1390 of FIG. 13. The foregoing discussion discloses and describes merely exemplary embodiments of the present invention. As will be understood by those skilled in this art, the invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. Specifically, mating device 70 can be constructed so as not to include top and bottom plates 71, 72. In this embodiment, slidable tray 74 would slide on low friction trucks 78 which would be located on the interior of radiation shield 73. In such an embodiment, the storage cask and transfer cask are secured directly to the radiation shield. Accordingly, the disclosure of the present invention is intended to be illustrative, but not limiting, of the scope of the invention, which is set forth in the following claims.
claims
1. A fuel bundle for use in a nuclear reactor, the fuel bundle comprising:a plurality of rods, at least one of the rods being a multi-segment rod, each multi-segment rod including,a plurality of rod segments,the rod segments being removably mated to each other in an axial direction,the rod segments being individually cladded,the rod segments forming a continuous multi-segment rod having continuous cladding in the axial direction when so mated in the axial direction,at least one rod segment being an adaptor subassembly containing no irradiation target,at least one irradiation target within a rod segment; anda plurality of spacers, each spacer being spaced apart from other spacers in the axial direction, each spacer directly contacting only an adaptor subassembly along the axial length of the multi-segment rods. 2. The multi-segment fuel rod of claim 1, wherein the at least one irradiation target does not include nuclear fuel. 3. The multi-segment fuel rod of claim 2, wherein another of the at least one irradiation target includes nuclear fuel. 4. The multi-segment fuel rod of claim 1, wherein the at least one irradiation target is an isotope with an atomic number greater than 3. 5. The multi-segment fuel rod of claim 1, wherein at least one rod segment contains a plurality of irradiation targets. 6. The multi-segment fuel rod of claim 1, whereinat least one rod segment contains at least one container assembly, the container assembly including,a first end,a second end,at least one of the irradiation targets, andan end cap configured to attach to at least one of the first and the second end to seal the irradiation target inside of the container assembly. 7. The multi-segment fuel rod of claim 6, wherein the container assembly includes an exterior indicia indicating the irradiation target contained therein. 8. The multi-segment fuel rod of claim 6, wherein the container assembly and the rod segment containing the container assembly are sealed so as to provide double containment for the irradiation target. 9. The multi-segment fuel rod of claim 1, wherein the rod segments are removably mated by at least one of an adaptor plug and receptor, a screw and threaded opening, and a tang and receptor. 10. The multi-segment rod of claim 1, wherein the irradiation target is Cobalt-59 that becomes Cobalt-60 when exposed to neutron flux. 11. A method of fabricating a fuel bundle, the method comprising:forming a plurality of rods, at least one of the rods being a multi-segment rod, the forming the at least one multi-segment rod including,placing at least one irradiation target within at least one of a plurality of rod segments,mating the plurality rod segments to each other in an axial direction, the rod segments being individually cladded, such that the rod segments form a continuous multi-segment rod having continuous cladding in the axial direction when mated, at least one of the mated rod segments being an adaptor subassembly containing no irradiation target; andforming a fuel bundle including a plurality of spacers and the plurality of rods by placing the plurality of rods into the plurality of spacers such that each of the spacers directly contacts only an adaptor subassembly along the axial length of the at least one multi-segment rod. 12. The method of claim 11, wherein the placing the at least one irradiation target includes,placing the at least one irradiation target into at least one container assembly, the container assembly including a first end, a second end, the at least one irradiation target,sealing the at least one irradiation target inside of the container assembly with an end cap configured to attach to at least one of the first and the second end, andplacing the at least one container assembly into the at least on of the plurality of rod segments. 13. The method of claim 12, further comprising:providing an exterior indicia on the container assembly, the exterior indicia indicating the at least one irradiation target contained therein. 14. The method of claim 11, wherein the mating includes at least one of mating an adaptor plug and receptor, mating a screw and threaded opening, and mating a tang and receptor.
052290654
summary
FIELD OF THE INVENTION The invention relates to a method and a device for measuring the temperature of the primary coolant fluid of a nuclear reactor. BACKGROUND OF THE INVENTION Nuclear reactors such as pressurized-water nuclear reactors, comprise a pressure vessel in which is arranged the core of the reactor consisting of fuel assemblies and a primary circuit comprising at least one loop on which is arranged a steam generator, inside which the primary coolant fluid of the reactor heats up and vaporizes the feedwater. Each of the loops of the primary circuit comprises very thick large-diameter pipes in which the primary coolant fluid circulates. One of the pipes, or the hot leg, connects the pressure vessel to the steam generator and ensures the transfer of the primary fluid, heated up in contact with the core, into the primary part of the steam generator. Another pipe, or the cold leg, ensures the return of the coolant fluid into the pressure vessel after having passed through the steam generator. In order to control and monitor the operation of nuclear reactors, it is necessary to measure the temperature of the primary fluid, which temperature must of necessity be maintained within a predetermined range in order to ensure satisfactory operation of the nuclear reactor. One of the most widely used methods consists in sampling coolant fluid from various legs of the primary circuit, in mixing the samples obtained and in measuring the temperature of the samples of fluid after they have been mixed. These temperature measurements are carried out on the sampling lines or in headers situated at points remote from the primary pipes. The sampling circuit comprises small-diameter pipes, the total length of which can be of the order of 60 m. Furthermore, the sampling circuit is complex and comprises numerous gates and flaps in the region of which leaks can occur, resulting in the environment of the nuclear reactor being contaminated. Decontamination procedures must then be implemented which may prove to be lengthy and costly. Furthermore, the maintenance operatives who work on the sampling circuit may be subjected to a high level of radiation. Devices are also known for measuring the temperature of a coolant fluid inside the primary pipes which comprise a probe, the support body of which traverses the wall of the primary pipe. These devices, termed scoops, can comprise an element in the shape of a glove finger penetrating into the primary duct and inside which the temperature-measuring probe is placed. The portion of the glove finger inside the primary pipe is perforated so that the coolant fluid can circulate in contact with the probe. The temperature of the coolant fluid of a nuclear reactor is not homogeneous in the various sections of the primary pipes and, in particular, in the hot legs where stratification of this coolant fluid takes place. Methods for measuring the temperature of the coolant fluid must take account of these phenomena in order for the measurements obtained to be genuinely representative and to enable efficient monitoring and control of the nuclear reactor to take place. SUMMARY OF THE INVENTION The object of the invention is therefore to provide a method for measuring the temperature of the primary coolant fluid of a nuclear reactor comprising a pressure vessel enclosing the core of the reactor and a primary circuit comprising at least one loop on which is arranged a steam generator and which comprises pipes in which the primary coolant fluid of the reactor circulates. One of the pipes or the hot leg, connects the pressure vessel to the steam generator, and another pipe, or the cold leg, insures the return of the coolant fluid coming from the steam generator into the pressure vessel. This method, which is simple to implement, makes it possible to obtain representative temperature measurements and avoids to a very large extent risks of radiation by the coolant fluid. To this end, coolant fluid is sampled from a substantially horizontal part of the hot leg at at least three points distributed at the periphery of a straight section of the hot leg, in such a way that at least one of the sampling points, or lower sampling point, is situated beneath the axis of the pipe, the temperature of the coolant water sampled at each of the sampling points is measured at its outlet from the hot leg, and the coolant fluid is reintroduced into the hot leg at a point situated in a position substantially diametrically opposite, with respect to a lower sampling point, on the straight section of the hot leg. The invention also relates to a measuring device making it possible to implement the method according to the invention.
052672891
abstract
A method for enhancing the wear resistance of a tubular component (62) of a nuclear fuel assembly, including the first steps of supporting the component in an implantation chamber (16), removing ambient air from the chamber such as by a pump (56) and generating a plasma plume (28) of positively charged metal source material by establishing an electrical discharge arc which travels from a cathode (18) of said source material to an anode (24) of a different material. At least a portion of the plasma plume is passed through an electromagnetic duct (14) which filters constituents other than free, high energy source material ions out of the plume. The high energy source material positive ions are directed through the chamber onto the negatively charged component. The chamber can be backfilled with a reactive gas such as nitrogen which forms an ionic compound with the source material ions such as Zr or Ti, and the ionic compound such as ZrN or TiN implants in the component.
abstract
A product cartridge for a radionuclide including a product vial having a permeable cap and surrounded by a radiation shield and a filling cartridge having a separate radiation shield, the filling cartridge is supported adjacent the permeable cap by coupling the radiation shield of the filling cartridge to the radiation shield of the product vial, the filling cartridge is moveable within the radiation shield of the filling cartridge to engage and pierce the permeable cap during filling of the product vial, the filling cartridge includes an aperture on an end opposite the product vial that receives a radionuclide, a scavenger that removes heavy metals from the radionuclide and a filter that filters the biological contaminants, simultaneously venting the product vial as the radionuclide flows from the aperture through the filling cartridge and into the product vial.
048184713
abstract
A Local Power Range Monitor (LPRM) string is employed between BWR fuel assemblies arranged in side-by-side spaced positions. The string has a hollow tube and neutron detectors located therein at spaced axial locations and being adapted to provide local power monitoring information. The hollow string tube is adapted to receive a neutron flux sensitive probe for calibrating the detectors. Each fuel assembly has an outer hollow tubular channel surrounding fuel rods and spacers disposed within and axially along the channel and about the fuel rods. The spacers are composed of a material incapable of producing a localized change in neutron flux. Elements in the form of strips of a neutron absorber material are attached to the exterior of the channel of each fuel assembly at a respective corner thereon and located axially at different known positions therealong and adjacent to the hollow string tube. The material composing the strips is capable of producing a localized change in neutron flux such that, upon passage of the probe through the hollow string tube and past the strips, the probe will sense the neutron flux change being produced by each of the strips and thereby the position of the probe can be tracked as it is moved through the string tube.
06207962&
description
DETAILED DESCRIPTION Reference is first made to FIG. 5 which depicts a representative embodiment of a charged-particle-beam (CPB) projection-exposure apparatus that can include the instant invention. The FIG. 5 embodiment is discussed below in the context of an electron-beam system, but it will be understood that any of various other charged particle beams can be used with such an apparatus, such as an ion beam. In FIG. 5, an electron gun 101 produces an electron beam EB that propagates in a downstream direction along an optical axis A. The electron beam EB propagates from the electron gun 101 through various components (discussed below) to a reticle 110 and then through other components (discussed below) to a substrate 114. Downstream of the electron gun 101 are situated a first condenser lens 103 and a second condenser lens 105. The electron beam EB passes through the condenser lenses 103, 105 and is converged at a crossover image C01. Downstream of the second condenser lens 105 is a beam-shaping aperture 106. The beam-shaping aperture 106 trims the electron beam EB to have a transverse profile suitable for illuminating an individual exposure unit on the downstream reticle 110. Desirably, the beam-shaping aperture 106 trims the electron beam EB to have a transverse profile slightly larger than the area and profile of the exposure unit. For example, the beam-shaping aperture 106 can shape the electron beam to have a square profile measuring slightly more than one millimeter on a side as projected onto the reticle 110, for illuminating an exposure unit measuring exactly 1 mm square. A blanking aperture 107 is situated at the same axial position, downstream of the beam-shaping aperture 106, as the crossover image C01. Immediately downstream of the blanking aperture 107 is a deflector 108. A collimating lens 109 forms an image of the beam-shaping aperture 106 on the illuminated exposure unit on the reticle 110. As used herein, an "illumination beam" denotes the charged particle beam EB between the electron gun 101and the reticle 110, and an "imaging beam" denotes the charged particle beam between the reticle 110 and the substrate 114. Similarly, the "illumination-optical system" denotes the optical system located between the source 101 and the reticle 110, and the "projection-optical system" denotes the optical system located between the reticle 110 and the substrate 114. The deflector 108 sequentially scans the electron beam EB primarily in the X direction of FIG. 5 so as to illuminate, within the optical field of the illumination-optical system, a desired exposure unit on the reticle 110. With respect to the reticle 110, although only one exposure unit (through which the optical axis A passes) is shown in FIG. 5, the reticle 110 actually extends outward in the X-Y plane (perpendicular to the optical axis) and typically comprises a large number of exposure units. As the exposure units are sequentially illuminated by the electron beam, the deflector 108 scans the electron beam, as discussed above, across the optical field of the illumination-optical system. Provided downstream of the reticle 110 are first and second projection lenses 112 and 113 and, respectively, a deflector 131. The projection lenses preferably are configured as a "Symmetric Magnetic Doublet" or "SMD." As each exposure unit on the reticle 110 is illuminated by the illumination beam, the beam passes through the illuminated exposure unit and thus acquires an ability to form an image of the illuminated exposure unit. The resulting imaging beam is demagnified by passage through the projection lenses 112, 113 and deflected as required by the deflectors 131 to form an image of the illuminated exposure unit at the desired location on the substrate 114. The reticle 110 is mounted on a reticle stage 111 that is movable within an X-Y plane. In a similar manner, the substrate (e.g., a semiconductor wafer) 114 is mounted on a wafer stage 115 that is also movable within a respective X-Y plane. Hence, continuous scanning of the exposure units of the reticle pattern can be performed (assuming the projection lenses 112, 113 are configured as an SMD) by scanning the reticle stage 111 and the wafer stage 115 in opposite directions along the Y axis. Both the reticle stage 111 and wafer stage 115 include highly accurate position-measurement systems employing laser interferometers as known in the art. The position-measurement systems, in concert with beam alignments and adjustments performed by the various deflectors of the illumination and projection optical systems, enable the images of the exposure units as formed on the substrate 114 to be accurately stitched together. The upstream-facing surface of the substrate 114 is coated with a suitable resist so as to be imprintable with the projected image of the substrate pattern. To effect such imprinting, the substrate 114 must be exposed with a proper dosage of the imaging beam. Situated upstream of the substrate 114 is a backscattered-electron detector 133 used for mark detection, as discussed below. FIG. 1 shows the vicinity of a reticle stage according to a first representative embodiment of the invention. As shown in FIG. 1, a reticle 1 is mounted on a reticle stage 3. A mark member 5 is situated adjacent the reticle on the reticle stage 3. The upstream-facing surfaces of the mark member 5 and the reticle 1are desirably co-planar in a "reticle plane" that is orthogonal to the optical axis. The mark member 5 desirably is made of silicon about 800 .mu.m in thickness and defines one or more "upstream" marks, such as shown in FIGS. 2(A)-2(D), useful for alignment and calibration purposes, for example. Whenever the charged particle beam 8 impinges on an upstream mark, some of the particles in the beam pass through the upstream mark and are projected onto a respective region on the substrate or wafer stage. The upstream-facing surface on the substrate or on the wafer stage where the upstream mark is projected desirably is situated in a "substrate plane" orthogonal to the optical axis. Situated upstream of the mark member 5 is a shield 7. The shield 7 desirably is made of an electrically conductive material such as tantalum or molybdenum having a thickness of approximately 0.1 to 1 mm in this embodiment. The shield 7 is supported relative to the reticle stage 3 by a leg portion 7b from which a shield plate 7c extends in a cantilever manner so as to cover the mark member 5. The gap between the mark member 5 and the shield 7 is desirably within the range of approximately 0.1 mm to several mm. Alternatively, a separate leg portion 7b can be placed along each of at least two edges of the shield plate 7c, or the shield plate can be supported relative to the reticle stage 3 in any of various other suitable ways. Flanking the shield 7b is a laser mirror 9 used by the position-measurement system of the reticle stage discussed above. The shield plate 7c defines an aperture 7a that is desirably slightly larger than the upstream mark on the mark member 5. The aperture 7a desirably is located in the center of the shield plate 7c and axially registered with the upstream mark on the mark member 5. The aperture 7a is discussed further below, with reference to FIGS. 3(A) and 3(B). The reticle 1 also can be covered with a shield 6 that defines apertures 6a in locations on the shield 6 that correspond to the locations of corresponding upstream marks on the reticle 1. Representative relationships between an upstream mark and the illumination beam are depicted in FIGS. 2(A)-2(D). FIG. 2(A) shows the area encompassed by a single exposure unit 11, with the superposed transverse profile of the illumination beam 13. (The exposure-unit area 11 encompasses that portion of the overall reticle pattern transferred from the reticle 1 to the substrate in a given instant of time.) For divided projection exposure, a typical exposure-unit area 11 would be square or rectangular in profile and have an area (on the reticle) of approximately (100 .mu.m).sup.2 to (1000 .mu.m).sup.2. With a demagnification ratio of 4:1, for example, such an exposure unit would illuminate an area of approximately (25 .mu.m).sup.2 to (250 .mu.m).sup.2, respectively, on the substrate. For a shaped-beam single-shot transfer technique such as cell projection, the typical exposure-unit area 11 would measure (100 .mu.m).sup.2 to (200 .mu.m).sup.2 on the reticle. With a demagnification ratio of 25:1, for example, such an exposure unit would illuminate an area of about (5 .mu.m).sup.2 on the substrate. In FIGS. 2(A)-2(D), the upstream marks are formed on the same membrane region of the reticle as the pattern to be projection-transferred to the substrate. The transverse area of the illumination beam 13 is slightly larger than the exposure unit 11. For example, if the exposure unit 11 were a square measuring 1000 .mu.m.times.1000 .mu.m, then the transverse area of the illumination beam 13 would be a square measuring about 1100 .mu.m.times.1100 .mu.m. FIG. 2(B) shows a relatively large (relative to the aperture 21) upstream mark 23 that has especial utility for aligning and calibrating the main field of the illumination and imaging optical systems. The mark 23 is configured as a line-and-space pattern in which each line has a width of, by way of example, 1.6 .mu.m, a length of 50 .mu.m and spacing therebetween of 3.2 .mu.m. The illumination beam illuminates the upstream mark 23. As the illumination beam illuminates the mark 23, the portion of the beam passing through the mark is projected onto the substrate (or other suitable location on the substrate plane). The projection is performed such that the projected image of the upstream mark 23 overlays a corresponding "downstream" mark on the substrate (or substrate plane). The image of the upstream mark 23 is scanned onto the downstream mark by the deflector 131 (FIG. 5). The backscattered-electron detector 133 (FIG. 5) detects backscattered electrons propagating from the overlaying marks. Based on the resulting detection signal relative to the scan signal, a measurement is performed in which a mark pattern previously imprinted on the substrate or substrate plane is aligned so as to be in registration with the newly projected mark pattern. Alternatively, a calibration can be performed in which one or more of demagnification ratio, rotation, distortion, lateral position, and focus position, for example, is adjusted as required. FIG. 2(C) shows a relatively small (relative to the aperture 31) upstream mark 33 that has especial utility for calibrations and corrections of distortion of exposure units as projected onto the substrate. The upstream mark 33 is detailed further in the enlargement shown in FIG. 2(D), in which the mark comprises multiple lines 35 each having, by way of example, a width of several .mu.m, a length of about 10 .mu.m, and spaces therebetween each having a width of 2 .mu.m. The mark patterns shown in FIGS. 2(B) and 2(C) are significantly smaller than the transverse profile of the illumination beam 13. As a result, many (if not most) of the charged particles in the illumination beam are not used to illuminate the marks per se but rather used to illuminate the vicinity of the marks. I.e., most of the charged particles impinge on the mark member 5 (or the reticle if the upstream marks are defined on the reticle) and cause localized heating and consequent thermal deformation of the mark member (or reticle). Such thermal deformation causes the shapes and positions of the upstream marks (and of the lines or elements thereof) to change. Such changes degrade alignment and calibration accuracy, which degrade the accuracy with which the reticle pattern can be transferred to the substrate. The shields 6, 7 shown in FIG. 1 alleviate this problem. Details of a shield 6, 7 according to two example embodiments are shown in FIGS. 3(A) and 3(B), respectively. Turning first to FIG. 3(A) the shield 6, 7 is shown in plan view. The perimeter of the shield 6, 7 encloses an area that is larger than the transverse area and profile of the illumination beam 13. For example, if the illumination beam 13 has a 1100 .mu.m.times.1100 .mu.m transverse profile, then the shield 6, 7 has at least a slightly larger area. The center of the shield 6, 7 defines an aperture 6a, 7a measuring, by way of example, 55 .mu.m.times.55 .mu.m. The aperture 6a, 7a is situated such that the upstream mark 23 (which, by way of example occupies an area of approximately 50 .mu.m.times.50 .mu.m) when viewed axially is approximately centered in the aperture 6a, 7a. To illuminate the upstream mark 23, the illumination beam first passes through the aperture 6a, 7a; the shield 6, 7 blocks most of the illumination beam from reaching anything downstream other than the upstream mark 23. As a result, only that portion of the illumination beam that is actually required to illuminate the upstream mark 23 strikes the mark member 5. The amount of heating imparted to the mark member 5 is thus much less than if the shield 6, 7 were absent. The example embodiment of the shield shown in FIG. 3(B) is especially useful whenever the space between the lines of the upstream mark 23 is relatively wide. Rather than having a single large aperture 6a, 7a, as used in the FIG. 3(A) embodiment, the shield 6', 7' in the FIG. 3(B) embodiment defines individual slit-shaped apertures 6a', 7a' for each respective line of the mark 23. By way of example, each slit-shaped aperture 6a', 7a' has a width of 5.5 .mu.m and a length of 51 .mu.m. Thus, each slit-shaped aperture 6a', 7a' is slightly larger than the corresponding line of the mark 23. The FIG. 3(B) configuration further reduces the electron dose received by regions of the mark member 5 (or reticle) outside the upstream mark 23. This, in turn, further reduces thermal deformation of the mark member (or reticle). Turning now to FIG. 4 showing another representative embodiment, a shield 51 defining an aperture 51a is axially separated from a mark member 57. I.e., the shield 51 is situated upstream of the mark member 57, and a lens 53 is situated between the shield and the mark member. An illumination beam 55, having passed through the aperture 51a in the shield 51, is projected by the lens 53 onto (and imaged on) an upstream mark 57a on the mark member 57. In this configuration, the upstream mark 57a on the mark member (or reticle) is illuminated selectively by the illumination beam. This avoids thermal deformation of the mark member (or reticle) due to excessive localized irradiation by the illumination beam. Therefore, the present invention provides a shield situated over a location on a reticle plane (e.g., a mark member or reticle) defining an upstream mark. The shield effects more localized irradiation of the upstream mark during instances in which the upstream mark is being irradiated by the illumination beam. Consequently, excess irradiation of the vicinity of the upstream mark is prevented, which correspondingly reduces thermal deformation of the mark and increases the accuracy of mark detection. Whereas the invention has been described in connection with multiple representative embodiments, it will be apparent that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all alternatives, modifications, and equivalents as may be encompassed within the spirit and scope of the invention as defined by the appended claims.
048266485
claims
1. A neutron absorbing bar for a liquid cooled nuclear reactor, including a cluster of vertical neutron absorbing rods fixed to arms of a spider having a central pommel connectable to a drive mechanism and a damping device in the pommel, said damping device including: a cylinder formed in said pommel and opening downwardly; a piston slidably received in said cylinder and urged downwardly toward a predetermined outermost position by resilient means contained in said cylinder; and a hydromechanical damper carried by said piston and arranged for abutting a stationary part upon release and fall of the bar for exerting a shock damping action; wherein a plurality of sets of calibrated openings are formed in a lateral wall of the cylinder are distributed along the length thereof so as to be covered successively by the piston during upward movement thereof into the cylinder and to provide a leak cross-sectional flow area to liquid forced out of the cylinder upon movement of the piston into the cylinder which decreases gradually as the amount of movement of said piston into said cylinder increases. 2. Bar according to claim 1, wherein the piston has a downwardly directed radial shoulder whereby the wall of said piston under said shoulder define with the cylinder an annular clearance into which said sets of openings open when uncovered. 3. Bar according to claim 1, wherein a spring of low stiffness as compared with that of the resilient means is compressed between said piston and a bottom wall of said cylinder. 4. Bar according to claim 1, wherein the resilient means comprise two helical springs placed in mutually abutting series relation and having opposite winding directions. 5. Bar according to claim 1, further comprising an end of travel spring interposed between a bottom wall of the cylinder and a vertically slidable abutment thimble arranged for receiving the piston when the latter has travelled over a predetermined length from said predetermined position. 6. Bar according to claim 5, wherein abutment of the piston on the thimble takes place after the piston has moved upwardly beyond all the holes providing the leak for cross-sectional area. 7. A neutron absorbing bar for a liquid cooled nuclear reactor, including a cluster of vertical neutron absorbing rods fixed to arms of a spider having a central pommel connectable to a drive mechanism and a damping device in the pommel, said damping device including: a cylinder formed in said pommel and opening downwardly; a piston slidably received in said cylinder and urged downwardly toward a predetermined outermost position by resilient means contained in said cylinder; an end of travel spring interposed between a bottom wall of the cylinder and a vertically slidably abutment thimble arranged for receiving the piston when the latter has travelled over a predetermined length from said predetermined position; and a hydromechanical damper carried by said piston and arranged for abutting a stationary part upon release and fall of the bar for exerting a shock damping action; wherein a plurality of sets of calibrated openings are formed in a lateral wall of the cylinder and distributed along the length thereof so as to be covered successively by the piston during upward movement thereof into the cylinder and to provide a leak cross-sectional flow area to liquid forced out of the cylinder upon engagement of the piston into the cylinder which decreases gradually as the amount of movement of said piston into said cylinder increases.
042010926
summary
BACKGROUND OF THE INVENTION The present invention is directed to a method of detecting and monitoring a leak caused by a through wall crack in a high pressure fluid system and more specifically to a nuclear reactor piping system. Nuclear piping systems are designed under code provisions that reflect a leak-before-break philosophy. Piping is typically austenitic stainless steel and past service history has shown that through wall cracks will occur without serious threat to system integrity; i.e., the pipe breaking. Thus, leak detection is an important method for detecting a fracture process in these piping systems. In reactor systems where large volumes and flow rates are involved it is believed that detection of escaping fluids holds the most promise for improved sensitivity. Several present techniques now in use on reactor systems include flow detection, radio isotope gas detection, nuclear radiation detection, and pressure and temperature changes in a "collection" chamber. Methods which have been proposed for future use include acoustic noise monitoring and moisture sensitive foil which exhibits a conductivity change in the presence of moisture. One form of acoustic monitoring which has been developed is acoustic emission. Usually acoustic emission is defined as the elastic waves generated when a material undergoes plastic deformation. Here when the structure is loaded, emissions occur in burst type pulses and it is possible to calculate source location with the use of several transducers which are affixed directly to the structure being monitored. A definitive study in the application of acoustic emission to leak detection was made to J. D. Allison, O. A. Kupcis, and O. C. Irwin, "Detection of Leaks in CANDU Reactor Fuel Channels Using Acoustic Emission Monitoring," CWAPD-267 Westinghouse Canada Limited, Dec. 5, 1974. Here a transducer was in contact with the end fitting of each of the several pressure tubes in the reactor. Frequency analysis of the detected signal was conducted in the frequency range up to 1.0 MHz. As illustrated in FIG. 1, signals were analyzed on a frequency amplitude basis and the frequency spectra for the tubes indicated as 11, 12 and 13 were identified as leaking tubes as opposed to the nonflawed or sound tube represented by curve 14. In other words, this experiment indicated that by the use of acoustic emission in a frequency amplitude plot, the presence of a leak might be indicated by the frequency distribution or frequency spectra. However, merely detecting a leak is not sufficient. It is desired to quantify the leak and provide an indication of the crack growth through the wall of the piping. This would be a significant aid in determining operating and shutdown repair criteria. OBJECTS AND SUMMARY OF THE INVENTION It is, therefore, a general object of the present invention to provide an improved method of detecting and monitoring a leak caused by a through wall crack in a high pressure fluid system. In accordance with the above object there is provided a method of detecting and monitoring a leak caused by a through wall crack in a high pressure fluid system. The acoustic energy emitted by the wall crack is sensed. Change of the sensed energy is monitored over time. Crack enlargement in accordance with the change of the sensed energy is determined.
claims
1. A radiation detector comprising:a sensor substrate including an organic material as a main component;a resin protective layer formed on the sensor substrate and made of an organic material;a barrier layer formed on the resin protective layer and including thallium iodide as a main component; anda scintillator layer formed on the barrier layer and constituted of a plurality of columnar crystals including cesium iodide with thallium added thereto as a main component,wherein the sensor substrate has a photo-detection surface provided with a photoelectric conversion element receiving light generated in the scintillator layer. 2. The radiation detector according to claim 1,wherein the organic material included in the sensor substrate as a main component is any one of polyethylene terephthalate (PET), polyethylene naphthalate (PEN) and polyimide (PI). 3. The radiation detector according to claim 1,wherein the organic material forming the resin protective layer includes any one of a xylylene resin, an acrylic resin, a silicone resin, polyimide, a polyester resin, a siloxane resin and an epoxy resin. 4. The radiation detector according to claim 1, further comprising:a protective film covering a front surface of the resin protective layer, side surfaces of the scintillator layer and a front surface of the scintillator layer. 5. The radiation detector according to claim 4,wherein the protective film is formed by polyparaxylylene.
053234340
claims
1. A fuel assembly for a boiling water nuclear reactor containing a plurality of vertical fuel rods which are arranged between a bottom-tie plate and a top-tie plate in a surrounding vertical casing part and which extend through a plurality of spacers arranged in spaced relationship in the vertical direction, said spacers together with the bottom-tie plate and the top-tie plate retaining the fuel rods in a spaced relationship in a lateral direction, wherein each fuel rod comprises a cladding tube containing a nuclear fuel material along an active length thereof and wherein the fuel assembly is formed with an inlet for water for conducting water in through the bottom-tie plate, through the space between the fuel rods in the vertical casing part, and out through the top-tie plate, wherein each fuel rod of at least a majority of said plurality fuel rods is adapted to provide a considerably lower power in parts thereof which are located immediately below the associated spacers in at least an uppermost one-third of the active length of the fuel rod as compared to the power the fuel rod is adapted to provide in the remaining parts of the active length thereof. 2. A fuel assembly according to claim 1, wherein a part of a fuel rod which is adapted to give off a lower power has a length of 2-10 cm. 3. A fuel assembly according to claim 1 wherein a part of a fuel rod which is adapted to provide a lower power is adapted to provide a power which is at most one-third of the power which the fuel rod is adapted to provide, on average, in the remaining parts of its active length. 4. A fuel assembly according to claim 1, wherein a part of a fuel rod which is adapted to give off a lower power is adapted to comprise a nuclear fuel material which is surrounded by a corresponding part of the cladding tube and which contains a burnable absorber. 5. A fuel assembly according to claim 1, wherein a part of a fuel rod which is adapted to provide a lower power is adapted to comprise a non-fissile material with low neutron absorption which is surrounded by a corresponding part of the cladding tube.
summary
044980116
summary
The invention relates to a device for enclosing and shielding a vessel filled with expended reactor fuel elements, including means for cooling the vessel and for supporting the vessel for transporting same. PRIOR ART A protective concrete container of this general type is described in "Nuclear Technology", Vol. 24, p. 391-397, December 1974. This known prior container is provided with an integral bottom plate serving as the container floor. The concrete cover member is formed separately. Laterally arranged at or near the bottom of the container are air inlet openings, and at or near the container top are air outlet openings. No means are disclosed for the lifting or transportation of the concrete container, nor are measures proposed to prevent water or vermin, for example insects, from entering. Furthermore, no provision is made to improve the heat discharge and to possibly recover at least a part of the heat generated. A similar container has been described in the publication ARH-2888 REV, p. 2-13. This container apparently consists of a separate concrete shielding jacket, a concrete base and a concrete cover. Both the concrete cover and the concrete base are positioned in spaced relation to the protective concrete jacket. Due to this particular design, air inlet openings are created at the bottom and air outlet openings are created in the proximity of the container top. This prior protective concrete container likewise fails to make provision for lifting and transportation, for preventing ingress of water and vermin, or for improving the heat discharge and a possible recovery of at least some of the heat generated. THE INVENTION It is the object of the present invention to produce a protective container for a vessel filled with radioactive material which overcomes the disadvantages of the prior art devices referred to above, and in particular to improve the loading operation and transportation of the device. This is accomplished by providing a base for the protective container which also serves as a movable pallet, and inclined air discharge ducts in the region of the container cover. The insertion of a fuel element vessel into the container of the present invention is accomplished by first setting the fuel element vessel upon the base, then placing the protective jacket over the fuel element vessel, and, finally, putting the cover member into position. By constructing the base in the form of a movable pallet, the lifting and transportation of the protective container is considerably facilitated. To move the concrete container, a low bed vehicle may be used to advantage because in view of its flat low construction, it is capable of being moved with its loading platform underneath the pallet. The loading platform is then raised vertically and the protective container is moved in an upright position to the intended storage location where it is unloaded simply by lowering the loading platform of the vehicle. Due to the inclined and/or angular configuration of the air discharge ducts in the area of the cover member, the ventilation and thus the heat discharge is improved. The vessels filled with spent fuel elements still have on their outer surfaces a radioactive dosage rate of gamma and neutron radiation of approximately 10 milliroentgen/hr, with one year decay time. By the shielding means installed in the protective container according to the invention, the radiation dosage rate is lowered to a level such that at a distance of 50 meters, i.e. approximately by the fencing of the container storage site, the radiation rate will only be about 10 milliroentgen/yr. In one embodiment of the invention the highest points of the air discharge ducts are at an elevation higher than the air inlet openings and at the same height or higher than the air outlet openings. This enhances the chimney effect with the result that the heat discharge is improved. Due to this particular configuration of the air discharge ducts, neutron and gamma ray streaming is reduced with the result that reflection of the radiated particles by air molecules, causing so-called sky-shine effects, is minimized. Finally, this embodiment of the invention is capable of preventing rain or snow from entering, to a large extent. In a preferred embodiment, a cover in the form of a hood with a downturned flange provides improved protection against water, snow and insects and, in the process, reduces the danger of radioactive contamination occurring outside the protective container. In another embodiment which includes a water-cooled cover, shielding and heat discharge of the container device of the invention are improved. In addition, this embodiment is capable of utilizing the generated heat, for example for heating purposes. Water may be introduced in the lower region of the closure member, i.e. cover or hood, and discharged from the upper region. The cooling effect thus produced enables the concrete to absorb more heat from the fuel element vessel due to radiation and cross convection. In this particular construction, the normally-occurring convection loses significance, permitting the air discharge ducts to be designed and dimensioned, especially narrowed, in such a manner that the convection air current is diminished without jeopardizing the safety of the heat discharge process. Consequently, the water temperature can be raised to a level which permits a still better heat utilization. In the event of a breakdown in the water circulation, the container temperature would be raised only about 40.degree. to 60.degree. C. and would thus remain within the permissible limits. The ventilation of the protective container may be further improved by means of additional axially-extending air supply openings in the base. To ensure that the protective container is placed properly upon the base or pallet, respectively, boss means are provided for positioning the container with respect to the base. To prevent vermin and insects from entering the container, screens or grids may be provided in the air inlet and outlet openings.
040381374
claims
1. In a nuclear power reactor fuel bundle having tie rods for securing a pair for fuel rod mounting end plates in assembled position against the force exerted by compressed springs operably associated with said fuel rods and urging at least one of said end plates away from the other of said end plates, the improvement which comprises: a. an elongated slot near an end of each of said tie rods, said slot having an upper end spaced from said end of said tie rod, said slot extending at least partially beyond said one of said end plates when said end plates are in assembled position; and b. a fork-shaped member having a plurality of parallel tines which maintain said end plates in assembled position, each of said tines extending through a corresponding one of said slots in parallel relation, said compressed springs urging said end plate into abutting engagement with said tines of said fork-shaped member so that said tines of said fork-shaped member are forced against said upper end of said slots. a. an elongated slot near an end of each of said tie rods, said slot having an upper end spaced from said end of said tie rods, said slot extending at least partially beyond said one of said end plates when said end plates are in assembled position; b. locking means operatively engaging said elongated tie rod slots and preventing movement of said one end plate in opposition to force exerted by said compressed springs for securing said one end plate in locked engagement with said tie rods and against separation from said bundle, said locking means comprising a fork-shaped member having a plurality of parallel tines, each of said tines extending through a corresponding one of said slots; and c. raised boss means associated with each of said openings in said one end plate through which said tie rods extend, said boss means disposed on the side of said one end plate opposite from said other of said end plates, said slots in said tie rods extending partially above the corresponding one of said boss means, each of said tines including a recess located on the underside thereof for abutting engagement with the corresponding one of said raised boss means so that said tines of said fork-shaped member are forced against said upper end of said slots a. an elongated slot near an end of each of said tie rods, said slot having an upper end spaced from said end of said tie rods, said slot extending at least partially beyond said one of said end plates when said end plates are in assembled position; and b. locking means operatively engaging said elongated tie rod slots and preventing movement of said one end plate in opposition to force exerted by said compressed springs for securing said one end plate in locking engagement with said tie rods and against separation from said bundle, said locking means comprising a fork-shaped member having a plurality of parallel first and second tines, said first tines being disposed parallel to said second tines at the extremities of said locking fork and being longer than said second tines said tines being disposed internally of said first tines, each of said first and second tines extending through a corresponding one of said slots of said tie rods extending through said openings 2. In a nuclear power reactor fuel bundle having tie rods for securing a pair of fuel rod mounting end plates in assembled position against the force exerted by compressed springs operably associated with said fuel rods and urging at least one of said end plates away from the other of said end plates wherein said end plates include openings for receiving said tie rods and said fuel rods, the improvement which comprises: 3. In a nuclear power reactor fuel bundle having tie rods for securing a pair of fuel rod mounting end plates in assembled position against the force exerted by compressed springs operably associated with said fuel rods and urging at least one of said end plates away from the other of said end plates wherein said end plates include openings for receiving said tie rods and said fuel rods, the improvement which comprises: 4. The fuel bundle of claim 3 wherein the extremity of each of said tines is pointed for facilitating initial engagement with said slots. 5. The fuel bundle of claim 4 wherein siad tie rods are fixed at one end in said other end plate and include adjustable means at the other end thereof for varying the length of said slot. 6. The fuel bundle of claim 5 wherein said adjustable means comprises set screws which enter said slots for adjusting the slot length.
description
As seen in FIG. 1 a storage container for radioactive articles is formed of a metal outer shell 1 and a metal inner shell 2 disposed coaxially therewith relative to a center axis A (FIG. 3). An initially empty cylindrically annular intermediate space 3 is thus formed between the outer shell 1 and the inner shell 2. Webs 4 of a thermally conductive material extend between the inner shell 2 and the outer shell 1 and have extended window elements 5. These thermally conductive radial webs 4 are welded to U-bars 6 which are in turn fixed on the inner shell 2. U-bars 7 are also fixed on the outer shell 1 but the thermally conductive radial webs 4 only bear against them and are not permanently attached thereto. A perforated or otherwise foraminous diaphragm or partition 8 subdivides the chamber or space 3 into two concentric compartments 31 and 32. The partition 8 is formed by open and closed profiles 81 or 82 of perforate screen, plate, or wire netting inserted between the thermally conductive radial webs 4 and bearing against and welded thereto. As shown in FIG. 3, to complete the container, a mass M of concrete aggregate having a minimum particle size is introduced from a vessel 13 into the inner compartment 31 and then a suspension S of cement, water and additives is introduced from another vessel 14 into the inner compartment 31. The mesh or opening size of the partition 8 is such that only the suspension S passes into the outer compartment 32. It is possible to introduce the aggregate mass M into one of the compartments and the suspension S separately into the other compartment, or introduce the two simultaneously into one of the compartments. In the embodiment shown in FIG. 2, the partition 8 is held by an inner sub-array 41 of thermally conductive radial webs 4 and a complementary outer sub-array 42 of webs is placed on the partition 8 and is screwed to the inner shell 2. In these conditions, the partition 8, the inner web sub-array 41 and the outer web sub-array 42 are fitted together at complementary longitudinal corrugations 9. Each pair of adjacent radial sub-webs of the outer sub-array 42 is interconnected by a respective external bridge 10. Correspondingly, each pair of adjacent radial webs of the inner sub-array 41 are interconnected by a respective inner bridge 11. The screw connection 12 is made through the outer bridge 10 and the partition 8 to the inner shell 2. The outer shell 1 has been placed in position with elastic deformation of the thermally conductive radial webs 4. Filling is effected in the same way as indicated above. The composition of the mass M can be the same as that described in WO 98/59346.
abstract
The present invention relates to a drying apparatus for drying a canister configured to transport and store spent nuclear fuels, a control method thereof, and a radiation shielding geometry for a radiation dosimeter configured to measure a radiation dose rate. An object of the present invention is to prevent a drying apparatus from being contaminated even in case of a damaged canister loaded with a spent nuclear fuel, by providing a non-contaminated circulation system and a contaminated circulation system, to easily assemble the drying apparatus to have a proper thickness depending upon a radiation dose rate, and to prevent a facility pipeline from being under stress by providing a radiation shielding geometry for a radiation dosimeter for measuring a radiation dose rate.
description
The application claims benefit of Japanese Application No. 2003-353562 filed on Oct. 14, 2003, the contents of which are incorporated by this reference. 1. Field of the Invention The present invention relates to an ultra precise polishing method and an ultra precise polishing apparatus used for polishing a workpiece surface. 2. Description of the Related Art In optical glass components such as a lens, there are a spherical lens and an aspheric lens, which are rotationally axisymmetric, and a free formed lens, which is rotationally nonaxisymmetric, etc., and press molding using a molding die serves as a leading processing means for mass-production of these lenses. In this case, if the forms of the lenses press-molded by a molding die are not precise, a function as an optical instrument deteriorates for aberration is produced in optical instruments such as a camera in which the lens is incorporated. When the surface roughness of the molding die is rough, the surface roughness of the molded lens also becomes rough surface, generating a flare in the optical instrument. Thus, although processing precision of a lens is important in press molding of the lens, what influences the processing precision of the lens is form precision of the surface of the molding die imprinted on the lens. Laid-open Japanese Patent Application No. H8-120470 describes a method for ultra precise polishing of a surface of a workpiece such as a molding die by irradiation with a gas cluster ion beam. When the gas cluster of the ion beam is split by the collision with the workpiece caused by irradiation of the workpiece, multi-body collision occurs between cluster component atoms or molecules and workpiece component atoms or molecules, and motion in a horizontal direction with respect to the workpiece surface is intensified. As a result, the convexity of the workpiece surface is largely smoothened, and ultra precise polishing producing evenness on an atomic scale can be achieved. The ultra precise polishing method, which is one aspect of the present invention, is a method for controlling an irradiation time, for which a surface position of a processed object is irradiated by a gas cluster ion beam, and creating and polishing a profile on the surface of the processed object by controlling irradiation of the gas cluster ion beam. The ultra precise polishing method, which is another aspect of the present invention, is a method for calculating an irradiation time of a surface position of the processed object by a gas cluster ion beam based on the difference in a surface profile of the object to be processed and a target profile of the object to be processed and data of a sputtering depth corresponding to an irradiation dose amount of the gas cluster ion beam and for creating and polishing the profile of the surface of the processed object by changing the irradiation time of the gas cluster ion beam in accordance with the surface position of the processed object based on the calculated irradiation time. The ultra precise polishing apparatus, which is one aspect of the present invention, comprises an irradiating device for irradiating a surface of an object to be processed with a gas cluster ion beam, a positioning device for changing a surface position of the object to be processed, which is irradiated with the gas cluster ion beam by moving the irradiating device and the object to be processed relative to each other, and a control device for controlling an irradiation time for which a surface position of the object to be processed is irradiated by the gas cluster ion beam. The ultra precise polishing apparatus, which is another aspect of the present invention, comprises an irradiating device for irradiating a surface of an object to be processed by a gas cluster ion beam, a positioning device for changing a surface position of the object to be processed, which is irradiated by the gas cluster ion beam by moving the irradiating device and the object to be processed relative to each other, and a control device for calculating an irradiation time of a surface position of the object to be processed by the gas cluster ion beam based on the difference in a surface profile of the object to be processed and a target profile of the object to be processed and data of a sputtering depth with respect to an irradiation dose amount of the gas cluster ion beam, and for changing the irradiation time of the gas cluster ion beam in accordance with a surface position of the object to be processed by controlling the positioning device based on the calculated irradiation time. In the following description, details of the preferred embodiment of the present invention are explained with reference to the drawings. The elements common to each embodiment are correlated by assigning them the same numeral. FIG. 1 describes a gas cluster ion beam processing device used for the ultra precise polishing of the present embodiment. The gas cluster ion beam processing device comprises three chambers of a source unit 1, a differential pumping unit 2 and ionizing unit 3, and is connected to a control unit 100. Impurities such as gas, water, oxygen, nitrogen and others have to be removed from these chambers as completely as possible, as preparation before irradiation by an ion beam, and for that reason, the chambers are depressurized to an intended degree of vacuum by a pump which is not shown in the drawing. In the source unit 1, a nozzle unit 4 and a skimmer 5 are provided. High-pressure gas of 0.6-1.0 MPa is supplied from a compressed gas cylinder, not shown in the drawing, to the nozzle 4. As the supplied gas, in addition to argon gas, oxygen gas, nitrogen gas, SF6 (sulfur hexafluoride) gas and helium gas, for example, carbon dioxide gas or a gas in which two or more gases are mixed can be used. The gas cluster is generated by adiabatic expansion at an instant of ejection of the gas at supersonic speed from the nozzle 4. The generated gas cluster, next, passes the skimmer 5, and a beam diameter of the gas cluster is fixed. A shutter 18 for switching a gas cluster beam 10 is provided in the differential pumping unit 2. The gas cluster beam 10 output from the source unit 1 is a neutral beam; however, when the beam enters the ionizing unit 3 via the differential pumping unit 2, it is ionized by electron bombardments using a tungsten filament 6 in the ionizing unit 3. For example, the nozzle 4 for generating the gas cluster and the tungsten filament 6 for ionizing by electron bombardments constitute an irradiation mechanism. The gas cluster ion beam 10 is, next, accelerated by an accelerating electrode 7. The diameter of the gas cluster ion beam 10 in common use is from a few mm to tens of mm. It is possible to set optimal conditions for stably focusing the gas cluster ion beam 10 by changing the form of a ground electrode 8 and by changing the distance from a third electrode 9 to the ground electrode 8. Moreover, an aperture 11 is provided downstream of these electrodes. The aperture 11 is for making an intended spot diameter of the gas cluster ion beam 10. This aperture 11 has a simple structure of a panel with a hole, and therefore, the spot diameter can be arbitrarily set with high precision within a range from tens of μm to tens of mm. Downstream of the aperture 11, a flat surface die 12 is arranged as a workpiece so that the surface of the flat surface die 12 is orthogonal to the injection direction of the gas cluster ion beam 10. In the present embodiment, as shown in FIG. 2, the diameter of the gas cluster ion beam 10 is set smaller than the pitch P of convexity T (in units of millimeters) present on the surface of the flat surface die 12 to be processed. The flat surface die 12 is mounted on a rotation stage 13, which is a slewing gear rotatable around an axis of rotation parallel to the incident direction of the gas cluster ion beam 10. The rotation stage 13 is mounted on an X-axis stage 14 movable in a front-rear direction with respect to the plane of the paper (an X-axis direction orthogonal to the axis of rotation of the rotation stage 13 (see FIG. 7)). A servomotor or a stepper motor, not shown in the drawing, is mounted on the rotation stage 13 and the X-axis stage 14, and is controllable by the control unit 100, which is a controller. For example, the rotation stage 13 and X-axis stage 14 constitute a shifter. A Y-axis stage 15 is mounted on the side of the X-axis stage 14. The position of the Y-axis stage 15 can be adjusted in a Y-axis direction orthogonal to each of the rotation axis of the rotation stage 13 and the X-axis of the X-axis stage 14. Therefore, by adjusting the Y-axis stage 15, it is possible to adjust the height position of the gas cluster ion beam 10. The Y-axis stage 15 can be fine adjusted manually by a screw, not shown in the drawing. Alternatively, the Y-axis stage 15 can be fine adjusted automatically by the servomotor or the stepper motor. The rotation stage 13, the X-axis stage 14 and the Y-axis stage 15 are mounted on a bracket 16, and the bracket 16 is fixed to a base 17. The control unit 100 consists of a computer, for example, and comprises a calculation unit 102 and a storage unit 103. It is possible to create a control program to be executed in the control unit 100, and the control unit 100 can drive the rotation stage 13, the X-axis stage 14 and the Y-axis stage 15 in accordance with the created control program. An interferometer 101a or a profile measurement device 101b, both of which constitute a measurement device, measure the surface profile of the flat surface die 12, and transmit the data to the control unit 100. Next, preparation required before the processing of the flat surface die 12 by the above devices is explained with reference to the drawings. First, for the rotationally axisymmetric flat surface die 12, the height of the projection of the surface over the whole surface of the flat surface die 12 to be processed is measured using the interferometer 101a. FIG. 3 shows an example of the measured height of projection. FIG. 3 indicates that a part B is higher than a part C. In the example of FIG. 3, the height measurement result of the part B is approximately 0.2 μm, the height measurement result of the part C is approximately 0.05 μm, and consequently, the difference between the part B and the part C is approximately 0.15 μm. A PV (Peak to Valley) value of the profile accuracy is approximately 0.15 μm. If this PV value can be reduced, the profile accuracy will be improved. Alternatively, for the rotationally nonaxisymmetric flat surface die 12, the height of the projection of the surface over the whole surface of the flat surface die 12 to be processed is measured using the profile measurement device 101b. FIG. 4 shows an example of the measured height of a projection peak, indicating that the part B is high and the part C is low. The height of the part B is approximately 0.2 μm, the height of the part C is approximately 0.05 μm, and consequently, the difference between the part B and the part C is approximately 0.15 μm. The profile accuracy has a PV (Peak to Valley) value of approximately 0.15 μm. If this PV value can be reduced, the profile accuracy will be improved. At this point, a control program is created using a target profile stored in the storage unit 103 in advance and point sequence data of projection heights and projection positions obtained by the measurement result of the interferometer 101a or the profile measurement device 101b. From the measurement results, a horizontal cross-sectional area of a projection is revealed, and therefore, the spot diameter of the gas cluster ion beam 10 is set so as to be smaller than the area of the projection by selecting the aperture 11. Next, reference positions of the gas cluster ion beam 10, in a state such that the irradiation position cannot be moved, and the flat surface die 12 are matched. At such a time, the height direction of the gas cluster ion beam 10 is adjusted by moving the Y-axis stage 15 by a manual operation or an automatic operation of the servomotor or the stepper motor. On the other hand, the horizontal direction of the gas cluster ion beam 10 can be adjusted by moving the X-axis stage 14 by driving the servomotor or the stepper motor, not shown in the drawing. For a setting method, an arbitrarily designated position is processed using a dummy flat surface die 12, and the reference position is set from a positional relation between the position of the trace of processing and the arbitrarily designated position. An irradiation dose amount required to remove the projections is determined in advance as experimental data. FIG. 5 shows an example of the experimental data. FIG. 5 is a beam processing trace when the flat surface die 12 is fixed, the diameter of the aperture 11 is set to approximately 3000 μm, and a point is irradiated by the gas cluster ion beam 10 with the irradiation dose amount of 3×1016 (ions/cm2). This measurement can be obtained by a measuring instrument (Form Talysurf) for measuring the profile and surface roughness of a measurement target by scanning a probe in contact with the target. In FIG. 5, the horizontal axis is measurement position, and the vertical axis is sputtering depth at each measurement position. This experiment is performed with the irradiation dose amount of 9×1016 (ions/cm2). FIG. 6 is a graph plotting the results of the experiment. From these results, it is confirmed that the sputtering depth is proportional to the irradiation dose amount. A feature of the gas cluster ion beam is that a large volume of atoms can be transported at low speed, and for that reason, the energy of the cluster is low, and a small amount of sputtering can be performed without damaging the surface. This enables fine control of the sputtering depth. In the processing method of the present embodiment, the following equation is established.Irradiation time=(irradiation dose amount×irradiation area×elementary charge e)/(amount of detected ion current)  (1) Hence, in order to remove the projection height, the sputtering depth on the surface position of the flat surface die 12 is set, the irradiation dose amount at that time is calculated by the calculation unit 102 based on the graph of FIG. 6, the irradiation time is determined by assigning the calculated irradiation dose amount by the above equation (1), and then, the irradiation by the gas cluster ion beam is performed with the obtained irradiation dose amount and for the obtained irradiation time. The actual conditions of a processing method for polishing the rotationally axisymmetric flat surface die 12 are explained. The X-axis stage 14 is moved so that a proximity to outer circumference of the flat surface die 12 can be irradiated by the gas cluster ion beam 10. Afterwards, the shutter 18 is opened, and the gas cluster ion beam 10 irradiates. Then, to create an intended profile of the flat surface die 12, the rotation stage 13 is rotated, the X-axis stage 14 is moved, and the gas cluster ion beam 10 irradiates. At such a time, as stated above, since the height and the position of the projection is known in advance, gas cluster ion beam 10 irradiation sufficient to remove the projection, is irradiated by the gas cluster ion beam 10. FIG. 7 illustrates an irradiation method of the gas cluster ion beam 10. First, the outer circumference of the flat surface die 12 is irradiated with the gas cluster ion beam 10, by rotating the rotation stage 13. When the irradiation position reaches the projection position, by the control unit 100 performing a control for increasing the amount of removal of the projection by a low-speed rotation so as to overlap the beam processing trace, or a control for suspending the rotation, the irradiation duration is controlled, and an intended profile can be obtained with the projections being removed. After the completion of the irradiation by the gas cluster ion beam 10 for one revolution of the rotation stage 13, the X-axis stage 14 is moved in the X-axis direction so that the gas cluster ion beam 10 irradiates further towards the center. In FIG. 7, the amount of movement is indicated as a movement pitch 19. The movement pitch 19 can be arbitrarily set. In the same way as above, the rotation stage 13 is controlled and rotated. By repeating this cycle, the profile creation of the whole surface of the flat surface die 12 can be achieved. The actual conditions of a processing method for polishing the rotationally nonaxisymmetric flat surface die 12 are explained with reference to FIG. 8 and FIG. 9. The X-axis stage 14 and the Y-axis stage 15 are moved so that a proximity of a point A of the flat surface die 12 can be irradiated with the gas cluster ion beam 10. Afterwards, the shutter 18 is opened, and the gas cluster ion beam 10, irradiates. For profile creation of the flat surface die 12, the X-axis stage 14 is moved so that the gas cluster ion beam 10 can be scanned in a positive direction of the x-axis, and the gas cluster ion beam 10 irradiates. At that time, as stated above, since the height and the position of the projection is known in advance, a gas cluster ion beam 10 sufficient to remove the projection is set for the irradiation of the gas cluster ion beam 10. In other words, the overlap of the gas cluster ion beam 10 irradiation beam processing trace is controlled by changing the speed of the X-axis stage 14. Alternatively, the X-axis stage 14 may be suspended. Next, when the X-axis direction is completed, the Y-axis stage 15 is moved so that the gas cluster ion beam 10 is scanned in a positive direction of the Y-axis. As shown in FIG. 9, this cycle is repeated so that the gas cluster ion beam 10 performs raster scanning of the surface of die 12a, and thus profile creation of the whole surface of the flat surface die 12 is achieved. As explained above, according to the present embodiment, it is possible to improve the surface roughness of an infinitesimal area utilizing a processing principle of the gas cluster ion beam 10 in the process of profile creation. In other words, since a cluster is a state where atoms or molecules are loosely bound, when a cluster orthogonally collides with the flat surface die 12, which is the workpiece, the cluster scatters in all directions, and particles of the flat surface die 12 flicked away by the cluster are accumulated after scattering in the lateral direction. As a result, a lateral sputtering effect in the gas cluster ion beam processing, which allows improvement of the surface roughness, can be obtained. According to the above embodiment, by processing of the gas cluster ion beam 10 based on the height and the position of the projections on the flat surface die 12 measured in advance, the projections can be removed selectively. For that reason, it is possible to improve the profile accuracy of the flat surface die 12. It is also possible to improve the surface roughness of an infinitesimal area by utilizing the processing principle of the gas cluster ion beam processing. FIG. 10 and FIG. 11 describe the embodiment 2 of the present invention. The present embodiment is to process a workpiece of a convex spherical surface die 20 or an arbitrarily-shaped die 22. In order to constantly irradiate the surface of the spherical surface die 20 or the arbitrarily-shaped die 22 with the gas cluster ion beam 10, a swing stage 21, which is a swinging device, is provided on the rotation stage 13, and the spherical surface die 20 is held via the swing stage 21. The swing stage 21 comprises a control function such that it can be controlled in accordance with the surface profile of the spherical surface die 20. In this embodiment, in order to ensure orthogonal irradiation of the surface profile of the spherical surface die 20 by the gas cluster ion beam 10, an inclination control of the swing stage 21 is performed. In a case of a rotationally axisymmetric die, while controlling the inclination, the profile is created by selectively removing the projections by irradiating the spherical surface die 20 with the gas cluster ion beam 10, rotating the rotation stage 13 and moving the X-axis stage 14, in the same way as the embodiment 1. In a case of a rotationally nonaxisymmetric die, the profile is created by selectively removing the projections by irradiating the arbitrarily-shaped die 22 with the gas cluster ion beam 10, while controlling the inclination and moving the X-axis stage 14 and the Y-axis stage 15. In such an embodiment, in addition to an effect of the embodiment 1, by comprising the swing stage 21, it is possible to achieve an effect which enables the improvement of the profile accuracy and the surface roughness of the convex spherical surface die 20 and the arbitrarily-shaped die 22. According to the embodiment, processing of a concave in addition to a convex and processing of a non-spherical surface die in addition to a spherical surface die also become possible. Although the present invention has been described with respect to a specific embodiment, it is not limited as such but is to be construed as embodying all modifications and improvements, which fall within the scope of the invention.
040594840
summary
BACKGROUND OF THE INVENTION In an attempt to meet licensing requirements of the Nuclear Regulatory Commission, NRC, (formerly the U.S.A.E.C.), fuel designers have had to increase the number of rods per assembly, thereby reducing the LHGR (linear heat generation rates). Along with this change a small size fuel rod is required and a larger number of control rod guide tubes per assembly e.g., a switch from 15 .times. 15 to a 17 .times. 17 fuel array keeping the same external dimensions, with the number of control rod guide tubes increasing from 16 per assembly to 20 or 24. The number and location of control rod guide tubes in the lower LHGR design is not compatible with the number and location of the control rod guide tubes of the higher LHGR design now in use. This change in fuel assembly design would normally require a redesign and retrofitting of the control rod clusters as well as the control rod guides in the upper core structure which is separate from the control rods or the fuel, upon switching from the 15 .times. 15 design to the 17 .times. 17 design in an existing reactor. This retrofitting is costly and entails repair of a radioactive upper structure measuring approximately 15 ft. in diameter. Such repair must be performed at the reactor site and is expected to lead to reactor shutdown over periods of several weeks. The subject invention is intended to enable continued use of existing control rods and control rod guide structures while reducing both maximum and average linear heat generation rates of a bundle as required by licensing criteria (10CFR50, Appendix K). Prior art patents, specifically considered in connection with the present invention include the following U.S. Pat. Nos.: 2,848,404 -- Treshow; 2,961,393 -- Monson; 3,036,964 -- Horning; 3,049,487 -- Harrer et al; 3,060,111 -- Sherman et al; 3,206,369 -- Fortesque et al; 3,211,621 -- Kreegan; 3,147,191 -- Crowder; 3,733,252 -- Georges et al and 3,745,069 -- Sofer et al. While these patents disclose the use of fuel rods of the same size containing different types of nuclear fuel, none disclose an arrangement wherein there is provided a hybrid fuel assembly having different size fuel rods, and more particularly wherein a basic fuel array with islands of fuel rod clusters is used. SUMMARY OF THE INVENTION In accordance with the present invention, there is provided a fuel assembly including island arrays of fuel rod clusters of a first large size disposed within a matrix of fuel having fuel rods of a second small size, in the vicinity of the control rod guide tubes of the fuel assembly. The islands replace a predetermined number of the smaller size fuel rods, which enables retention of the control rod geometry normally associated with a fuel rod array comprising fuel rods of the size of the rods situated in the islands. This arrangement reduces the linear fuel rod heat rate, which reduces the loss of coolant accident (LOCA) temperature to substantially below the maximum fuel rod cladding temperature 2200.degree. F. (required NRC criteria) during LOCA. At this heat generation rate pellet melting along its centerline is avoided under those conditions where excessive power is generated by the assembly. The use of such a hybrid fuel assembly configuration has particular utility for reload fuel for the standard reactor wherein all fuel rods are of the same size and is particularly suitable for pressurized water reactor assemblies (e.g. the Babcock & Wilcox supplied power reactor at Oconee, N.C.) which normally contain about sixteen control rods per assembly. By using the reload arrangement which contains larger size fuel rods, it is possible to retain the control rod guide tube locations in the same position as they would be in a normal fuel assembly. The rod to rod pitch for the large size fuel rods and the actual size of these rods may have to be modified (i.e., increased) from those used in the uniform array, e.g. 15 .times. 15. According to the present invention, the water coolant normally employed in the pressurized water reactor is distributed in the region of the control rods more uniformly when the hybrid fuel arrangement is used than in a fuel assembly having fuel rods of only one size (i.e., uniform) throughout including the region adjacent to the control rods, because the larger hydraulic diameters toward the center of the bundle tend to balance the flow channeling that takes place in the space between bundles. The present invention, when used together with an appropriate fuel enrichment distribution, enables a reduction of the maximum linear heat generation rate (LHGR), by approximately 20 to 25 percent, or in other words, reducing the maximum LHGR from 17.5 KW/ft. to about 12.5 KW/ft. for smaller rods and to 14 KW/ft. for larger rods. The advantages, nature, construction and arrangement and operation of the present invention will be apparent upon consideration of the illustrated embodiments now to be described in detail in connection with the accompanying drawings.
claims
1. A pre-patient collimator for shaping an x-ray beam in a computed tomography (CT) system, the pre-patient collimator assembly comprising:a base comprised of a first material, the first material having a first material density; andan insert mechanically coupled to the base and being comprised of a second material, the second material comprising a moldable material having a second material density greater than the first material density and that is sufficient to block x-ray energy;wherein the base comprises a plurality of structural features by which the insert is molded to the base, with the moldable material of the insert forming a connection with the plurality of structural features to mechanically couple the base and the insert. 2. The pre-patient collimator of claim 1 wherein the plurality of structural features of the base comprise:a plurality of undercuts formed in the base; anda plurality of holes formed through the base, the holes having counter bores formed thereon. 3. The pre-patient collimator of claim 2 wherein the insert comprises:a face having protrusions on opposing ends thereof, the protrusions configured to mate with the undercuts formed in the base; anda plurality of anchors extending outward from the face, the anchors configured to extend through the holes formed through the base. 4. The pre-patient collimator of claim 3 wherein each of the plurality of anchors further comprises a circular flange positioned at an end of the anchor distal from the face, each circular flange configured to mate with a respective counter bore of the base. 5. The pre-patient collimator of claim 1 wherein the base and the insert form a collimator blade, and wherein the pre-patient collimator comprises a pair of collimator blades having an aperture situated therebetween. 6. The pre-patient collimator of claim 5 wherein a position of at least one of the collimator blades is adjustable, such that a size and shape of the aperture is variable so as to shape an x-ray energy beam as it passes there through. 7. The pre-patient collimator of claim 1 wherein the first material comprises one of aluminum and/or steel. 8. The pre-patient collimator of claim 1 wherein the second material comprises tungsten impregnated plastic. 9. The pre-patient collimator of claim 1 wherein the collimator is free of adhesives or fasteners for mechanically coupling the insert to the base. 10. A method of manufacturing a pre-patient collimator for use in a computed tomography (CT) system, the method comprising:forming a base from a first material, the base being formed so as to have a plurality of geometrical features thereon; andmolding a second material onto the base to form an insert, the second material comprising a material having a material density greater than that of the first material and that is sufficient to block x-ray energy;wherein the second material is injection molded onto the base such that the second material forms a mechanical bond with the plurality of geometrical features to secure the insert to the base. 11. The method of claim 10 wherein forming the base comprises:providing a piece of metallic stock comprising one of aluminum and/or steel; andmachining the piece of metallic stock to form the base having the plurality of geometrical features. 12. The method of claim 10 wherein the plurality of geometrical features comprises a series of undercuts and a series of holes with counter bores. 13. The method of claim 12 wherein molding the second material onto the base comprises one of over-molding and injection molding the second material about and through the series of undercuts and series of holes with counter bores, respectively, to form the insert. 14. The method of claim 13 wherein forming the insert further comprises:forming an insert face having protrusions on opposing ends thereof, the protrusions configured to mate with the undercuts formed in the base; andforming a plurality of anchors extending outward from the insert face, the anchors configured to extend through the holes with counter bores formed through the base. 15. The method of claim 10 further wherein the base and the insert form a collimator blade, and wherein the method further comprises:providing a pair of collimator blades; andpositioning the pair of collimator blades relative to one another so as to define an aperture therebetween;wherein a position of at least of the pair of collimator blades is adjustable, such that a size and shape of the aperture is variable so as to shape an x-ray energy beam as it passes there through. 16. The method of claim 10 wherein the second material comprises tungsten impregnated plastic configured to block radiation from passing there through. 17. A computed tomography (CT) system comprising:a rotatable gantry having an opening to receive an object to be scanned;an x-ray projection source configured to project an x-ray beam toward the object;a collimator positioned between the x-ray projection source and the object configured to shape the x-ray beam, the collimator comprising a pair of blades;a detector array configured to detect x-ray energy passing through the object and generate a detector output responsive thereto;a data acquisition system (DAS) connected to the detector array and configured to receive the detector output; andan image reconstructor connected to the DAS and configured to reconstruct an image of the object from the detector output received by the DAS;wherein each blade of the collimator comprises:a metallic base formed of a first material and comprising a plurality of geometrical features thereon formed therein; andan insert mechanically coupled to the base, the insert being formed of a radiation blocking material having a material density greater than a material density of the first material;wherein the insert is mechanically coupled to the metallic base by way of the plurality of geometrical features, such that the blade is free of adhesives and fasteners for coupling the metallic base and the insert. 18. The CT system of claim 17 wherein the plurality of geometrical features comprises:a plurality of undercuts formed in the metallic base; anda plurality of holes with counter bores formed through the metallic base, the plurality of holes formed in an area of the metallic base configured to receive the insert. 19. The CT system of claim 18 wherein the insert comprises:a face having protrusions on opposing ends thereof, the protrusions configured to mate with the undercuts formed in the metallic base; anda plurality of anchors extending outward from the face, the anchors configured to extend through the holes with counter bores formed through the metallic base. 20. The CT system of claim 17 wherein the radiation blocking material comprises a moldable tungsten impregnated plastic. 21. The CT system of claim 17 wherein the pair of blades are positioned so as to define an aperture therebetween, and wherein a position of at least one of the blades is adjustable, such that a size and shape of the aperture is variable so as to shape the x-ray beam as it passes there through.
description
Priority is claimed to Japanese Patent Application No. 2011.227080, filed Oct. 14, 2011, and International Patent Application No. PCT/JP2012/076128, the entire content of each of which is incorporated herein by reference. 1. Technical Field The present invention relates to a charged particle beam irradiation system and a charged particle beam irradiation planning method. 2. Description of the Related Art In radiation therapy using a charged particle beam, it is necessary to create an accurate therapy plan based on the shape and position of a tumor of a patient. For example, the related art discloses a method of creating a therapy plan based on irradiation of a charged particle beam after obtaining imaging information on the interior of the body of a patient and discriminating between a lesion tissue and a normal tissue of the interior of the body based on the imaging information. According to an embodiment of the present invention, there is provided a charged particle beam irradiation system including: an irradiation unit configured to irradiate an irradiation target with a charged particle beam; a radiation resistance state measuring section configured to measure a radiation resistance state of the irradiation target; a region dividing section configured to divide the irradiation target into a plurality of radiation resistance regions based on a measurement result of the radiation resistance state measuring section; a radiation dose computing section configured to compute a planned value of a radiation dose of the charged particle beam for each of the plurality of radiation resistance regions divided by the region dividing section; and an irradiation planning section configured to create an irradiation plan of the charged particle beam with respect to the irradiation target based on a computation result of the radiation dose computing section. According to another embodiment of the present invention, there is provided a charged particle beam irradiation planning method including: a radiation resistance state measuring step of measuring a radiation resistance state of an irradiation target; a region dividing step of dividing the irradiation target into a plurality of radiation resistance regions based on a measurement result of the radiation resistance state measuring step; a radiation dose computing step of computing a planned value of a radiation dose of a charged particle beam for each of the plurality of radiation resistance regions divided in the region dividing step; and an irradiation planning step of creating the irradiation plan of the charged particle beam with respect to the irradiation target based on a computation result of the radiation dose computing step. However, a tumor such as a cancer may contain a portion having high radiation resistance such as a hypoxic cell. In this case, even though the entire affected part is irradiated with a charged particle beam of a uniform radiation dose, the therapy efficiency is low, and thus, it is difficult to obtain a sufficient effect. Thus, it is necessary to realize effective radiation irradiation in consideration of a case where a portion having high radiation resistance is present in the affected part. Accordingly, it is desirable to provide a charged particle beam irradiation system and a charged particle beam irradiation planning method capable of creating a charged particle beam irradiation plan in consideration of radiation resistance. According to the charged particle beam irradiation system, since the radiation dose for irradiation of the irradiation target is changed according to the level of radiation resistance (radiation resistance state), by dividing the irradiation target into the plural regions according to the level of the radiation resistance, it is possible to compute a planned value of an appropriated radiation dose for each region, to create an effective irradiation plan of the charged particle beam. Accordingly, in this charged particle beam irradiation system, it is possible to create an effective charged particle beam irradiation plan in consideration of the radiation resistance based on the planned value of the radiation dose computed for each region. The charged particle beam irradiation system according to the present embodiment may further include a pre-irradiation planning section configured to create a pre-irradiation plan of the charged particle beam with respect to the irradiation target based on a captured image of the irradiation target, and the irradiation planning section may correct the pre-irradiation plan based on the computation result of the radiation dose computing section to create the irradiation plan. According to the charged particle beam irradiation system having such a configuration, the pre-irradiation plan is created in advance based on the captured image of the irradiation target obtained in advance by a computed tomography (CT) scan or the like. Then, by measuring the radiation resistance state of the irradiation target at a point in time when it is actually irradiated with the charged particle beam, it is possible to correct the pre-irradiation plan based on the planned value of the radiation dose computed for each region based on the radiation resistance state to create an actual irradiation plan. Accordingly, in this charged particle beam irradiation system, it is possible to reflect the radiation resistance state of the irradiation target at the point in time when it is actually irradiated with the charged particle beam into the irradiation plan, to thus create an effective charged particle beam irradiation plan in consideration of the radiation resistance. Alternatively, in the charged particle beam irradiation system according to the present embodiment, the irradiation planning section may create the irradiation plan based on a captured image of the irradiation target and the computation result of the radiation dose computing section. According to the charged particle beam irradiation system having such a configuration, by creating an actual irradiation plan in detail in advance based on the planned value of the radiation dose for each region computed from the radiation resistance state of the irradiation target by a position emission tomography (PET) scan or the like and the captured image of the irradiation target obtained in advance by the CT scan or the like, even when an inspection device for PET or the like is installed in a room other than an irradiation room, it is possible to create an effective charged particle beam irradiation plan in consideration of the radiation resistance. In the charged particle beam irradiation system according to the present embodiment, the radiation resistance state measuring section may include a gamma-ray detector, and the region dividing section may divide the irradiation target into the plurality of radiation resistance regions based on a measurement result of the gamma-ray detector that uses FMISO as a tracer. According to the charged particle beam irradiation system having such a configuration, by detecting the accumulation of FMISO using the gamma-ray detector, it is possible to measure the presence or absence or distribution of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation system, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. In the charged particle beam irradiation system according to the present embodiment, the radiation resistance state measuring section may include a gamma-ray detector, and the region dividing section may divide the irradiation target into the plurality of radiation resistance regions based on a measurement result of the gamma-ray detector that uses FAZA as a tracer. According to the charged particle beam irradiation system having such a configuration, by detecting the accumulation of FAZA using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation system, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. Further, by using FAZA having low fat-solubility and quick blood clearance compared with FMISO as the tracer, it is possible to efficiently perform the measurement of the hypoxic cell. In the charged particle beam irradiation system according to the present embodiment, the radiation resistance state measuring section may include a gamma-ray detector, and the region dividing section may divide the irradiation target into the plurality of radiation resistance regions based on a measurement result of the gamma-ray detector that uses IAZA as a tracer. According to the charged particle beam irradiation system having such a configuration, by detecting the accumulation of IAZA using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation system, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. In the charged particle beam irradiation system according to the present embodiment, the radiation resistance state measuring section may include a gamma-ray detector, and the region dividing section may divide the irradiation target into the plurality of radiation resistance regions based on a measurement result of the gamma-ray detector that uses FETNIM as a tracer. According to the charged particle beam irradiation system having such a configuration, by detecting the accumulation of FETNIM using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation system, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. According to the charged particle beam irradiation planning method, since the radiation dose for irradiation of the irradiation target is changed according to the level of radiation resistance (radiation resistance state), by dividing the irradiation target into the plural regions based on the level of the radiation resistance, it is possible to compute an appropriated radiation dose for each region, to create an effective irradiation plan of the charged particle beam. Accordingly, in this charged particle beam irradiation planning method, it is possible to create an irradiation plan of the charged particle with an excellent therapy effect in consideration of the radiation resistance based on the radiation dose computed for each region. The charged particle beam irradiation planning method according to the present embodiment may include a pre-irradiation planning step of creating a pre-irradiation plan of the charged particle beam with respect to the irradiation target based on a captured image of the irradiation target before the radiation resistance state measuring step, and in the irradiation planning step, the pre-irradiation plan may be corrected based on the computation result of the radiation dose computing step to create the irradiation plan. According to the charged particle beam irradiation planning method having such a configuration, the pre-irradiation plan is created in advance based on the captured image of the irradiation target obtained in advance by a computed tomography (CT) scan or the like. Then, by measuring the radiation resistance state of the irradiation target at a point in time when it is actually irradiated with the charged particle beam, it is possible to correct the pre-irradiation plan based on the planned value of the radiation dose computed for each region based on the radiation resistance state, and to create an actual irradiation plan. Accordingly, in this charged particle beam irradiation planning method, it is possible to reflect the radiation resistance state of the irradiation target at the point in time when it is actually irradiated with the charged particle beam into the irradiation plan, to thus create an effective charged particle beam irradiation plan in consideration of the radiation resistance. Alternatively, in the charged particle beam irradiation planning method according to the present embodiment, in the irradiation planning step, the irradiation plan may be created based on a captured image of the irradiation target and the computation result of the radiation dose computing step. According to the charged particle beam irradiation planning method having such a configuration, by creating an actual irradiation plan in detail in advance based on the planned value of the radiation dose for each region computed from the radiation resistance state of the irradiation target by a positron emission tomography (PET) scan or the like and the captured image of the irradiation target obtained in advance by the CT scan or the like, even when an inspection device for PET or the like is installed in a room other than an irradiation room, it is possible to create an effective charged particle beam irradiation plan in consideration of the radiation resistance. In the charged particle beam irradiation planning method according to the present embodiment, in the radiation resistance state measuring step, the radiation resistance state of the irradiation target may be measured based on a gamma-ray detection that uses FMISO as a tracer. According to the charged particle beam irradiation planning method having such a configuration, by detecting the accumulation of FMISO using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation planning method, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. In the charged particle beam irradiation planning method according to the present embodiment, in the radiation resistance state measuring step, the radiation resistance state of the irradiation target may be measured based on a gamma-ray detection that uses FAZA as a tracer. According to the charged particle beam irradiation planning method having such a configuration, by detecting the accumulation of FAZA using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation planning method, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. Further, by using FAZA having low fat-solubility and quick blood clearance compared with FMISO as the tracer, it is possible to efficiently perform the measurement of the hypoxic cell. In the charged particle beam irradiation planning method according to the present embodiment, in the radiation resistance state measuring step, the radiation resistance state of the irradiation target may be measured based on a gamma-ray detection that uses IAZA as a tracer. According to the charged particle beam irradiation planning method having such a configuration, by detecting the accumulation of IAZA using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation planning method, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. In the charged particle beam irradiation planning method according to the present embodiment, in the radiation resistance state measuring step, the radiation resistance state of the irradiation target may be measured based on a gamma-ray detection that uses FETNIM as a tracer. According to the charged particle beam irradiation planning method having such a configuration, by detecting the accumulation of FETNIM using the gamma-ray detector, it is possible to measure the position or the like of a hypoxic cell with high radiation resistance compared with a normal lesion cell. Accordingly, in this charged particle beam irradiation planning method, it is possible to perform the region division of the irradiation target according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cell. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. As shown in FIG. 1, a charged particle beam irradiation system 1 according to a first embodiment includes a positron emission tomography-computed tomography (PET-CT) device 2 that inspects a tumor (irradiation target) A of a patient, and a charged particle beam irradiation device 10 that performs charged particle beam irradiation using an inspection result of the PET-CT device 2. These devices are accommodated in a room H. A gamma-ray detector such as a positron emission tomography (PET) device or a positron emission tomography-magnetic resonance imaging (PET-MRI) device, and a CT device may be provided instead of the PET-CT device 2. Further, an accelerator Ac that accelerates a charged particle to emit a charged particle beam, and a beam transportation line L that transports the charged particle beam emitted from the accelerator Ac to the charged particle beam irradiation device 10 are disposed in the room H. As the accelerator Ac, for example, a cyclotron, a synchrotron, a synchrocyclotron, a linear accelerator or the like may be employed. The charged particle beam (for example, a proton beam) accelerated by the accelerator Ac is deflected along the beam transportation line L, and is then supplied to the charged particle beam irradiation device 10. A deflection electromagnet that deflects the charged particle beam, a quadrupole electromagnet that performs beam shaping of the charged particle beam, or the like is provided in the beam transportation line L. The PET-CT device 2 is a device that performs a CT scan and a PET scan of the tumor A before therapy using the charged particle beam. Such scans are performed several days before the therapy using the charged particle beam is performed. The PET-CT device 2 is controlled by a control unit 3. The control unit 3 is a computer that includes an electronic control unit provided with a central processing unit (CPU), a read only memory (ROM), a random access memory (RAM) and the like. The control unit 3 may include a single computer, or may include plural computers. The control unit 3 includes a radiation resistance state measuring section 4 and a CT image capturing section 5. The radiation resistance state measuring section 4 controls the PET-CT device 2 to measure a radiation resistance state of the tumor A of the patient. Specifically, the radiation resistance state measuring section 4 detects a gamma-ray emitted according to collapse of a radioactive tracer administered to the patient using the PET-CT device 2 to measure the position of a lesion cell of the tumor A where the radioactive tracer is accumulated. As the radioactive tracer, FMISO ([18F] misonidazole), FAZA ([18F] fluoroazomycin arabinoside), FETNIM ([18F] fluoroerythronitroimidazole), IAZA ([123/124I] iodoazomycin arabinoside), FETA ([18F] fluoroetanidazole), ATSM ([64Cu] diacetyl-bis(N(4)-methylthiosemicarbazone), β-5-FAZR (1-β-D-(5-fluoro-5-deoxyribofuranosyl)-2-nitroimidazole transport), [18F] EF5, [18F] EF3, [18F] FDG or the like is known. Here, a case where FMISO is used as the radioactive tracer will be described. A cancer that is a malignant tumor does not need sufficient oxygen in its multiplication and is thus in a hypoxic state. Further, it is known that a cell (hypoxic cell) in the hypoxic state has resistance to radiation therapy. If FMISO is administered to the patient, FMISO is accumulated in the hypoxic cell that is present in the caner and emits a gamma-ray by being collapsed. By detecting the gamma-ray, the radiation resistance state measuring section 4 can measure the position, shape and the like of the hypoxic cell with high radiation resistance. The radiation resistance state measuring section 4 measures the radiation resistance state of the tumor A including the position, shape and the like of the hypoxic cell. The CT image capturing section 5 controls the PET-CT device 2 to perform tomography of the tumor A of the patient. The CT image capturing section 5 captures a tomogram (CT image) of the tumor A using an X-ray or the like. It is possible to confirm the position, size and shape of the tumor A from the tomogram obtained by the CT image capturing section 5. When a gamma-ray detector and a CT device are separately provided instead of the PET-CT device 2, the CT image capturing section 5 is provided in a control unit for the CT device, instead of the control unit for the gamma-ray detector. Here, when one control unit 3 controls both of the gamma-ray detector and the CT device that are separately provided (when the control unit for the gamma-ray detector and the control unit for the CT device are integrally formed), the CT image capturing section 5 may be provided in the control unit 3. The control unit 3 is connected for data communication to an irradiation planning unit (therapy planning unit) 6 that creates a pre-irradiation plan of the charged particle beam with respect to the tumor A. The pre-irradiation plan refers to a plan created at a previous stage of an irradiation plan that is executed in practice. The irradiation planning unit 6 creates the pre-irradiation plan based on the radiation resistance state of the tumor A measured by the radiation resistance state measuring section 4 and the captured image of the tumor A captured by the CT image capturing section 5. The irradiation planning unit 6 is a separate unit provided in a room different from the charged particle beam irradiation device 10. The irradiation planning unit 6 includes a radiation resistance region dividing section 7, a radiation dose computing section 8 and a pre-irradiation planning section (pre-irradiation planning means) 9. The radiation resistance region dividing section 7 divides the tumor A into a normal radiation resistance region T1 and a high radiation resistance region T2 based on the measurement result of the radiation resistance state measuring section 4 and the tomogram of the tumor A captured by the CT image capturing section 5 (see FIG. 2). In the present embodiment, a region that does not include the hypoxic cell is referred to as the normal radiation resistance region T1, and a region that includes the hypoxic cell is referred to as the high radiation resistance region T2. In the present embodiment, the tumor may be divided into two regions according to the presence or absence of the hypoxic cell, but the tumor A may be divided into three or more radiation resistance regions according to a distribution density of the hypoxic cells. The radiation dose computing section 8 computes a planned radiation dose necessary for therapy of each of the regions T1 and T2 divided by the radiation resistance region dividing section 7. The radiation dose computing section 8 performs the computation so that a planned value of the radiation dose of the high radiation resistance region T2 is larger than a planned radiation dose of the normal radiation resistance region T1. The radiation dose computing section 8 computes the planned radiation dose based on the shape and the like of the tumor A obtained from the tomogram of the tumor A. The pre-irradiation planning section 9 creates a pre-irradiation plan based on the computation result of the radiation dose computing section 8 and the shape or the like of the tumor A obtained from the tomogram of the tumor A. The pre-irradiation planning section 9 computes an irradiation angle of the charged particle beam, the number of irradiations, a scan rate of the charged particle beam, and the like with respect to the tumor A so as to satisfy the planned radiation dose computed by the radiation dose computing section 8. Further, the pre-irradiation planning section 9 plans an intensity-modulated ion therapy (IMIT). The intensity-modulated ion therapy is an irradiation method of irradiating the tumor A with the charged particle beam in plural directions. In the intensity-modulated ion therapy, when the irradiation of the charged particle beam is performed in a certain direction, the radiation dose of the charged particle beam is changed for each predetermined region in an irradiation field so that the radiation dose of the charged particle beam in the irradiation field is not uniform. Further, similarly, when the irradiation of the charged particle beam is performed in a different direction, the radiation dose of the charged particle beam is changed for each predetermined region in an irradiation field. Here, the irradiation field refers to a range of the affected part such as the tumor A that is irradiated with the charged particle beam, when seen in the irradiation direction of the charged particle beam. Next, the charged particle beam irradiation device 10 that performs the irradiation of the charged particle beam in practice will be described. As shown in FIG. 3, the charged particle beam irradiation device 10 includes a gantry 11 provided to surround a treatment stand 12. The gantry 11 is configured to be rotatable around the treatment stand 12. In the gantry 11, an irradiation unit (irradiation nozzle) 13 that irradiates the tumor A of the patient on the treatment stand 12 with the charged particle beam is provided, in which the irradiation angle of the charged particle beam with respect to the tumor A may be changed by the rotation of the gantry 11. The irradiation unit 13 shown in FIG. 4 is an irradiation nozzle for a so-called scanning type. The irradiation unit 13 divides the tumor A into plural layers in a depth direction and performs continuous irradiation (so-called raster scanning or line scanning) while scanning the charged particle beam in the irradiation field set in each layer. The charged particle beam emitted from the irradiation unit 13 is indicated as a reference sign R. The irradiation unit 13 includes a quadrupole magnet 15 that suppresses dispersion of the charged particle beams incident through the beam transportation line L to converge the charged particle beams, a scanning electromagnet 16 that scans the charged particle beam in an X axis direction and a Y axis direction, and a duct 17 through which the charged particle beams pass. The X axis direction and the Y axis direction refer to directions that are orthogonal to each other in a plane vertical to a traveling direction of the charged particle beam. The scanning electromagnet 16 includes a set of electromagnets 16A that controls the irradiation position of the charged particle beam in the X axis direction, and a set of electromagnets 16B that controls the irradiation position in the Y axis direction. The charged particle beam of which the irradiation position is controlled by the electromagnets 16A and the electromagnets 16B is emitted to the patient side through the inside of the duct 17 of an approximately quadrangular pyramid shape. As shown in FIG. 3, a PET unit (radiation resistance state measuring means) 14 that performs a PET scan for the tumor A is provided in the charged particle beam irradiation device 10. The PET unit 14 is provided to measure the radiation resistance state of the tumor A. The PET unit 14 measures the radiation resistance state of the tumor A immediately before the irradiation of the charged particle beam. The PET unit 14 may measure the radiation resistance state of the tumor A during the irradiation or after the irradiation. FIG. 5 is a diagram illustrating the PET scan in the charged particle beam irradiation device 10. As shown in FIGS. 3 and 5, the PET unit 14 includes a pair of gamma-ray detecting sections (gamma-ray detectors) 14a. The pair of gamma-ray detecting sections 14a is retracted to positions beside the irradiation unit 13 when not used, and is protruded for use up to positions where a patient 50 on the treatment stand 12 is disposed therebetween when the PET scan is performed. The PET unit 14 may be configured so that the gamma-ray detecting sections 14a are not necessarily retracted to the positions beside the irradiation unit 13 when not used. For example, the PET unit 14 may be accommodated on a rear side of the gantry 11 in a rotation axis direction, or may be installed on the outside (for example, a room) of the gantry 11 instead of the inside of the gantry 11 and may be moved close to the patient within the gantry 11 when used. The PET unit 14 detects a gamma-ray G emitted according to collapse of a radioactive tracer administered to the patient 50 by a pair of gamma-ray detecting section 14a to measure the radiation resistance state of the tumor A. As the radioactive tracer, for example, FMISO may be used. Next, a control system of the charged particle beam irradiation device 10 will be described. A control unit 20 shown in FIGS. 3 and 4 creates a charged particle beam irradiation plan (therapy plan) in the charged particle beam irradiation device 10, and performs a charged particle beam irradiation control according to the irradiation plan. The control unit 20 performs data reading of the pre-irradiation plan created by the irradiation planning unit 6 before the therapy in the charged particle beam irradiation device 10 is performed. The control unit 20 includes a radiation resistance state measuring section (radiation resistance state measuring means) 21, a radiation resistance region dividing section (region dividing means) 22, a radiation dose computing section (radiation dose computing means) 23, an irradiation plan correcting section (irradiation planning means) 24, and an irradiation control section 25. The radiation resistance state measuring section 21 detects the gamma-ray emitted according to the collapse of the radioactive tracer administered to the patient and measures the radiation resistance state of the tumor A of the patient by controlling the PET unit 14. The radiation resistance state measuring section 21 inspects the position or distribution of the hypoxic cell in the tumor A by the PET unit 14 to measure the radiation resistance state of the tumor A. The radiation resistance state measuring section 21 forms the radiation resistance state measuring means disclosed in claims in combination with the PET unit 14. The radiation resistance region dividing section 22 divides the tumor A into the normal radiation resistance region T1 and the high radiation resistance region T2 based on the measurement result of the radiation resistance state measuring section 21 (see FIG. 2). Since the creation of the irradiation plan in the irradiation planning unit 6 is performed several days before the actual therapy is performed, the regions T1 and T2 may not coincide with regions divided by the irradiation planning unit 6 beforehand and may be changed between the pre-inspection and the start of the actual therapy. The tumor A may be divided into three or more radiation resistance regions according to a distribution density or the like of the hypoxic cells in addition to the presence or absence of the hypoxic cell. The radiation dose computing section 23 computes a planned radiation dose necessary for the therapy of each of the regions T1 and T2 divided by the radiation resistance region dividing section 22. The radiation dose computing section 23 performs the computation so that a planned radiation dose of the high radiation resistance region T2 is larger than a planned radiation dose of the normal radiation resistance region T1. The radiation dose computing section 23 may perform the computation in consideration of the shape and the like of the tumor A, using an x-ray image of the tumor A captured by x-ray photographing section (not shown) or the like provided in the charged particle beam irradiation device 10. The irradiation plan correcting section 24 creates an actual irradiation plan (therapy plan) for irradiating the tumor A with the charged particle beam. The irradiation plan correcting section 24 corrects the pre-irradiation plan based on the computation result of the radiation dose computing section 8 to create the actual irradiation plan. The number of corrections is not limited to one, and plural corrections may be performed. Thus, it is possible to create the irradiation plan in consideration of the radiation resistance of the tumor A for the patient immediately before the therapy. The irradiation plan correcting section 24 creates an irradiation plan for defining the irradiation of the charged particle beam based on the radiation resistance state. That is, the irradiation plan correcting section 24 creates the plan so that the region T2 with high radiation resistance is irradiated with a high radiation dose, compared with the region T1 with low radiation resistance. Hereinafter, an example of the irradiation based on the radiation resistance state will be described with reference to FIGS. 6, 7A and 7B. The irradiation based on the radiation resistance state is not limited to FIGS. 6, 7A and 7B. FIG. 6 is a diagram illustrating change in the number of irradiations based on the radiation resistance state. As shown in FIG. 6, as the irradiation based on the radiation resistance state, for example, a method of increasing the number of irradiations for the region T2 with high radiation resistance compared with the region T1 with low radiation resistance is used. In this irradiation, an irradiation angle of the irradiation unit 13 is adjusted by the rotation of the gantry 11, so that the irradiation of the charged particle beams is performed in plural directions (for example, direction D1 and direction D2). In FIG. 6, when seen in the direction D1, an irradiation field corresponding to the region T1 is indicated as W1, and an irradiation field corresponding to the region T2 is indicated as W2. Similarly, when seen in the direction D2, an irradiation field corresponding to the region T1 is indicated as W3, and an irradiation field corresponding to the region T2 is indicated as W4. The irradiation field W1 includes the irradiation field W2, and the irradiation field W3 includes the irradiation field W4. In this irradiation, the irradiation in the irradiation field W1 and the irradiation in the irradiation field W2 are respectively performed for the tumor A in the direction D1. Further, the irradiation in the irradiation field W3 and the irradiation in the irradiation field W4 are respectively performed in the direction D2. In this way, by increasing the number of irradiations for the region T2 with high radiation resistance compared with the region T1 with low radiation resistance, it is possible to realize the irradiation with the radiation dose based on the radiation resistance state. In this case, in the irradiation of the irradiation fields W1, W2, W3 and W4, the irradiation conditions of the irradiation unit 13 are controlled based on the computation result of the radiation dose computing section 8 for each of the regions T1 and T2. FIGS. 7A and 7B are diagrams illustrating intensity-modulated ion therapy based on the radiation resistance state. FIG. 7A is a diagram illustrating intensity-modulated ion therapy in the direction D1, and FIG. 7B is a diagram illustrating intensity-modulated ion therapy in the direction D2. As shown in FIGS. 7A and 7B, in the intensity-modulated ion therapy, the irradiation angle of the irradiation unit 13 is adjusted by the rotation of the gantry 11, so that the emission of the charged particle beam is performed in different plural directions (for example, direction D1 and direction D2). Here, in the intensity-modulated ion therapy, the scan rate or the like of the charged particle beam is controlled so that the radiation dose set for each irradiation region is satisfied. Here, the radiation dose may be changed while maintaining the scan rate to be constant. In FIG. 7A, when seen in the direction D1, an irradiation field corresponding to the region T1 is indicated as W11, and an irradiation field corresponding to the region T2 is indicated as W12. In the intensity-modulated ion therapy shown in FIG. 7A, the tumor A is divided into plural layers (layers that are orthogonal to the direction D1) in the direction D1, and the irradiation conditions are controlled for each layer based on the computation result of the radiation dose computing section 8. That is, as shown in a layer LA1 and a layer LA2, the irradiation field W11 and the irradiation field W12 are set for each layer. In the intensity-modulated ion therapy shown in FIG. 7A, the irradiation conditions are controlled so that the scan rate in the irradiation field W12 is slower than the scan rate in the irradiation field W11. Here, the irradiation conditions may be controlled so that the radiation dose for irradiation of the irradiation field W12 is higher than the radiation dose for irradiation of the irradiation field W11 while maintaining the scan rate to be constant. In the intensity-modulated ion therapy shown in FIG. 7A, the irradiation is sequentially performed from the layer on a deep part side to the layer on a shallow part side in the direction D1. Similarly, in FIG. 7B, when seen in the direction D2, an irradiation field corresponding to the region T1 is indicated as W13, and an irradiation field corresponding to the region T2 is indicated as w14. Further, in FIG. 7B, layers LB1 and LB2 are shown. In the intensity-modulated ion therapy shown in FIG. 7B, in the respective layers that include the layers LB1 and LB2, the irradiation conditions are controlled so that the scan rate in the irradiation field W14 is slower than the scan rate in the irradiation field W13. In the intensity-modulated ion therapy shown in FIG. 7B, the irradiation is sequentially performed from the layer on a deep part side to the layer on a shallow part side in the direction D2. By performing the intensity-modulated ion therapy as described above, it is possible to irradiate the tumor A with the charged particle beam having a sufficient radiation dose while suppressing the radiation dose received by a normal tissue. Further, since it is possible to irradiate the tumor A with the charged particle beam having an appropriate radiation dose for each of the regions T1 and T2 in consideration of the radiation resistance state of the tumor A, it is possible to realize effective therapy. The irradiation control section 25 controls the emission of the charged particle beam from the irradiation unit 13 based on the irradiation plan created by the irradiation plan correcting section 24. The irradiation control section 25 performs the irradiation for the tumor A based on the irradiation plan. Next, a charged particle beam irradiation planning method in the charged particle beam irradiation system 1 according to the first embodiment will be described. This charged particle beam irradiation planning method includes a pre-irradiation planning method performed by the control unit 3 and the irradiation planning unit 6 of the PET-CT device 2, and an irradiation plan correcting method performed by the control unit 20 of the charged particle beam irradiation device 10. FIG. 8 is a flowchart illustrating the pre-irradiation planning method. As shown in FIG. 8, in the pre-irradiation planning method, first, in the control unit 3, a first radiation resistance state measuring step of performing a PET scan for the patient by the radiation resistance state measuring section 4 is performed (S1). In the first radiation resistance state measuring step, the PET-CT device 2 is controlled by the control unit 3, so that the gamma-ray emitted according to the collapse of the radioactive tracer administered to the patient is detected and the radiation resistance state (hypoxic cell) of the tumor A is measured. Then, a CT image capturing step of performing a CT scan for the patient as the CT image capturing section 5 controls the PET-CT device 2 is performed (S2). In the image capturing step, a tomogram of the tumor A using X rays or the like is captured. Subsequently, in the irradiation planning unit 6, a first region dividing step is performed by the radiation resistance region dividing section 7 (S3). In the first region dividing step, the tumor A is divided into the normal radiation resistance region T1 and the high radiation resistance region T2 based on the measurement result of the first radiation resistance state measuring step. The tumor A may be divided into two or more regions based on the measurement result of the radiation resistance state. Subsequently, in the irradiation planning unit 6, a first radiation dose computing step is performed by the radiation dose computing section 8 (S4). In the first radiation dose computing step, a planned radiation dose necessary for therapy for each of the regions T1 and T2 divided in the first region dividing step is computed. Then, in the irradiation planning unit 6, a pre-irradiation planning step is performed by the pre-irradiation planning section 9 (S5). In the pre-irradiation planning step, a pre-irradiation plan is created based on the computation result of the first radiation dose planning step and the shape and the like of the tumor A obtained from the tomogram of the tumor A. In the pre-irradiation planning step, a pre-irradiation plan of the intensity-modulated ion therapy is created. In the pre-irradiation plan, the irradiation directions of the charged particle beam, the scan rate of the charged particle beam for each of the regions T1 and T2, and the like are determined. FIG. 9 is a flowchart illustrating an irradiation plan correcting method in the control unit 20 of the charged particle beam irradiation device 10. As shown in FIG. 9, in the irradiation plan correcting method, in the control unit 20 of the charged particle beam irradiation device 10, a plan data reading step of reading data on the pre-irradiation plan planned by the irradiation planning unit 6 is performed (S11). Next, a second radiation resistance state measuring step is performed by the radiation resistance state measuring section 21 (S12). In the second radiation resistance state measuring step, the radiation resistance state of the tumor A of the patient on the treatment stand 12 is measured. Specifically, the radiation resistance state measuring section 21 detects the gamma-ray emitted according to the collapse of the radioactive tracer administered to the patient by the PET unit 14 to measure the radiation resistance state (hypoxic cell). Subsequently, a second region dividing step is performed by the radiation resistance region dividing section 22 (S13). In the second region dividing step, the region division of the tumor A is performed based on the measurement result of the second radiation resistance state measuring step. In the second region dividing step, the tumor A is divided into the normal radiation resistance region T1 and the high radiation resistance region T2. Then, a second radiation dose computing step is performed by the radiation dose computing section 23 (S14). In the second radiation dose computing step, the radiation dose computing section 23 computes a planned radiation dose necessary for therapy of each of the regions T1 and T2 divided in the second region dividing step. The radiation dose computing section 23 performs the computation so that a planned radiation dose of the high radiation resistance region T2 is larger than a planned radiation dose of the normal radiation resistance region T1. In the second radiation dose computing step, the planed radiation dose is computed based on the density or the like of the hypoxic cells included in each of the regions T1 and T2. Subsequently, an irradiation planning step is performed by the irradiation plan correcting section 24 (S15). In the irradiation planning step, an irradiation plan to be performed in practice by correcting the pre-irradiation plan created by the irradiation planning unit 6 is created based on the computation result of the second radiation dose computing step. In the irradiation planning step, an irradiation plan of the intensity-modulated ion therapy of the charged particle beam with respect to the tumor A of the patient is created. According to the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method according to the present embodiment described above, since the radiation dose for irradiation is changed according to the level (radiation resistance state) of the radiation resistance, by dividing the tumor A into the plural regions T1 and T2 according to the level of the radiation resistance, it is possible to compute an appropriate planned radiation dose for each region, thereby creating an effective irradiation plan of the charged particle beam. Further, in the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method, by creating the intensity-modulated ion therapy plan, it is possible to reduce the influence on a normal tissue around the tumor A due to the irradiation of the charged particle beam. Further, according to the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method, by computing the beam intensity of the charged particle beam at each irradiation angle based on the planned radiation dose computed for each region, it is possible to create an irradiation plan of the charged particle beam with an excellent therapy effect in consideration of the radiation resistance. Further, according to the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method according to the present embodiment, by detecting the accumulation of the radioactive tracer by the PET-CT device 2 or the PET unit 14 of the charged particle beam irradiation device 10, it is possible to measure the presence or absence or distribution of the hypoxic cells with high radiation resistance compared with the normal lesion cell. Accordingly, according to the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method according to the present embodiment, it is possible to achieve the region division of the tumor A according to the level of the radiation resistance with reference to the presence or absence, distribution or the like of the hypoxic cells. Further, in the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method according to the present embodiment, the pre-irradiation plan is created in advance based on the results of the PET scan and the CT scan that are performed in advance. Then, by measuring the radiation resistance state of the tumor A at a point in time when it is actually irradiated with the charged particle beam, it is possible to correct the pre-irradiation plan based on the planned radiation dose computed for each of the regions T1 and T2 according to the radiation resistance state, to thereby create the irradiation plan to be performed in practice. Accordingly, according to the charged particle beam irradiation system 1 and the charged particle beam irradiation planning method according to the present embodiment, it is possible to measure the radiation resistance state of the tumor A in a state where the patient is disposed on the treatment stand 12 when actually irradiated with the charged particle beam, and to reflect the measurement result to the irradiation plan. Thus, it is possible create a more effective irradiation plan of the charged particle beam. A charged particle beam irradiation system 31 according to a second embodiment is different from the charged particle beam irradiation system 1 according to the first embodiment in that an irradiation plan to be performed in practice is created only by an irradiation planning unit 32. The same configurations as those of the charged particle beam irradiation system 1 according to the first embodiment are given the same reference numerals, and description thereof will not be repeated. FIG. 10 is a schematic view illustrating the charged particle beam irradiation system 31 according to the second embodiment. As shown in FIG. 10, the charged particle beam irradiation system 31 according to the second embodiment includes the irradiation planning unit 32. In the irradiation planning unit 32 according to the second embodiment, an irradiation plan of defining irradiation to be performed at an actual treatment date is created based on the results of the PET scan and the CT scan of the PET-CT device 2 performed in advance. The irradiation planning unit 32 includes an irradiation planning section 33 instead of the pre-irradiation planning section 9 according to the first embodiment. The irradiation planning section 33 creates an irradiation plan based on the shape and the like of the tumor A obtained from the tomogram of the tumor A by the PET-CT device 2 and the computation result of the radiation dose computing section 8. On the other hand, a charged particle beam irradiation device 34 according to the second embodiment executes irradiation of a charged particle beam for the tumor A based on the irradiation plan planned by the irradiation planning unit 32. The charged particle beam irradiation device 34 does not perform the correction of the irradiation plan based on the measurement result of the radiation resistance state of the tumor A immediately before treatment. In the charged particle beam irradiation system 31 according to the second embodiment, the PET-CT device 2 and the radiation resistance state measuring section 4 of the control unit 3 form the radiation resistance state measuring means. Further, the radiation resistance region dividing section 7 of the irradiation planning unit 32 forms the region dividing means. Similarly, the radiation dose computing section 8 of the irradiation planning unit 32 forms the radiation dose computing means. Further, the irradiation planning section 33 of the irradiation planning unit 32 forms the irradiation planning means. Next, a charged particle beam irradiation planning method in the charged particle beam irradiation system 31 according to the second embodiment will be described. FIG. 11 is a flowchart illustrating the charged particle beam irradiation planning method according to the second embodiment. Since steps S21 to S24 are the same as steps S1 to S4 of the charged particle beam irradiation planning method according to the first embodiment, detailed description will not be repeated. As shown in FIG. 11, in the charged particle beam irradiation planning method according to the second embodiment, first, in the control unit 3 of the PET-CT device 2, a radiation resistance state measuring step of performing the PET scan for the patient using the radiation resistance state measuring section 4 is performed (S21). Then, in the control unit 3 of the PET-CT device 2, a CT image capturing step of performing the CT scan for the patient using the CT image capturing section 5 is performed (S22). Subsequently, in the irradiation planning unit 32, a region dividing step is performed by the radiation resistance region dividing section 7 (S23). In the region dividing step, the region division of the tumor A based on the measurement result of the radiation resistance state measurement step is performed. Then, in the irradiation planning unit 32, a radiation dose computing step is performed by the radiation dose computing section 8 (S24). In the radiation dose computing step, a planned radiation dose for each region of the tumor A divided in the region dividing step is computed. Subsequently, in the irradiation planning unit 32, an irradiation planning step is performed by the irradiation planning section 33 (S25). In the irradiation planning step, the irradiation plan is created based on the computation result of the radiation dose computing step and the shape and the like of the tumor A obtained from the tomogram of the tumor A. In the irradiation planning step, the irradiation plan of the intensity-modulated ion therapy is created. According to the charged particle beam irradiation system 31 and the charged particle beam irradiation planning method according to the above-described second embodiment, since it is possible to create an actual irradiation plan in detail in advance based on the planned value of the radiation dose for each region computed from the radiation resistance state of the tumor A and the captured image of the tumor A obtained by the CT scan, it is possible to create an effective irradiation plan of the charged particle beam in consideration of the radiation resistance even when the inspection unit such as a PET unit is installed in a room other than an irradiation room. The present invention is not limited to the above-described embodiments. For example, the charged particle beam irradiation system and the charged particle beam irradiation planning method according to the embodiments of the present invention are not limited to a charged particle beam irradiation of a scanning type, and for example, may be applied to a charged particle beam irradiation of a multi-patch type. FIG. 12 is a diagram illustrating a charged particle beam irradiation device of a multi-patch type. An irradiation device 40 of the multi-patch type shown in FIG. 12 includes a scatterer 41, a ridge filter 42, a fine-scale degrader 43, a radiation dose monitor 45, a block collimator 46, a bolus 47, and a multi-leaf collimator 48 that are sequentially arranged in a charged particle beam irradiation direction R. Further, the irradiation device 40 includes an irradiation control unit 49 that controls driving of the respective units of the device. The irradiation device 40 allows the charged particle beam transmitted from the accelerator Ac to pass through the scatterer 41 to expand in a direction orthogonal to the irradiation direction R, to thereby be enlarged a beam of a wide range. Then, the charged particle beam incident onto the ridge filter 42 forms a spread-out Bragg peak distribution in the irradiation direction R. The charged particle beam passed through the ridge filter 42 is incident onto the fine-scale degrader 43 for adjusting the maximum arrival depth of the charged particle beam based on the depth of the tumor A in the patient. The fine-scale degrader 43 includes two wedge-shaped blocks 43a and 43b that face each other, for example. By adjusting the overlapping way of the blocks 43a and 43b under the control of the irradiation control unit 49, it is possible to continuously change the thickness of a portion through which the charged particle beam passes. By adjusting the fine-scale degrader 43, the position of the spread-out Bragg peak of the charged particle beam is adjusted in the depth direction (irradiation direction R) of the tumor A in the patient. The radiation dose of the charged particle beam passed through the fine-scale degrader 43 is detected in the radiation dose monitor 45. Then, the charged particle beam is incident onto the block collimator 46 for roughly shaping the planar shape (shape seen in the irradiation direction R) of the charged particle beam. Then, the charged particle beam is incident to the bolus 47, in which correction relating to a cross-sectional shape of the maximum depth of the tumor A and non-uniformity of the tissue is performed. The charged particle beam passed through the bolus 47 is incident onto the multi-leaf collimator 48. The multi-leaf collimator 48 is configured by two shielding portions 48a and 48b having multiple comb teeth of a width of several millimeters, in which the shielding portions 48a and 48b are arranged to abut each other at tips of the comb teeth. Further, the plural comb teeth of the shielding portions 48a and 48b advance and retract in the length direction, respectively, under the control of the irradiation control unit 49. Thus, the multi-leaf collimator 48 can change the position and shape of an opening 48c through which the charged particle beam passes. Subsequently, the charged particle beam irradiation of the multi-patch type will be described with reference to FIG. 13. As shown in FIG. 13, the control unit 49 divides a tumor P into plural blocks based on a three-dimensional shape of the tumor P. In the control unit 49, planar positions and planar shapes of first to n-th divided blocks P1 to Pn, arrangement of the divided blocks P1 to Pn in a depth direction, and radiation doses of charged particle beams for irradiation of the divided blocks P1 to Pn are provided as data, in association. Arrangement information of the divided blocks P1 to Pn in the depth direction includes information about the depth of the deepest portion of the divided blocks, the depth of the shallowest portion thereof, the maximum thickness in the depth direction and the like. Further, in FIG. 13, an example in which the number of divided blocks is n=4 is shown, but n may be set to an arbitrary number. The control unit 49 reads the information about the planar position and the planar shape of the first divided block P1, drives the multi-leaf collimator 48 to form the opening 48c of the multi-leaf collimator 48 to have a planar position and a planar shape corresponding to the first divided block P1. Thus, it is possible to set a planar position and a planar shape of an irradiation field that is extended slightly outside from a contour of the first divided block P1. Further, the control unit 49 drives the fine-scale degrader 43 so that the maximum arrival depth of a proton beam reaches a position that is slightly deeper than the deepest portion P1a of the first divided block P1. Further, the control unit 49 reads information about the maximum thickness k of the first divided block P1 of the tumor P in the depth direction, and drives the ridge filter 42 so that a spread-out Bragg peak (SOBP) width of the proton beam is slightly larger than the maximum thickness k that adjusts the SOBP. Due to the operations of the respective units as described above, a first divided irradiation range Q1 that forms a shape including the three-dimensional shape of the first divided block P1 of the tumor P is set. Further, the control unit 49 computes a radiation dose for irradiation of the first divided irradiation range Q1. In this state, if the charged particle beam is transmitted to the irradiation device 40, the first divided irradiation range Q1 is irradiated with the charged particle beam, and particle beam energy is collectively supplied to the first divided block P1 of the tumor P. Here, the control unit 49 receives a monitor signal from the radiation dose monitor 45 to detect the radiation dose of the charged particle beam that irradiates the first divided irradiation range Q1. Further, when the radiation dose of the detected charged particle beam reaches a planned radiation dose, the supply of the charged particle beam is stopped. According to such a process, the first divided irradiation range Q1 is irradiated with a radiation dose based on the irradiation plan. Further, by repeating the irradiation operation n times with respect to each of the first to n-th divided blocks P1 to Pn, it is possible to irradiate the entire tumor P with the charged particle beam while sequentially setting the first to n-th divided irradiation fields R1 to Rn corresponding to the three-dimensional shapes of each of the divided blocks P1 to Pn. In the above-described multi-patch type irradiation, the tumor P is divided into the plural blocks and the irradiation conditions are controlled. Thus, in the multi-patch type irradiation, by measuring the radiation resistance state of the tumor P and performing the block division (region division) based on the radiation resistance, it is possible to achieve the irradiation with a radiation dose in consideration of the radiation resistance with respect to each block. Further, in the embodiments of the present invention, the radiation resistance state of the tumor A may be measured based on conditions other than the presence or absence of the hypoxic cells or distribution thereof. As a tracer for measuring the radiation resistance state (hypoxic cell), FAZA, IAZA, FETNIM, FETA, ATSM, β-5-FAZR, [18F]EF5, [18F]EF3, [18F]FDG or the like, in addition to FMISO, may be employed. For example, since FAZA has low fat-solubility and quick blood clearance compared with FMISO, it is possible to perform more effective measurement of the hypoxic cell. Further, in a PET image when FETNIM is used, it is possible to reduce the background of the tumor compared with FMISO. Further, the embodiments of the present invention may be applied to intensity-modulated ion therapy using spot scanning, in addition to the intensity-modulated ion therapy using the raster scanning or line scanning. Further, the gantry 11 is not necessarily rotatable at an angle of 360°, and may be configured to oscillate at an angle smaller than 360°. Further, the gantry may be a fixed type. Further, the quadrupole magnet 15 and/or the duct 17 may not be installed in the irradiation unit 13. Further, the number of the gamma-ray detecting sections provided in the PET unit 14 is not limited to two, and may be three or more. Further, the gamma-ray detecting means is not limited to the PET, and single photon emission computed tomography (SPECT) or the like may be used. In the case of SPECT, a single gamma-ray detecting section may be used. Further, in the charged particle beam irradiation device, a configuration in which the PET scan and the CT scan are performed during treatment and the irradiation plan is created in this state is also included in the embodiments of the present invention. Further, during irradiation of the charged particle beam (during treatment), the gamma-ray emitted from the affected part A of the patient may be detected using the PET unit 14. If the affected part A of the patient is irradiated with the charged particle beam (for example, proton beam), the gamma-ray is emitted from a positron emitter generated by nuclear reaction of an incident proton nucleus of the irradiating proton beam and an atomic nucleus in the tumor. By detecting the gamma-ray by the PET unit 14, it is possible to measure the position irradiated with the proton beam. When the measured proton beam irradiation position is different from an irradiation position defined in a therapy plan, the control unit 20 may control the irradiation unit 13 so that an actual proton beam irradiation position becomes the irradiation position defined in the therapy plan. As described above, by using the PET unit used for creation of the therapy plan as the PET unit that confirms the irradiation position of the charged particle beam, it is not necessary to provide two PET units in the charged particle beam irradiation device 10. Thus, it is possible to simplify the device to reduce the cost. The invention may be used for a charged particle beam irradiation system and a charged particle beam irradiation planning method capable of creating an irradiation plan of a charged particle beam in consideration of radiation resistance. It should be understood that the invention is not limited to the above-described embodiment, but may be modified into various forms based on the spirit of the invention. Additionally, the modifications are included in the scope of the invention.
044329326
summary
BACKGROUND OF THE INVENTION The invention relates generally to radiation shielding systems and more particularly to a modular type reactor head shielding system designed to be temporarily assembled around a reactor head such as during refueling operations. In nuclear power plants, the reactor heads are removed during refueling operations. The reactor head typically is situated in a concrete type well and is removed from the well during the refueling operation. During these operations it would be desirable to have the reactor head shielded so that workers are not exposed to radiation from the reactor head. Each worker typically is attired in radiation protective clothing; however, each reactor head typically is secured by a large number of stud bolts around the top of the reactor which must be removed resulting in exposure to the workers. Attempts to reduce this exposure have been made such as by hanging or placing lead shielding such as individual bags, around the reactor head. These non-integrated systems have several disadvantages including exposure between the single layer of bags, no system to secure the bags to the reactor head and possible damage to the reactor head by the jury rigged systems. It also is not desirable to hang the bags around the reactor head on a frame or other means since it would be preferable to move the shielding with the reactor head when it is removed to refuel the reactor. Further, the work space or well in which the reactor head is situated does not allow a large amount of clearance between the workers, the well wall and the reactor head. SUMMARY OF THE INVENTION The above and other disadvantages of prior art reactor head shielding techniques are overcome in accordance with the present invention by providing a modular reactor head shielding system which can be easily assembled around or on the reactor head to prevent exposure to the workers. The system is formed from a plurality of flexible pads which are shaped to interleave with one another when assembled around the reactor head. The pads are formed by inserting a pair of secured together quilted lead blankets in a pair of outer covers. The outer covers are secured to one another in offset relation to provide the interleave between pads. Each pad has a hanging plate on it which can be hung from a frame around the reactor head or from the reactor head itself.
claims
1. For use with a semiconductor processing tool having an evacuated region for treating a workpiece by directing an ion beam to strike a workpiece, apparatus comprising:a source, beam transfer structure and a workpiece support defining a path of travel for ions that impinge on one or more workpieces at an implantation station,a faraday flag structure comprising:i) a conductive strike plate coupled to a circuit for monitoring ions striking the strike plate to obtain an indication of the beam current; andii) a base supporting the strike plate that includes a thermally conductive material surrounding at least a portion of an outer perimeter of the strike plate; anda thermally controlled structure spaced from said faraday flag structure for attracting material dislodged within an evacuated region during implanter operation. 2. The apparatus of claim 1 further comprising a strike plate support coupled to the base for moving the strike plate in and out of the path of travel. 3. The apparatus of claim 2 further comprises a coolant supply line routed through the strike plate support. 4. The apparatus of claim 1 wherein the base includes a coolant path into which coolant is routed to maintain a temperature of the strike plate by dissipating heat away from said strike plate. 5. The apparatus of claim 1 additionally comprising a heater and a controller coupled to the heater for maintaining the base at a controlled first temperature and wherein the thermally controlled structure is maintained at a lower second temperature. 6. The apparatus of claim 2 wherein said thermally controlled structure is coupled to said strike plate support for moving the thermally controlled structure in and out of the path of travel. 7. The apparatus of claim 1, wherein said thermally controlled structure includes a textured surface for attracting and retaining foreign material. 8. The apparatus of claim 6, wherein said thermally controlled structure includes a textured surface for attracting and retaining foreign material. 9. The apparatus of claim 1, wherein said thermally controlled structure includes a crenellating surface. 10. The apparatus of claim 6, wherein said thermally controlled structure includes a crenellating surface. 11. In a semiconductor processing assembly, a method of reducing and controlling contaminates within an ion implanter the assembly comprising:mounting a workpiece for processing in an evacuated region and causing a beam of ions to impact on the workpiece by accelerating them from a source to a workpiece through an evacuated travel path;positioning a strikeplate in a path of travel of said beam of ions to gather ion beam current data, andcontrolling a temperature of the strike plate to avoid contaminant building up in the region of the strike plate; andthermally controlling a structure spaced from said strike plate for attracting contaminates in said evacuated region. 12. The method of claim 11 further comprising providing a textured surface on said structure for containing contaminates in said evacuated region. 13. A contaminate reduction structure comprising:a) a faraday flag assembly comprising:i) a conductive strike plate coupled to a circuit for monitoring ions striking the strike plate to obtain an indication of an ion beam current; andii) a base supporting the strike plate that includes a thermally conductive material surrounding at least a portion of an outer perimeter of the strike plate;b) a thermally controlled structure spaced from said faraday flag for attracting and retaining contaminates within an evacuated region. 14. The contaminate reduction structure of claim 13 wherein the base defines a conduit for routing coolant through the thermally conductive material surrounding the strike plate. 15. The contaminate reduction structure of claim 13, wherein said faraday flag includes an open cup. 16. The contaminate reduction structure of claim 13, wherein said thermally controlled structure includes a textured surface for receiving contaminates. 17. The contaminate reduction structure of claim 16, wherein said textured surface is a plurality of ridges. 18. The structure of claim 13 additionally comprising a heater in thermal contact with the base and a controller for turning on and off the heater to maintain the temperature of the base at a set point above a lower temperature of the thermally controlled structure.
summary
claims
1. An inspection system, comprising:a radiation scanner configured to emit a radiation beam along a radiation trajectory;a plurality of filters comprising at least two filters selectably positionable so that at least one of the at least two filters receives at least a portion of the radiation of the radiation beam backscattered from a specimen and passes attenuated radiation, wherein the at least two filters respectively have different attenuation characteristics;a radiation detector configured to receive the attenuated radiation and configured to produce detection data associated with an energy intensity of the attenuated radiation; anda rendering system configured to create a composite image of the specimen disposed along the radiation trajectory using the detection data from the attenuated radiation passed through the at least two filters. 2. The system of claim 1, wherein the plurality of filters are movable with respect to the radiation detector to enable selectable ones of the at least two filters to pass attenuated radiation to the radiation detector. 3. The system of claim 2, wherein in a first arrangement of at least two arrangements the radiation detector is configured to exclusively receive the attenuated radiation from a first filter of the at least two filters. 4. The system of claim 3, wherein in a second arrangement of the at least two arrangements the radiation detector is configured to exclusively receive the attenuated radiation from a second filter of the at least two filters. 5. The system of claim 1, wherein a first filter of the at least two filters comprises aluminum of a thickness less than one millimeter, and a second filter of the at least two filters comprises aluminum of a thickness greater than the thickness of the first filter. 6. The system of claim 2, wherein the at least two filters are selectively positionable in a portion of the radiation trajectory to receive the at least the portion of the radiation backscattered from the specimen. 7. The system of claim 1, wherein the plurality of filters include layered filters which are arranged so that a first radiation detector of the radiation detector receives attenuated radiation solely from a first layered filter of the layered filters, and a second radiation detector of the radiation detector receives attenuated radiation passed through the first layered filter and a second layered filter of the layered filters. 8. The system of claim 1, further comprising a track stage to move the radiation scanner relative to the specimen. 9. The system of claim 1, wherein the plurality of filters are included as part of at least one movable filter mount. 10. A method of inspecting a specimen, comprising:emitting a radiation beam from a radiation scanner of a backscatter inspection system into a radiation trajectory;selectively positioning at least two filters of a plurality of filters of the backscatter inspection system so that at least one of the at least two filters receives at least a portion of the radiation of the radiation beam backscattered from the specimen and passes attenuated radiation, wherein the at least two filters respectively have different attenuation characteristics;receiving the attenuated radiation with a radiation detector of the backscatter inspection system and producing detection data associated with an energy intensity of the attenuated radiation; andcreating a composite image of the specimen with a rendering system of the backscatter inspection system using the detection data produced from the attenuated radiation passed through the at least two filters. 11. The method of claim 10, wherein the selectively positioning comprises moving the at least two filters with respect to the radiation detector to enable selectable ones of the at least two filters to pass attenuated radiation to the radiation detector while the at least two filters are respectively disposed in at least two arrangements. 12. The method of claim 11, wherein the receiving the attenuated radiation comprises the radiation detector exclusively receiving the attenuated radiation from a first filter of the at least two filters in a first arrangement of the at least two arrangements. 13. The method of claim 12, wherein the receiving the attenuated radiation comprises the radiation detector exclusively receiving the attenuated radiation from a second filter of the at least two filters in a second arrangement of the at least two arrangements. 14. A non-transitory computer-readable storage medium containing computer-readable program code that, when executed by operation of one or more computer processors, performs an operation comprising:instructing a radiation scanner of a backscatter inspection system to emit a radiation beam and along a radiation trajectory;selectively positioning at least two filters of a plurality of filters of the backscatter inspection system so that at least one of the at least two filters receives at least a portion of the radiation of the radiation beam backscattered from a specimen and passes attenuated radiation, wherein the at least two filters respectively have different attenuation characteristics;receiving detection data produced from a radiation detector of the backscatter inspection system, the radiation detector producing the detection data based on an energy intensity of the attenuated radiation received by the radiation detector; andrendering a composite image of the specimen at a rendering system of the backscatter inspection system using the detection data passed through the at least two filters. 15. The computer-readable storage medium of claim 14, wherein the computer-readable program code, when executed by operation of the one or more computer processors, performs further operations comprising:instructing a movement of the at least two filters with respect to the radiation detector to enable selectable ones of the at least two filters to pass attenuated radiation to the radiation detector while the at least two filters are respectively disposed in at least two arrangements. 16. The computer-readable storage medium of claim 15, wherein the computer-readable program code, when executed by operation of the one or more computer processors, performs further operations comprising:instructing a movement of the at least two filters to a first arrangement of the at least two arrangements wherein in the first arrangement the radiation detector exclusively receives the attenuated radiation from a first filter of the at least two filters. 17. The computer-readable storage medium of claim 16, wherein the computer-readable program code, when executed by operation of the one or more computer processors, performs further operations comprising:instructing a movement of the at least two filters to a second arrangement of the at least two arrangements wherein in the second arrangement the radiation detector exclusively receives the attenuated radiation from a second filter of the at least two filters. 18. The computer-readable storage medium of claim 14, wherein the computer-readable program code, when executed by operation of the one or more computer processors, performs further operations comprising:instructing a movement of the radiation scanner in a direction angled to the radiation beam.
abstract
The invention relates to a multi-layered radiation protection wall for shielding against gamma and/or particle radiation of a reaction site of an accelerator facility, wherein the radiation protection wall comprises a sandwich-like structure with at least a first and a second layer arrangement, wherein the first layer arrangement has at least a primary shielding layer and the second layer arrangement has at least a secondary shielding layer. Thereby, at least one of the first and the second layer arrangements is sub-divided into a plurality of wall segments, whereby a selective disposal is made possible. Thus an increased cost efficiency is achieved and the environmental impact is lowered.
description
The present invention relates to a charged particle beam apparatus which measures micro-dimensions, such as circuit patterns of a semiconductor apparatus. More particularly, the present invention is concerned with a method for capturing images through measuring and adjusting an electron beam landing angle with respect to a sample and also concerned with the charged particle beam apparatus. A CD-SEM (critical dimension scanning electron microscope) designed specifically for semiconductor is used for pattern dimension management in a semiconductor manufacturing process. The principle of the CD-SEM is shown in FIG. 2. A primary electron beam emitted from an electron gun is thinly converged by a convergence lens, and the thus converged electron beam two-dimensionally scans a sample with a scanning coil. An electron beam image can be obtained by capturing secondary electrons generated from the sample by electron beam irradiation, by use of a detector. Since secondary electrons are largely generated at edges of the pattern, portions corresponding to edges of the pattern in the electron beam image become bright. The magnification ratio of a scanned image can be changed with the ratio of the scanning width (constant) on the CRT to the scanning width (variable) of the electron beam on the sample. In the CD-SEM, a position where dimensions are to be measured with the scanned image is specified, and dimensions are measured through calculation based on the magnification ratio by use of a signal waveform obtained from the position. Diverse methods of automatic dimensional measurement using a signal waveform have been proposed. As a typical method, a “threshold method” is shown in FIG. 3. With a pattern having a trapezoid-shaped cross section as shown in FIG. 3, the amount of signal for a portion corresponding to the side wall of the pattern forms a large signal waveform. Each portion having a large amount of signal on the left-hand side and on the right-hand side is referred to as a left white band (left WB) and a right white band (right WB), respectively. With the threshold method, maximum and minimum values are obtained in each of the right and left WBs. In addition, a threshold is calculated from these above values, and a position at which a signal waveform crosses the threshold is detected as an edge position. The distance between the right and left edges is recognized as dimensions (CD value). A process of general automatic dimensional measurement is shown in FIG. 4. A wafer is placed on a stage (Step 101). The stage is moved to a position near the dimensional measurement section (Step 102), and images are acquired with a low magnification ratio of about 10000× (Step 103). The precise position of the dimensional measurement section is obtained through pattern recognition using registered images as templates (Step 104). Through limiting the scanning range of the primary electron beam to a narrower range centering on the obtained position (Step 105), images are acquired with a high magnification ratio of about 150000× (Step 106), and dimensions are measured (Step 108). The above-mentioned operation for changing the imaging position by changing the scanning position of the primary electron beam without moving the stage is referred to as an “image shift”. High-power images are acquired through the image shift after acquiring low-power images instead of acquiring high-power images at first since it is difficult to include a pattern to be measured in high-power images generally due to insufficient stop accuracy of the stage. The increasing of fineness of semiconductor patterns has increased the demand for improved accuracy in measurement of the CD-SEM. There have been a demand for measurement reproducibility of a single apparatus, and a plurality of apparatuses are used in many cases due to the relationship between the throughput and semiconductor production volume. For this reason, it is important to reduce the difference in dimensions measured by apparatuses (instrumental error). In relation to the SEM, correction of aberration of the primary electron beam is presented in Japanese Patent Laid-open No. 2004-127930 and a method for obtaining an electron beam landing angle through calculation is presented in, for example, “Development of Beam Tilt Angle Calibration Technology in a CD-SEM” on pages 48 to 53 in the collected papers of View2004 vision technology application workshop lecture. Although the image shift is performed to capture high-power images with the above-mentioned background technology, the amount of image shift varies depending on the stop position of the stage. Generally, when the image shift is performed, the electron beam slightly tilts. With an optical system examined by the inventors, when the coordinate of the center of the viewing field is (0,0) as shown in FIG. 5, the beam tilt angle in the x direction, φx, and the beam tilt angle in the y direction, φy, at coordinate (x,y) are represented by Formula 2. As represented by Formula 1 shown in FIG. 5, the tilt angle increases in proportion to the distance r from the center of the viewing field to the coordinate (x,y). P is a proportional constant and Q a constant representing rotation. The symbols dx and dy are offset of the center of the viewing field in the optical system. Since the stop position of the stage fluctuates within a certain range, the amount of the image shift varies accordingly, resulting in the fluctuation of the beam landing angle with respect to the sample. FIG. 6 is a schematic diagram showing the relationship between the electron beam landing angle and the CD value, where φ is the electron beam landing angle and θ is the tilt angle of a side wall of the sample (with the perpendicularity set to 0 degrees). FIG. 6A shows the case of φ=0; FIG. 6B, the case of φ<θ; and FIG. 6C, the case of φ>θ. When the CD value in FIG. 6A is CDa, the CD value in FIG. 6B is CDb, and the CD value in FIG. 6C is CDc. In this case, CDa and CDb are equal and CDc is larger than CDa and CDb. This is because in FIG. 6B, the decrement of the left WB width equals the increment of the right WB width, and in FIG. 6C, the decrement of the left WB width is smaller than the increment of the right WB width. When the same object is measured a plurality of number of times, if the amount of the image shift changes each time, the CD value also changes each time. This results in reduced measurement reproducibility. Further, although the beam tilt angle at coordinate (0,0) is assumed to be 0 degrees in FIG. 5, it is not necessarily 0 degrees but actually is different for each apparatus. It is assumed that the beam tilt angles at coordinate (0,0) of apparatus A and apparatus B are φa and φb, respectively and that the same object is measured by apparatus A and apparatus B. Then, the measurement results are compared. Since the measurement reproducibility is not 0, it is meaningless to consider the instrumental error based on the results of a single measurement. However, although the difference between averages obtained from a large number of measurements is recognized as the instrumental error, it is clear that the instrumental error arises if φa is not equal to φb. The present invention provides an electron microscope apparatus with high measurement reproducibility by decreasing the variation in the CD value caused by the variation in the electron beam landing angle. The present invention also provides such a calibration method for decreasing the difference in the electron beam landing angle between apparatuses. With the present invention, the calibration shown in the following (a) is performed and then the measurement shown in the following (b) is performed. (a) Calibration: Using a calibration sample on which a plurality of polyhedral structural objects with known angles, which are produced by the crystal anisotropic etching technology, are arranged in the viewing field, the beam landing angle at each position within the viewing field is calculated based on geometric deformation on images of each polyhedral structure, and beam control parameters for equalizing the beam landing angle at each position within the viewing field are registered in advance. (b) Measurement: Images for measurement are captured with the same beam landing angle by applying the above-mentioned registered beam control parameters according to the position of the pattern to be measured within the viewing field. Further, in the present invention, the above-mentioned calibration (a) and measurement (b) are performed by each apparatus. Since the beam landing angle is equalized regardless of the amount of image shift by performing measurement (b) after performing above-mentioned calibration (a), it becomes possible to eliminate the variation in the CD value caused by the variation in the beam landing angle. Further, since the beam landing angle of each apparatus is substantially equalized by performing the above-mentioned calibration (a) and the measurement (b) with each apparatus, it becomes possible to eliminate the instrumental error caused by the difference in the electron beam landing angle between apparatuses. These and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings. Although the present invention can be applied to various types of charged particle beam apparatuses (SEM, FIB, etc.), a SEM is explained as a representative example in the following embodiments. FIG. 1A shows a flow chart of calibration for making the beam landing angle perpendicular regardless of the amount of image shift, and FIG. 1B shows a flow chart of measurement, according to the present invention. The following explains calibration method 200 with reference to FIG. 1A. Firstly, a calibration wafer is placed on the stage in the SEM (Step 201). As a calibration wafer, a sample on which a number of polyhedral structural objects produced by the crystal anisotropic etching technology are arranged thereon is used. As a polyhedron, a concave or convex pyramid (quadrangular pyramid) is preferable for example. In the following explanation, each structural object is referred to as a pyramid. FIG. 7A shows a concave pyramid and FIG. 7B a convex pyramid. The crystal anisotropic etching technology is a technology for manufacturing a triangular pyramid and step structure with reference to the crystal surfaces by use of the fact that an etching speed for each crystal surface is varied when a specific etching solution (etchant) is applied to a single crystal. The angles formed by the lateral sides corresponding to crystal surfaces (four sides in the case of FIG. 7) are predetermined. This technology is characterized in that the shape accuracy is high in comparison with patterning with a normal semiconductor process. Then, the stage with a calibration wafer placed thereon is moved (Step 202) and images are captured with such a low magnification ratio that a plurality of pyramids are included on the screen (Step 203) as shown in FIG. 8A. Then, positions of each pyramid on the captured images are obtained through pattern recognition and then stored (Step 204). Specifically, after obtaining the positions of each pyramid on the images as shown in FIG. 8B, the positions are converted to positions in nm according to the magnification ratio of imaging and then stored. Then, according to the position of the pyramid stored previously, the deflection range of the electron beam is limited to a place around the pyramid to be measured (image shift in Step 205) and then high-power images are acquired (Step 206). If the electron beam landing angle is perpendicular to the pyramid, the image of the pyramid is as shown in FIG. 9A; if the electron beam landing angle is tilted with respect to the pyramid, it is as shown in FIG. 9B. Ridge lines and edge lines at the bottom of the pyramid are detected by image processing to obtain a shift Δx in the x direction and a shift Δy in the y direction of the intersection of the ridge lines of the pyramid. The shift Δx reflects the variation φx in the beam landing angle in the x direction, and the shift Δy reflects the variation φy in the beam landing angle in the y direction. As mentioned above, since the angles formed by the lateral sides of the pyramid are known, it is possible to calculate φx and φy from Δx and Δy, respectively (Step 207). A wafer produced by the crystal anisotropic etching technology is used as a calibration wafer since measurement of a three dimensional figure with another means (AFM, etc.) is not necessary because of predetermined angles formed by the lateral sides. This eliminates cumbersome tasks and other error factors. A pyramidal shape is used because of the advantage that both the electron beam landing angle φx in the x direction and the electron beam landing angle φy in the y direction can be calculated by observing a single pyramid. With respect to a detail calculation of the electron beam landing angle, it is possible to apply a method reported in, for example, “Development of Beam Tilt Angle Calibration Technology in a CD-SEM” on pages 48 to 53 in the collected papers of View2004 vision technology application workshop lecture (Nonpatent Reference 1). The above-mentioned calculation of the beam landing angle is repeated for all the pyramids included on the low-power images acquired in Step 203 (Step 208). This obtains a relationship between each position (x,y) in the viewing field and the beam landing angle. Based on the relationship between the position (x,y) and the beam landing angle for several pyramids, obtained as mentioned above, the parameters P, Q, dx, and dy in FIG. 5 are obtained through the least square method or the like (Step 209). Then, by use of the relationship between the position (x,y) and the beam landing angle, which are obtained in Step 209, the following two types of conditions are registered: beam incidence conditions for the objective lens allowing the beam landing angle to be maintained perpendicular to control the position; and setting conditions for a focusing lens for correcting the aberration caused by the variation in the incidence conditions for the objective lens (Step 210). Technologies presented in Japanese Patent Laid-open No. 2004-127930 (Reference 1) can be applied to above-mentioned aberration correction. The outline configuration of an electron microscope disclosed in Japanese Patent Laid-open No. 2004-127930 is shown in FIG. 10. In FIG. 10, a voltage is applied between a cathode 1 and a first anode 2 by a high-voltage control power supply 20 that is controlled by a computer 40, and a primary electron beam 4 is drawn from the cathode 1 by means of a predetermined emission current. An acceleration voltage is applied between the cathode 1 and a second anode 3 by the high-voltage control power supply 20 that is controlled by the computer 40, and the primary electron beam 4 emitted from the cathode 1 is accelerated and advances to a lens system in the following stage. The primary electron beam 4 is converged by a focusing lens 5 controlled by a lens control power supply 21. After an unnecessary region of the primary electron beam is eliminated by a throttle plate 8, the resultant primary electron beam is converged onto a sample 10 as a microspot by a focusing lens 6 controlled by a lens control power supply 22 and an objective lens 7 controlled by an objective lens control power supply 23. The primary electron beam 4 two-dimensionally scans the sample 10 by use of a scanning coil 9 that is controlled by a control power supply 24. A secondary signal 12, such as secondary electrons generated from the sample 10 by irradiation of the primary electron beam, advances to the upper part of the objective lens 7. Then, the secondary signal 12 is separated from primary electrons by a orthogonal electromagnetic field generating apparatus 11 for secondary signal separation and detected by a secondary signal detector 13. The signal detected by the secondary signal detector 13 is amplified by a signal amplifier 14. Then, the signal is transferred to an image memory 25 and then displayed on an image display 26 as a sample image. A two-stage deflecting coil 51 is arranged at the same position as the scanning coil 9, making it possible to two-dimensionally control the position of the primary electron beam 4 entering the objective lens by means of a tilt control power supply 31 so that an object point of the objective lens acts as a deflection supporting point. In this manner, the beam can be tilted with respect to the optical axis of the objective lens. An astigmatic correction coil 53 is arranged near the focusing lens 6, which is controlled by an astigmatic correction power supply 33 in conjunction with beam tilt conditions. A two-stage deflecting coil 52 is arranged between the focusing lens 6 and the throttle plate 8, making it possible to two-dimensionally control the position of the primary electron beam 4 entering the focusing lens 6 by means of an aberration control power supply 32 so that an object point of the focusing lens 6 acts as a deflection supporting point. In addition to the primary electron beam position control signal with an object point of the objective lens acting as a deflection supporting point, a control signal, which can two-dimensionally control the irradiation position of the primary electron beam on the sample, can be applied to the deflecting coil 51, making it possible to correct the shift of the irradiation position in conjunction with beam tilt conditions. A sample stage 15 can move the sample 10 at least in two directions (the X direction and the Y direction) within a plane perpendicular to the primary electron beam. From an input apparatus 42, it is possible to specify image capturing conditions (scanning speed, acceleration voltage, etc.) and beam tilting conditions (tilting direction and tilt angle) and output images and store images to a storage apparatus 41. When the primary beam 4 is deflected by the deflecting coil 52 (hereinafter referred to as aberration control coil) so that an object point of the focusing lens 6 acts as a deflection supporting point, it is possible to generate aberration having the same characteristics as in beam tilting from the focusing lens 6. There are such conditions for the focusing lens 6 that the aberration formed by the objective lens 7 be canceled. The beam tilt angle by the objective lens 7 is proportional to the current of the deflecting coil 51 (hereinafter referred to as beam tilt angle control coil) and the beam tilt angle by the focusing lens 6 is proportional to the current of the aberration control coil 52. Therefore, what is necessary is to control the beam tilt angle control power supply 31 and the aberration control power supply 32 by means of the computer 40 so that the above-mentioned aberration canceling conditions be satisfied. In the above description, steps 202 to 209 in FIG. 1A were performed once. However, in order to improve the accuracy, the process may be designed to return to Step 202 after performing the above-mentioned steps once, move the imaging section to another position on the wafer, repeat the same processing N times, and obtain a coefficient of the formula representing the relationship between the amount of image shift and the electron beam landing angle by averaging the coefficient calculated in each time. Subsequently, the following explains a measurement method 300 with reference to FIG. 1B. FIG. 3, which is explained in the background technology, also applies to a step of low-power imaging of a wide region containing the pattern to be measured (Step 301) and a step of obtaining a position of the pattern to be measured through pattern recognition (Step 302). Subsequent Step 303 reads out, according to the position (x,y) of the pattern to be measured, the beam incidence conditions for the objective lens and the incidence conditions for the objective lens, which are registered in Step 210, such that the beam landing angle be maintained perpendicular to control the position of the pattern to be measured. Then, Step 304 sets these conditions. Further, the deflection range of the electron beam is limited to the pattern to be measured or a region around the pattern (image shift in Step 305). High-power images are taken (Step 306) and dimensional measurement is performed (Step 307). The above is a basic embodiment of the present invention. In accordance with the present embodiment, since the beam landing angle becomes perpendicular regardless of the amount of image shift, it becomes possible to eliminate the variation in the CD value caused by the variation in the beam landing angle. Then, the following explains a configuration for decreasing the difference in the electron beam landing angle between apparatuses, with reference to FIG. 13. As shown in FIG. 13, if the calibration in FIG. 1A is performed for each apparatus by use of the same calibration wafer, the beam landing angle of each apparatus is substantially equalized, making it possible to eliminate the instrumental error caused by the difference in the electron beam landing angle between apparatuses. The same calibration wafer is used because the angles formed by the four lateral sides are guaranteed but the angles formed by the lateral sides and bottom are not guaranteed with respect to a pyramidal shape produced by the crystal anisotropic etching technology. As shown in FIG. 14A, although it is ideal that the central axis of the pyramid is perpendicular to the wafer surface, a situation in FIG. 14B is possible depending on the wafer slice angle from the single crystal ingot. Since it is difficult to distinguish the variation in the beam landing angle from the situation in FIG. 13B based on the taken images, it is preferable that the same calibration wafer be used so as to eliminate the difference in the electron beam landing angle between apparatuses. [Modification 1] FIGS. 11A and 11B show a calibration flow chart 200′ and a measurement flow chart 300′ concerning a first Modification, respectively. In the flow charts in FIGS. 11A and 11B, the steps with the same numbers as those in FIG. 1 perform the same processing. In the above-mentioned embodiment, parameters P, Q, dx, and dy in FIG. 5 are obtained in Step 209 in the calibration flow 200. This Modification 1, however, creates a table describing the beam landing angle calculated at the position (x,y) of each pyramid on the viewing field (Step 209′). Then, the present embodiment creates a table describing required beam control parameters (beam incidence conditions for the objective lens, and setup conditions for the focusing lens for correcting the aberration) at each position and then registers these conditions (Step 210′). In order to reduce measurement error of the beam landing angle at each position, the obtain beam landing angle φx (i,j) and φy (i,j) at a noticed pyramid (i,j) may be obtained by an average (Formula 2) of beam landing angles at adjacent pyramids and a median (Formula 3) of the beam landing angles at adjacent pyramids. ϕ x ⁡ ( i , j ) = { ϕ x ⁡ ( i , j ) + ϕ x ⁡ ( i , j - 1 ) + ϕ x ⁡ ( i - 1 , j ) + ϕ x ⁡ ( i , j + 1 ) + ϕ x ⁡ ( i + 1 , j ) } / 5 ⁢ ⁢ ϕ y ⁡ ( i , j ) = { ϕ y ⁡ ( i , j ) + ϕ y ⁡ ( i , j - 1 ) + ϕ y ⁡ ( i - 1 , j ) + ϕ y ⁡ ( i , j + 1 ) + ϕ y ⁡ ( i + 1 , j ) } / 5 ( Formula ⁢ ⁢ 2 ) ϕ x ⁡ ( i , j ) = median ⁢ { ϕ x ⁡ ( i , j ) , ϕ x ⁡ ( i , j - 1 ) , ϕ x ⁡ ( i - 1 , j ) , ϕ x ⁡ ( i , j + 1 ) , ϕ x ⁡ ( i + 1 , j ) } ⁢ ⁢ ϕ y ⁡ ( i , j ) = median ⁢ { ϕ y ⁡ ( i , j ) , ϕ y ⁡ ( i , j - 1 ) , ϕ y ⁡ ( i - 1 , j ) , ϕ y ⁡ ( i , j + 1 ) , ϕ y ⁡ ( i + 1 , j ) } ( Formula ⁢ ⁢ 3 ) Step 303′ in the measurement flow chart 300′ reads out a table describing beam incidence conditions for the objective lens and setup conditions for the focusing lens for correcting the aberration, which are registered in Step 210′. Since above-mentioned conditions on the table have data only on positions of pyramids used for calibration, electron beam control parameters according to the position (x,y) of the pattern to be measured are obtained through interpolation. The subsequent steps (Steps 304 to 307) are common to Embodiment 1. In the present embodiment, since the beam landing angle is perpendicular regardless of the amount of image shift like Embodiment 1, it is possible to eliminate the variation in the CD value produced by the variation in the beam landing angle. Embodiment 1 applies to the case where the relationship between the position (x,y) on the viewing field and the beam landing angle can be described with a formula. However, the present embodiment has no such restrictions and therefore is more general purpose. [Modification 2] FIGS. 12A and 12B show a calibration flow chart 400 and a measurement flow chart 500 concerning Modification 2, respectively. Although the above-mentioned embodiment and Modification 1 intend to make the beam landing angle perpendicular regardless of the amount of image shift, the present embodiment intends to capture inclined images by a predetermined beam landing angle regardless of the amount of image shift. In flow charts in FIGS. 12A and 12B, the steps with the same numbers as those in FIG. 1 perform the same processing. In the calibration flow chart 400, Step 401 for setting the beam tilt angle is added prior to Step 203 for capturing low-power images. Also, in the measurement flow chart 500, Step 501 for setting the beam tilt angle is added prior to Step 301 for capturing low-power images. In accordance with the present embodiment, since the beam landing angle becomes a desired tilt angle regardless of the amount of image shift, it is possible to eliminate the variation in the CD value produced by the variation in the beam landing angle or the variation in other characteristic quantities obtained from the inclined images. [Modification 3] The following describes a variation in the polyhedral structure on a sample for beam landing angle calibration. In the above-mentioned embodiment, a pyramidal shape produced by the crystal anisotropic etching technology is used as a polyhedral structure on the sample for calibration. A shape which makes it possible to calculate both the electron beam landing angle in the x direction, φx, and the electron beam landing angle in the y direction, φy, by observing a single structure is not limited to a pyramidal shape. For example, as shown in FIG. 15(a), a pyramid with a flat top may be used, which is produced by stopping anisotropic etching halfway. It is possible to obtain a variation in the beam landing angle like a pyramidal shape, for example, by extending line segments 1601 to 1604 in FIG. 15B and obtaining, from the intersection, a virtual apex Q′0 corresponding to the apex Q0 in FIG. 7(b). Although FIG. 15A shows an example pyramidal shape with a flat top, a pyramidal shape with a round top can also be processed likewise. [Modification 4] The following describes the size and arrangement of pyramids on a sample for calibration. It is necessary that the size of a pyramid fits into an image at the time of high-power imaging in Step 206 in FIG. 1 and FIG. 11. In order to obtain a beam landing angle with higher accuracy, on the other hand, larger geometric deformation occurring when the beam landing angle changes, i.e., larger Δx and Δy shown in FIG. 9B, is more advantageous. With a pyramid produced by anisotropic etching, since Δx and Δy are proportional to the size thereof, a larger pyramid is more advantageous in order to gain accuracy. With respect to the arrangement of pyramids, in order to increase the number of beam landing angle measuring points within the viewing field, it is preferable that as many pyramids as possible be included at the time of low-power imaging in Step 203 in FIG. 1 and FIG. 11. For this purpose, a narrower interval of pyramids is preferable. However, when pyramids of the same size are arranged at equal intervals, it becomes difficult to identify each pyramid. Pyramids produced by anisotropic etching are highly uniform. However, particularly in the third embodiment shown in FIG. 12, using not only the same calibration wafer for each apparatus but also the same pyramid group on the same wafer is more advantageous so as to eliminate the difference in the electron beam landing angle between apparatuses. When the imaging range at the time of low-power imaging is about 10 μm and that at the time of high-power imaging is about 1 μm, it is preferable that the size of a pyramid be about 1 μm and the pitch be 1 μm and a little (1.2 μm in FIG. 16) and that a certain portion be arranged with a different pitch from that of the peripheral portions to facilitate identification of each pyramid. Alternatively, pyramids with a different size or shape from that of surrounding portions may be arranged instead of arranging a portion with a different pitch from that of surrounding portions. [Modification 5] The following describes the form of a sample for calibration and how it is used. As a sample for calibration, in addition to the form of a wafer, a sample may have a form in which a fragment 705 of a sample for beam landing angle calibration is attached to a holder 703 on the sample stage 15 as shown in FIG. 17A. Attaching a sample 706 for automatic astigmatic adjustment and a sample 707 for magnification ratio calibration on the sample stage in addition to the above-mentioned sample and periodically performing a series of the process steps for optical system adjustment shown in FIG. 17B are useful to constantly maintain the best conditions of the SEM. [Modification 6] The following describes a user interface for beam landing angle calibration. In order to automatically perform the flow chart shown in FIG. 1A, it is necessary to create in advance a file which specifies the size and arrangement of shot on a wafer, position of a pattern for calibration, acceleration voltage, beam current, magnification ratio, and other imaging conditions. FIGS. 18A and 18B show example GUI screens used to specify pyramids used for calibration. In FIG. 18A, Reference numeral 170 is a GUI screen. A low-power image 171 is acquired with an acceleration voltage specified in a box 172, a beam current specified in a box 173, a magnification ratio specified in a box 174, and the number of frame additions specified in a box 176. Reference numeral 175 is buttons for magnification ratio adjustment. Pyramids to be used are specified by use of a rectangle 177 or cross mark 178 on low-power images. In FIG. 18, three pyramids at the top left are specified by use of the crossing mark. High-power images of the pyramids specified here are acquired sequentially. Reference numeral 180 specifies the order of imaging, which is also a pyramid number. When imaging conditions and pyramids subjected to high-power imaging have been specified, the information is stored by use of a registration button 181. Although the example in FIG. 18A shows a configuration for setting all imaging conditions on the same screen, these setting items are not necessarily be displayed on the same screen but may be displayed on a plurality of screens. Reference numeral 182 in FIG. 18B is buttons for selecting to perform only measurement of the beam landing angle (Steps 201 to 208 in FIG. 1) or perform updating of beam control parameters in addition of the measurement of the beam landing angle (Steps 201 to 210 in FIG. 1). These buttons are displayed together with the GUI 170 in FIG. 18A on the screen. FIGS. 19A and 19B show example GUI screens for checking the results of beam landing angle calibration. In FIG. 19A, reference numeral 190 is a screen which allows a user to understand the entire status of the beam landing angle. An intersection of dashed lines 191 corresponds to the position of each pyramid, and an arrow 192 indicates a beam landing angle with vector representation (not all arrows are shown in the diagram). The length of an arrow indicates the magnitude of the beam landing angle, and the direction of the arrow indicates the direction of the beam landing angle. For example, when the beam landing angle in the y direction is 0 degree, the arrow is horizontal. The results before and after calibration can be compared by switching between buttons 193 and 194. Reference numeral 195 in FIG. 19B is an example table of beam landing angles before and after calibration, displayed on the screen. The pyramid number is 180 specified in FIG. 18A. In FIG. 18A, there are 64 pyramids and therefore the pyramid number ranges from 1 to 64 if all the pyramids are specified to be measured. The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiment is therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims rather than by the foregoing description and all changes which come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein.
abstract
A method for analyzing load run test results of a computer system includes providing a plurality of performance measure sets derived from a first series of load run tests performed over a same time period. Each set comprises a plurality of records and each record has a timestamp and a value. Performance measure records are sorted by value in ascending order, for each performance measure set. Plateau regions are identified in the sorted performance measure sets, for each performance measure. Performance measure records are sorted within each plateau region by time stamp in ascending order, for each performance measure. Overlapping time intervals covered by the plateau regions are identified for the plurality of performance measure sets, and averages of performance measures are computed over the overlapping time intervals.
description
The present invention claims priority under 35 U.S.C. §119 to Japanese Application No. 2014-099195 filed May 13, 2014, the entire content of which is incorporated herein by reference. Field of the Invention The present invention relates to an equivalent phantom and a method of evaluating the quality of the X-ray Talbot imaging apparatuses with the equivalent phantom. Description of Related Art An X-ray imaging apparatus is known, which is provided with a Talbot interferometer or a Talbot-Lau interferometer and an X-ray detector (Flat Panel Detector: FPD) and captures and visualizes phase shift of X-rays passing through an object (see, for example, Japanese Unexamined Patent Application Publication No. 2008-200359; WO2011/033798; K. Hibino et al, J. Opt. Soc. Am. A, Vol. 12, (1995) p. 761-768; A. Momose et al, J. Appl. Phys., Vol. 45, (2006) p. 5254-5262; and M. Takeda et al, J. Opt. Soc. Am, Vol. 72, No. 1, (1982) p. 156). The X-ray imaging apparatus provided with such a Talbot or Talbot-Lau interferometer is hereinafter referred to as X-ray Talbot imaging apparatus. The X-ray Talbot imaging apparatus includes a first grating (also known as a G1 grating) and a second grating (also known as a G2 grating), each consisting of slits at constant intervals, and an optional X-ray source grating, if a Talbot-Lau interferometer is included. An X-ray source emits X-rays to the first grating to produce an image of the first grating itself at a focused position downstream of the first grating in the direction of traveling X-rays. The second grating is disposed at this position in such a manner that the array of slits of the second grating is slightly slanted to the array of the slits of the first grating. This slanted disposition produces Moire fringes onto the second grating. These Moire fringes are superimposed to produce an image (Moire image, hereinafter). The image is detected and visualized by the X-ray detector disposed downstream of the second grating. An object placed between the X-ray source and the first grating distorts the Moire fringes. The X-ray Talbot imaging apparatus moves the first and second gratings relatively to each other to capture plural Moire images (Fringe scanning mode). The Moire images are analyzed through image processing to reconstruct images such as a differential phase image, an X-ray absorption image, and a small angle scattering image. Alternatively, a Moire image of an object captured with the X-ray Talbot imaging apparatus is processed through, for example, Fourier transformation to reconstruct an image such as a differential phase image (Fourier transformation method). Conventional images such as an X-ray absorption image (see FIG. 8A) have not been able to include a cartilage end (exactly, an “interface” between a cartilage and surrounding joint fluid in a joint, hereinafter). The present inventers have found that a differential phase image reconstructed from the Moire image as described above can include a cartilage end as shown with an arrow in FIG. 8B. The study by the inventors also shows that the differential phase image can include not only joint cartilages but also, for example, human soft tissues such as Achilles tendons and tumor masses. Such a differential phase image reconstructed from the Moire image captured with the X-ray Talbot imaging apparatus can include a joint cartilage end, only if each grating such as the first grating is precisely manufactured (or, within an allowable manufacturing tolerance), and if the X-ray Talbot imaging apparatus is well evaluated and controlled in quality such that MTFs (Modulation Transfer Function) of a X-ray source tube and the X-ray detector are in good conditions. If the quality is not properly evaluated or controlled, the differential phase image cannot include soft tissues such as a joint cartilage. The evaluation and control of quality as well as improvement in quality have been performed for individual components of the X-ray Talbot imaging apparatus. For example, an X-ray source tube has been evaluated through imaging of a test pattern to determine the diameter of a focal spot; an X-ray detector (FPD) through imaging of its profile and edges with X-rays to determine the MTF; or the manufacturing accuracy of the grating through comparison of Moire images at the start and the end of the operation of an X-ray Talbot imaging apparatus to check for any distortion in relative positions to adjust individual components, as described in WO 2008/102685. Even if each component were evaluated and/or adjusted, the component of the X-ray Talbot imaging apparatus would deteriorate in quality over time. Therefore, it is not always ensured that a differential phase image reconstructed from the Moire images captured with the X-ray Talbot imaging apparatus includes soft tissues of joint cartilages. In other words, to ensure capture of soft tissues of joint cartilages in a differential phase image reconstructed from the Moire images captured with the X-ray Talbot imaging apparatus, the quality of the X-ray Talbot imaging apparatus itself, that is, the overall quality of the X-ray Talbot imaging apparatus should be evaluated. The X-ray Talbot imaging apparatus, however, is still in the development phase and has no criteria required for evaluation. If the X-ray Talbot imaging apparatus is regarded as an imaging apparatus to draw an image of a soft tissue in a differential phase image, it is preferable in imaging an object that the quality of the X-ray Talbot imaging apparatus is defined as the image quality of the differential phase image reconstructed from the Moire image captured with the X-ray Talbot imaging apparatus. If the image quality is defined such that the image quality of human soft tissues can be estimated by imaging the equivalent phantom with the X-ray Talbot imaging apparatus, variations in quality between apparatuses and days can be controlled. In addition, different types of imaging apparatuses also can be compared in quality, which is practically advantageous. An object of the present invention, which has been accomplished to solve the above issues, is to provide an equivalent phantom for evaluating the quality of an X-ray Talbot imaging apparatus and a method of evaluating the quality of an X-ray Talbot imaging apparatus with the equivalent phantom. In order to realize the above object, according to a first aspect of the present invention, there is provided an equivalent phantom for an X-ray Talbot imaging apparatus which includes an X-ray source, a plurality of gratings and an X-ray detector, and which captures at least a Moire image from which a differential phase image of an object is generated, the equivalent phantom including: a first substance having a first refractive index; and a second substance having a second refractive index, wherein a ratio of the first refractive index to the second refractive index is equal to a ratio of a refractive index of a soft tissue to a refractive index of a surrounding tissue, and wherein at least a part of a shape of one of the first and second substances is equal to a shape of a corresponding portion of the soft tissue. According to a second aspect of the present invention, there is provided a method of evaluating quality of an X-ray Talbot imaging apparatus including: an X-ray source which emits X-rays; a plurality of gratings; and an X-ray detector which includes conversion elements which generate electric signals in accordance with the emitted X-rays, and which reads the electric signals generated at the conversion elements as a Moire image, the method including: emitting X-rays from the X-ray source to the equivalent phantom to capture the Moire image; generating, from the Moire image of the equivalent phantom, at least a differential phase image or an image based on the differential phase image; and evaluating the quality of the X-ray Talbot imaging apparatus with the generated differential phase image or the image based on the differential phase image. The equivalent phantom and the method of evaluating the quality of an X-ray Talbot imaging apparatus with the equivalent phantom according to the present invention can precisely create the shapes of soft tissues with a first substance of the equivalent phantom and the ratio of the refractive index of a soft tissue to the refractive index of the surrounding tissue with the first substance and a second substance of the equivalent phantom. The equivalent phantom is irradiated with X-rays to capture a Moire image, and then the Moire image is used to generate a differential phase image. The differential phase image includes one or more portions of the interfaces between the first and second substances of the equivalent phantom. From the portion (s), a profile of pixel values I (see FIG. 4 described later) can be produced in the differential phase image including an actual soft tissue and the surrounding tissue. From this profile, the maximum value, etc. of the pixel values I is calculated. The maximum value, etc. can be used as an index to accurately evaluate the image quality of the differential phase image; hence, the equivalent phantom according to the present invention can control, maintain, and improve the quality of the X-ray Talbot imaging apparatus. Hereinafter, an embodiment of the present invention will be described with reference to the drawings. Though various technical limitations which are preferable to perform the present invention are included in the after-mentioned embodiment, the scope of the invention is not limited to the following embodiment and the illustrated examples. Embodiments of the equivalent phantom and the method of evaluating the quality of the X-ray Talbot imaging apparatus with the equivalent phantom according to the present invention will now be described, with reference to the attached drawings. Although the X-ray Talbot imaging apparatus includes the Talbot-Lau interferometer that includes a radiation source grating (also referred to as a multi grating or a multi slit) in addition to a first grating and a second grating, the description is also applicable to any other X-ray Talbot imaging apparatus that includes no radiation source grating. Configuration of X-Ray Talbot Imaging Apparatus A configuration of the X-ray Talbot imaging apparatus of the embodiment will now be described. FIG. 1 is a schematic view illustrating the configuration of the X-ray Talbot imaging apparatus of the embodiment. In FIG. 1, the X-ray Talbot imaging apparatus 1 includes an external device 50, such as a computer connected via a network. In the embodiment, as shown in FIG. 1, the X-ray Talbot imaging apparatus 1 includes an X-ray generator 11, an X-ray source grating 12, an object stage 13, a first grating 14, a second grating 15, an X-ray detector 16, a pillar 17, a base 18, and a controller 19. Although the X-ray generator 11 provided at an upper portion of the X-ray Talbot imaging apparatus 1 emits X-rays downwardly to an object placed below as shown in FIG. 1, X-rays may be emitted in any directions, such as a horizontal direction. The present invention can also be applied to such a case. In the embodiment, the X-ray generator 11 includes an X-ray source 11a, which is, for example, a Coolidge X-ray source or a rotating anode X-ray source that have been widely used in the medical field. Alternatively, any other X-ray source may be used. The X-ray source grating 12 is provided below the X-ray source 11a. To prevent vibration of the X-ray generator 11 caused by, for example, the rotation of the anode of the X-ray source 11a from propagating to the X-ray source grating 12, the X-ray source grating 12 is attached not to the X-ray generator 11 but to a fixing member 12a attached to the base 18 on the pillar 17. In the embodiment, the X-ray source grating 12, the first and second gratings 14 and 15 have multiple slits S (see FIG. 2 described later) disposed therein at predetermined intervals in the y direction perpendicular to the z direction of emitted X-rays. This indicates that the slits S and the gratings (not shown) alternating with the slits S extend in the x direction. In the embodiment, the fixing member 12a described above includes a filter (or an additional filter) 112 for modifying the characteristics of X-rays passing through the X-ray source grating 12, an irradiation field stop 113 for narrowing the field irradiated with X-rays, and an irradiation field lamp 114 for emitting visible light instead of X-rays toward the object for positioning before the X-ray emission, if required, in addition to the X-ray source grating 12. The X-ray source grating 12, the filter 112, and the irradiation field stop 113 may be disposed in any order. In the embodiment, a first protective cover 120 is also disposed around the X-ray source grating 12, etc. for protection. The object stage 13 is disposed between the X-ray generator 11 and the first grating 14, to hold patient's joint or other portions to be imaged. For example, when the object is a joint of patient's hand (such as a MP joint) and its cartilage, the hand is placed on the object stage 13 as shown in FIG. 2, such that the hand is perpendicular to the direction of the slits S of the first grating 14 (i.e., the direction of the grating), then the object is imaged. FIG. 2 represents only the relative positional relationship among patient's hand, the object stage 13, the first grating 14, the slits S and so on, and does not represent the actual relative sizes of these components of the imaging apparatus. The hand is imaged in this way to capture a Moire image, from which an X-ray absorption image and a differential phase image are generated as shown in FIGS. 8A and 8B. The images reconstructed from the Moire image captured with the X-ray Talbot imaging apparatus 1, including the differential phase image, the X-ray absorption image, a small angle scattering image, and any other images generated from (i.e., obtained by processing) these images, are referred to as reconstructed images, hereinafter. The first and the second gratings 14 and 15 are disposed below the object stage 13. The second grating 15 is, as described above, disposed at a position downstream of the first grating 14 in the direction of emitted X-rays (i.e., z direction), on which the image of the first grating 14 irradiated with X-rays from the X-ray source 11a is produced at constant intervals. In addition, the second grating 15 is disposed such that the direction of the slits of the second grating is slightly slanted to the direction of the slits of the first grating. The X-ray detector 16 is disposed right below the second grating 15. Conversion elements (not shown) are disposed on the X-ray detector 16 to generate electric signals in accordance with the received X-rays. The X-ray detector 16 reads the electric signals from the conversion elements as image signals to capture the mentioned Moire image produced on the second grating 15. A second protective cover 130 is disposed around the first and second gratings 14 and 15, and the X-ray detector 16, for protecting them from collisions of patient's body such as a foot. When the X-ray Talbot imaging apparatus 1 is operated in a fringe scanning mode to capture two or more Moire images, the X-ray Talbot imaging apparatus 1 includes a transfer device (not shown) to move any one of the X-ray source grating 12, the first and second gratings 14 and 15, or both of the first and second gratings 14 and 15 in the y direction perpendicular to the direction (x direction) of the gratings and the slits provided thereon. The present invention can also be applied in the case where the X-ray Talbot imaging apparatus 1 is not operated in a fringe scanning mode to capture only one Moire image and then analyzes the Moire image through Fourier transformation by the controller 19 and/or the external device 50 to reconstruct an image such as a differential phase image. In the embodiment, the controller 19 includes a CPU (Central Processing Unit), ROM (Read Only Memory), RAM (Random Access Memory), and a computer connected to a bus via an input/output interface (all not shown). Alternatively, the controller 19 may be a dedicated controller. The controller 19 includes accessory devices and/or apparatuses (not shown), such as an input device and a display device. The controller 19 controls the X-ray Talbot imaging apparatus 1. For example, the controller 19 determines the voltage of the X-ray tube and/or an emission time of the X-ray generator 11. Alternatively, when the X-ray Talbot imaging apparatus 1 is operated in a fringe scanning mode to capture two or more Moire images as described above, the controller 19 controls the distance the rate, etc. of the movement of the first grating 14, etc. via the transfer device and performs processing such as adjustment of the temporal relationship between the X-ray emission from the X-ray generator 11 and the movement of the grating. In the embodiment, the controller 19 also functions as a generator that controls the X-ray generator 11, but it is also possible to provide a generator separately from the controller 19. In the embodiment, the controller 19 also functions as an image processor that generates the reconstructed images such as a differential phase image (see FIG. 8B, for example), an X-ray absorption image (see FIG. 8A, for example), and a small angle scattering image from one or more Moire images captured by the X-ray detector 16. The controller 19 may be of any type that can generate the differential phase image among these reconstructed images and/or a new image from the differential phase image, and not necessarily required to generate the X-ray absorption image and the small angle scattering image. Instead of generating the reconstructed images, such as a differential phase image, from a Moire image, the controller 19 of the X-ray Talbot imaging apparatus 1 may send data of the Moire image to the external device 50 (i.e., image processor) connected via the network, etc., and the external device 50 may generate a reconstructed image, such as a differential phase image, from the data. In FIG. 1, the reference numeral 51 is a display device of the external device 50, and the reference numeral 52 is an input device, such as a mouse and a keyboard, of the external device 50. Configuration of Equivalent Phantom The configuration of the equivalent phantom, which is used for defining the quality of the X-ray Talbot imaging apparatus 1, will now be described. Functions of the equivalent phantom according to the embodiment will also be described. During the maintenance work of the X-ray Talbot imaging apparatus 1, the equivalent phantom is placed instead of a human body of interest on the object stage 13. The equivalent phantom on the object stage 13 is irradiated with X-rays by predetermined number of times (the fringe scanning mode), or once (the Fourier transform method), from the X-ray source 11a of the X-ray generator 11 to capture one or more Moire image. The dose of X-rays emitted from the X-ray source 11a of the X-ray Talbot imaging apparatus 1 is varied with required doses for individual objects such as a joint cartilage of a hand or a knee or a soft tissue of an Achilles tendon. The equivalent phantom used for evaluation and/or control of the quality of the X-ray Talbot imaging apparatus 1 is irradiated with X-rays at the same dose as that emitted to an actual object to capture a Moire image. In the embodiment, the equivalent phantom includes a first substance and a second substance. The ratio of a refractive index of the first substance to that of the second substance is equalized to the ratio of a refractive index of a soft tissue (e.g., a cartilage of a finger) to its surrounding tissue (e.g., joint fluid around the cartilage). In addition, one corresponding to the soft tissue of the first and second substances has at least partly the same shape as that of a corresponding portion (that can be represented by a circular arc) of the soft tissue. Terms “same” and “be equalized” used in descriptions hereinafter (and in the claims) do not indicate that the equivalent phantom is formed such that the ratio of the refractive index of the first substance to that of the second substance is equal to that of the soft tissues of individual patients (e.g., a cartilage and its surrounding joint fluid of a phalangeal joint), and one of the first and second substances is formed to be at least partly the same in shape as that of the corresponding portion of the soft tissue of individual patients. Although the X-ray Talbot imaging apparatus 1 can be adjusted to properly image the soft tissue if the equivalent phantom were formed for individual objective soft tissues of every patient, it is not practical to adjust the X-ray Talbot imaging apparatus 1 so as to properly image individual soft tissues of every patient. In general, the equivalent phantom is tailored to the average transmittance, shape, and/or size of soft tissues (e.g., a phalangeal joint). The equivalent phantom may be tailored to adults, infants, or sex. Alternatively, the equivalent phantom may be tailored to individual imaging objects such as a phalangeal joint or a knee joint. Thus, the terms “same” and “be equalized” should not be understood to indicate that the equivalent phantom is formed to have the same transmittance, shape, and/or size as those of individual soft tissues of individual patients, but to indicate that the equivalent phantom is tailored to, for example, the average transmission. Several exemplary configurations of the embodiment will now be described below. Exemplary Configuration 1 FIGS. 3A to 3C are a front view, a side view, and a plan view, respectively of an exemplary configuration 1 of the equivalent phantom F. In FIGS. 3A to 3C, the most proximal plane (a side f3 in FIG. 3A, a side f4 in FIG. 3B, and a top lid f2 in FIG. 3C) is not depicted to visualize the inside of the equivalent phantom F. Arrows in FIGS. 3A and 3B indicate the direction of incident X-rays. The equivalent phantom F is placed on the object stage 13 of the X-ray Talbot imaging apparatus 1 such that a cylindrical member f8 extends in the x direction (see FIGS. 2 and 3C). Thus, the cylindrical member f8 placed on the object stage 13 extends parallel to or slightly slanted to the direction of the slits S of the grating. In the exemplary configuration 1, the equivalent phantom F includes a housing f1 including the top lid f2, the sides f3 to f6, and a bottom f7. The housing f1 accommodates the cylindrical member f8 and fluid f9 surrounding the cylindrical member f8. The cylindrical member f8 is formed of a substance corresponding to a cartilage of a phalangeal joint, and the fluid f9 is a substance corresponding to the joint fluid surrounding the cartilage. The top lid f2, the sides f3 to f6, and the bottom f7 of the housing f1 as well as the cylindrical members f8 are formed of an acrylic resin having high workability and high water and chemical resistances. Alternatively, they may be formed of any other material, for example, glass. The housing f1 and the cylindrical member f8 may be formed of different materials. The materials may be selected independently as required. For example, the housing f1 is formed of a plastic material and the cylindrical member f8 a glass material. Because the material does not require transparency but does require X-ray permeability, the housing f1 and the cylindrical member f8 may be translucent or opaque. Dents having the same diameter as the cylindrical members f8 are provided at predetermined positions on the sides f3 and f5. Each cylindrical member f8 is fitted into the dent to be held by the sides f3 and f5, such that the cylindrical member f8 is fixed in the housing f1. When the equivalent phantom F is irradiated with X-rays to capture a Moire image to generate a differential phase image (including a composite image obtained through processing of the differential phase image, hereinafter), the equivalent phantom F needs to reproduce an imaging condition that can image an interface between a soft tissue and the surrounding tissue (e.g., an interface between a cartilage and surrounding joint fluid in a joint (see an arrow shown in FIG. 8B)). Hence, in the exemplary configuration 1, the equivalent phantom F meets the following conditions. Condition 1 The ratio of the refractive index of the cylindrical member f8 of the first substance to the refractive index of the fluid f9 of the second substance should equal to the ratio of the refractive index of a soft tissue to the refractive index of the surrounding tissue to be imaged. As described above, the differential phase image generated from a Moire image captured with the X-ray Talbot imaging apparatus 1 can image the interface between a cartilage of finger and knee joints, an Achilles tendon, etc., or a soft tissue of a tumor mass, etc. and the surrounding tissue for the following reason. The pixel value of each pixel in the differential phase image is proportional to the ratio of the refractive indices of individual substances at the interface corresponding to the pixel between human tissues. The pixel values of pixels in a soft tissue (e.g., a cartilage) are substantially identical to each other because the refractive index is almost even over the soft tissue. Also, the pixel values of pixels in the surrounding tissue (e.g., joint fluid) of the soft tissue are substantially identical to each other because the refractive index is almost even over the surrounding tissue. The refractive index, however, changes at the interface between the soft tissue and the surrounding tissue; hence, the signals at the interface are depicted as shown in FIG. 8B in a differential phase image. When the pixel value I of a pixel at the interface between a cartilage and joint fluid in the differential phase image shown in FIG. 8B is plotted from the left to the right, the pixel value I significantly increases and then decreases at the interface as shown in the profile of the pixel values I shown in FIG. 4. The increase and decrease of the pixel values I correspond to the change in the refractive index at the interface. The vertical axis m in FIG. 4 indicates pixel numbers. Symbols lave, Imax, Imin and ΔI in FIG. 4 will be described later. The equivalent phantom F should be formed so as to reproduce the profile of the pixel values I as shown in FIG. 4, that is, a change in the refractive index to provide such a profile of the pixel values I. According to the study by the inventor, the only requirement for the equivalent phantom F is condition 1 described above, that is, the ratio of the refractive index of the cylindrical member f8 of the first substance to that of the fluid f9 of the second substance should be equal to the ratio of the refractive index of a soft tissue to that of the surrounding tissue (i.e., a cartilage and a joint fluid in the example above). Thus, the refractive indices n8 and n9 of the cylindrical member f8 and the fluid f9 are selected to meet the following expression:n8/n9=nc/nt  (1) where, n8, n9, nt, and nc are the refractive indices of the cylindrical member f8, the fluid f9, the soft tissue, and the surrounding tissue, respectively. When the cylindrical member f8 is composed of an acrylic resin as described above, the refractive index n8 of the cylindrical member f8 is equal to the refractive index of the acrylic resin. Although any other substance may be added to the cylindrical member f8 to modify the refractive index n8, it is not practical to prepare different cylindrical members f8 having properly adjusted refractive indices. Preferably, the refractive index n9 of the fluid f9 is modified to meet the expression (1). For example, variable amounts of sodium chloride may be dissolved in water to modify the specific gravity and concentration and thus the refractive index n9 of the fluid f9. One candidate solute in this case is dipotassium hydrogen phosphate (K2HPO4). Dipotassium hydrogen phosphate has a high solubility and can significantly modify the specific gravity and concentration of the fluid f9 (i.e., the specific gravity and concentration can be varied over wide range). Thus, dipotassium hydrogen phosphate preferably has an advantage of ready modification of the refractive index n9 of the fluid f9 to an appropriate value. When the equivalent phantom F is formed for imaging an interface between a cartilage and a meniscus of a knee joint, for example, in an exemplary configuration 3 that will be described later (see FIGS. 5A to 5C), the meniscus has greater specific gravity than the cartilage of the knee joint. Dissolution of significant amounts of dipotassium hydrogen phosphate in water as described above causes an increase in the specific gravity of the fluid f9 to exceed that of a columnar member f10 (corresponding to a cartilage of a knee joint), and the columnar member f10 and the fluid f9 can reproduce the ratio of the refractive index of the cartilage to that of the meniscus of the knee joint. Dipotassium hydrogen phosphate thus can readily and appropriately adjust the refractive index n9 of the fluid f9. The liquid may be a solvent, such as alcohol and oil, other than water, and the solvent and a solute may be selected from appropriate materials. Condition 2 The shape of the cylindrical member f8, which is composed of one of the first and second substances, should be at least partly identical the shape of the corresponding portion of a soft tissue. If the cylindrical member f8 has a shape with a large radius substantially different from a cartilage of a phalangeal joint having a curvature radius of approximately 1 cm, or is not cylindrical but rectangular such an equivalent phantom F cannot be used to reproduce the profile of the pixel values I shown in FIG. 4 described later, even if the ratio n8/n9 of the refractive indices of the cylindrical member f8 to the fluid f9 corresponding to a soft tissue and the surrounding tissue is identical to the ratio nc/nt of the actual soft tissue to the surrounding tissue as in condition 1 described above. In such a case, the shape (e.g., a curvature radius) of the cylindrical member f8 (formed of one of the first and second substances of the equivalent phantom F) should be identical to the shape of the corresponding portion of an actual soft tissue. The shape of the soft tissue is not the shape imaged in a differential phase image shown in FIG. 8B (the shape is viewed from the X-ray source 11a (i.e., from the upper area of FIG. 1)), but the shape of the soft tissue of the phalangeal joints placed on the object stage 13 and viewed from the proximal side to the distal side of the imaging apparatus 1 in FIG. 2 (i.e., the shape is viewed from the bottom to the top of FIG. 2, where the first, second, and third fingers are seen overlapped). For example, the cylindrical member f8 should be formed such that the shape (e.g., curvature radius) of part of a cartilage of a phalangeal joint that can be represented by a circular arc in the top view is identical to the shape (e.g., the curvature radius) of the circle of the cylindrical member f8 of the equivalent phantom F in a front view shown in FIG. 3A. A differential phase image generated through imaging of such an equivalent phantom F can reproduce the profile of the pixel values I (see FIG. 4) obtained from a differential phase image generated through imaging of an actual soft tissue. Condition 3 The dose of X-rays passing through the housing f1 of the equivalent phantom F containing the first substance and the second substance is equal to the dose of X-rays passing through an object containing soft tissues corresponding to the equivalent phantom F. As the thickness of the equivalent phantom F increases in the direction of incident X-rays (see D in FIG. 3A), the dose of the X-rays passing through the phantom decreases. A Moire image captured at a low dose of X-rays contains a high level of noise component, and the differential phase image (see ΔI in FIG. 4) from the Moire image also has a high level of noise component, which results in a differential phase image with a low S/N ratio. In the evaluation of the quality of the X-ray Talbot imaging apparatus 1 from quality of a differential phase image generated from a Moire image obtained through imaging of the equivalent phantom F, the S/N ratio of the differential phase image may be different from the S/N ratio of a differential phase image of an actual object, which precludes appropriate evaluation of the image quality of the differential phase image. This phenomenon occurs if the dose of X-rays passing through the equivalent phantom F is different from the dose of X-rays passing through an object of a human body (e.g., the base of a finger) corresponding to the equivalent phantom F. Preferably, the thickness D of the equivalent phantom F is adjusted to equalize the dose of X-rays passing through the housing f1 (of the equivalent phantom F) containing the first and second substances (the cylindrical member f8 and the fluid f9) irradiated with the X-rays from above as shown in FIGS. 3A and 3B to the dose of X-rays passing through an object (e.g., the base of a finger in the example above) containing a soft tissue corresponding to the equivalent phantom F. If only the distance from the bottom f7 to the top lid f2 of the housing f1 is varied to adjust the thickness D of the equivalent phantom F without varying the amount of the fluid f9 in the housing f1 (i.e., only the top lid f2 is raised or lowered with air flowing into the housing f1), no change occurs on the dose of X-rays passing through the equivalent phantom F. The amount of the fluid f9 contained in the housing f1 thus varies together with a change in the thickness D of the equivalent phantom F, to vary the dose of X-rays passing through the equivalent phantom F. According to the configuration described above, the S/N ratio in a differential phase image including the equivalent phantom F is equal to the S/N ratio in a differential phase image including an object of a human body corresponding to the equivalent phantom F. The S/N ratio in the differential phase image including the object of the human body corresponding to the equivalent phantom F can therefore be estimated from the S/N ratio in the differential phase image from the equivalent phantom F. The quality of the X-ray Talbot imaging apparatus 1 can also be appropriately determined from the image quality of the differential phase image including the equivalent phantom F. Preferably, the equivalent phantom F should be formed for individual soft tissues as described above for the following reason. The imaging conditions of soft tissues (e.g., a cartilage and a tendon at a phalangeal joint and a knee joint), such as the ratio of the refractive index of the soft tissue to that of the surrounding tissue (condition 1), the shape (condition 2), the dose of X-rays passing through these tissues (condition 3), are different from each other. This phenomenon is applicable to exemplary configurations 2 to 4 described below. The equivalent phantom F may further include another substance (not shown) in the housing f1 to reproduce not only a soft tissue and the surrounding tissue (e.g., a cartilage and joint fluid), but also, for example, a tissue supporting the soft tissue (e.g., a bone in a joint). For example, the equivalent phantom F may include three or more substances to reproduce a soft tissue, the surrounding tissue, and a tissue supporting the soft tissue (e.g., a cartilage, joint fluid, and a bone). Exemplary Configuration 2 If a cartilage has some deformation, such as a defect with a length of several millimeters or even about several hundred micro-meters, due to arthritis and rheumatoid arthritis, the defect can be captured in a differential phase image at adequate visibility. To adjust the X-ray Talbot imaging apparatus 1 so as to capture such a fine structure in a differential phase image, the equivalent phantom F can be composed of the cylindrical member f8 having a small diameter of several hundred micro-meters as shown in FIGS. 3A to 3C according to the size of the deformation, such as the defect, in the cartilage. If the cylindrical member f8 of the equivalent phantom F has a size as small as the size of the deformation of a soft tissue of a joint cartilage and if the quality of the X-ray Talbot imaging apparatus 1 is adjusted to appropriately capture the cylindrical member f8 of the equivalent phantom F in a differential phase image, the X-ray Talbot imaging apparatus 1 can capture not only a soft tissue but also a small deformation, such as a defect, in the soft tissue in the differential phase image. The study of the inventors indicates that the X-ray Talbot imaging apparatus 1 can capture a differential phase image of a joint cartilage including defects of about 0.5 mm, although the resolution depends on the performance of the X-ray source 11a, the gratings 14 and 15, and the X-ray detector 16 (see FIG. 1). Thus, the X-ray Talbot imaging apparatus 1 can be adjusted with the equivalent phantom F including the cylindrical member f8 having a small diameter of about 0.5 mm to capture a differential phase image of a joint cartilage including deformation of about 0.5 mm. If a part of the cylindrical member f8 of the equivalent phantom F has a shape equal to the shape of the corresponding portion of a soft tissue as described in condition 2 of the exemplary configuration 1, the curvature radius, for example, of the cylindrical member f8 of the equivalent phantom F should be equal to that of the corresponding portion of a soft tissue. In this case, the size, i.e., the diameter of the cylindrical member f8, is several centimeters, for example, about six centimeters at most. Exemplary Configuration 3 If a cartilage has a large curvature radius, like a knee joint cartilage, the cylindrical member f8 also has a significantly large diameter to reproduce the curvature radius, resulting in a large thickness D of the housing f1 of the equivalent phantom F. Such equivalent phantom F cannot reproduce the dose of X-rays passing through an object. In that case, the equivalent phantom F may include the columnar member f10, instead of the cylindrical member f8, as shown in FIGS. 5A to 5C. The columnar member f10 has a cylindrical surface with a curvature radius identical to that of a soft tissue. For example, the curvature radius of the cylindrical surface of the columnar member f10 is 20 to 100 mm for the equivalent phantom F corresponding to a relatively small joint such as an elbow joint, or 30 to 150 mm for the equivalent phantom F corresponding to a relatively large joint, such as a knee joint. Exemplary Configuration 4 In the exemplary configurations 1 to 3, the first substance corresponding to a soft tissue among the first and second substances configuring the equivalent phantom F is the cylindrical member f8 or the columnar member f10. Alternatively, the first substance corresponding to the soft tissue may be a spherical member f11, for example, as shown in FIGS. 6A to 6C. The equivalent phantom F configured as in the exemplary configurations 3 and 4 also have the same functions and advantage as that of the exemplary configurations 1 and 2. In the exemplary configuration 4, the housing f1 of the equivalent phantom F may contain spherical members f11 having different sizes (radii), for example, as shown in FIGS. 6A to 6C. In the exemplary configurations 1 to 3, the housing f1 (not shown) of the equivalent phantom F may contain the cylindrical member(s) f8 and the columnar member(s) f10 having different sizes (radii and curvature radii). The housing f1 of the equivalent phantom F may contain the cylindrical member f8, the columnar member f10, and the spherical member f11. This indicates that the equivalent phantom F may be formed by any appropriate combination of the exemplary configurations 1 to 4. Each exemplary configuration described above includes the cylindrical member f8 (exemplary configurations 1, 2), the columnar member f10 having the cylindrical surface (exemplary configuration 3), and the spherical member f11 (exemplary configuration 4), as substances corresponding to soft tissues. This configuration is based on the condition that a cartilage at a joint generally has a portion that can be represented by a circular arc. If the soft tissue is a tumor mass or the like, any shape other than a circular arc may represent the shape of the soft tissue. Thus, the substance corresponding to a soft tissue may have any shape other than a columnar member having a cylindrical member or a cylindrical surface, and a spherical member. For example, the substance may have any appropriate shape mimicking a defect of a cartilage. Evaluation of Quality of X-Ray Talbot Imaging Apparatus with Equivalent Phantom A method of evaluating the quality of the X-ray Talbot imaging apparatus 1 with the equivalent phantom F will now be described. The equivalent phantom F of the embodiment is irradiated with X-rays to capture a Moire image. The Moire image is reconstructed to a differential phase image (or, an image generated from a differential phase image, hereinafter). The generated differential phase image has a profile of a pixel values I at an interface between the first substance (e.g., the cylindrical member f8) of the equivalent phantom F corresponding to a soft tissue and the other substance (the fluid f9) as show in FIG. 4. As described above, in the equivalent phantom F of the embodiment, the ratio between the two refractive indices (e.g., of the cylindrical member f8 and the fluid f9) is equal to that between a soft tissue (e.g., a cartilage) and the surrounding tissue (e.g., joint fluid and meniscus) and the shape of one of the two substance corresponding to a soft tissue (e.g., the cylindrical member f8) is at least partly equal to that of the soft tissue. The profile of a pixel values I in this case is equal to or very similar to that at the interface between a cartilage and joint fluid in a differential phase image from an actual human joint shown in FIG. 8B, for example. The profile of the pixel values I (shown in FIG. 4) obtained through capturing the equivalent phantom F, therefore, can be used to evaluate the image quality of a differential phase image, and then the image quality can be used to evaluate the quality of the X-ray Talbot imaging apparatus 1. Specifically, if the signal on the pixel value clearly appears at a portion corresponding to the interface between a cartilage and joint fluid in the differential phase image (see the arrow shown in FIG. 8B), the differential phase image have high visibility. The signal indicating the maximum pixel value I is represented by the Imax in FIG. 4. In FIG. 4, as the difference increases between the maximum value Imax and the mean value lave (or the minimum value Imin) of the pixel values I, the signal indicating the interface between the soft tissue and the surrounding tissue in the differential phase image increases, resulting in high image quality. This difference between the maximum value Imax and the mean value lave (or the minimum value Imin) of the pixel values I in FIG. 4 may be used as an index for evaluation of the image quality of the differential phase image, i.e., the quality of the X-ray Talbot imaging apparatus 1. Even if the differential phase image has a large maximum value Imax (Imax−Iave, or Imax−Imin) at the interface in the image between a soft tissue and the surrounding tissue but has a low S/N ratio at the interface, the maximum value is indistinctive due to a high noise level ΔI. Therefore, (Imax−Iave)/ΔI (see FIG. 4), which is the ΔI and the S/N ratio showing a noise level in the pixel values I in the profile, may be used as an index for evaluation of the image quality of the differential phase image, i.e., the quality of the X-ray Talbot imaging apparatus 1. In FIG. 4, the noise ΔI is defined as a difference between the maximum value and the minimum value of the pixel values I in a limited area. Alternatively, a standard deviation Istd in a limited area in the profile or a standard deviation Istd′ in a limited area in the image may be used for the ΔI. In this case, the X-ray Talbot imaging apparatus 1 may be evaluated from a magnitude of the S/N ratio (Imax−Iave)/ΔI (or (Imax−Iave)/Istd, hereinafter) and a noise ΔI in the image area of the differential phase image where X-rays pass through one of the first and second substances in the equivalent phantom F (e.g., pass through the cylindrical member f8 and the columnar member f10). Also, the S/N ratio and the noise ΔI at an area in an image corresponding to the first and second substances of the equivalent phantom F may be used to evaluate the X-ray Talbot imaging apparatus 1. The maximum value Imax (e.g., Imax−Iave), the noise ΔI, and the S/N ratio of the pixel values I at an interface may be listed in a control table, for example, shown in FIG. 7. The “Cylindrical member” of the equivalent phantom F indicates the shape of the exemplary configuration 1. The quality of the X-ray Talbot imaging apparatus 1 may be evaluated by comparison of the latest evaluated results with previous evaluated results in the control table (see FIG. 7). The same equivalent phantom F as that used in the latest evaluation is irradiated with X-rays under the same conditions to capture a Moire image. The Moire image is used to generate a differential phase image and a profile of pixel values I at an interface as shown in FIG. 4. The maximum value Imax, the noise ΔI, and the S/N ratio (Imax−Iave)/ΔI of the pixel values I at the interface are calculated. These calculated values (i.e., the latest evaluated results) are compared with the previous evaluated results in the control table to check for quality degradation of the X-ray Talbot imaging apparatus 1. If the quality of the X-ray Talbot imaging apparatus 1 is regarded as deteriorated, any necessary actions will be taken, such as replacement of the X-ray source 11a, the gratings 12, 14, 15, and/or the X-ray detector 16 (see FIG. 1). In addition to the control, maintenance, or improvement of the quality of the X-ray Talbot imaging apparatus 1, an equivalent phantom F including a cylindrical member f8 having a small diameter as shown in the exemplary configuration 2 can be used for an enhancement of the performance of the X-ray Talbot imaging apparatus 1 to capture a small deformation, for example, of defect in a cartilage. As described above, the equivalent phantom F of the embodiment can be used for control, maintenance, or improvement of the quality, and/or enhancement of the performance, of the X-ray Talbot imaging apparatus 1. Advantageous Effect According to the embodiments, the equivalent phantom F includes the first and second substances (i.e., a combination of the cylindrical member f8, columnar member f10, or the spherical member f11 with the fluid f9); the ratio between the refractive indices of the first and second substances is equal to that between a soft tissue such as a cartilage and the surrounding tissue (e.g., joint fluid, meniscus) at a joint; and, the shape of the substance for the soft tissue (i.e., the substance for the cylindrical member f8, the columnar member f10, or the spherical member f11) is equal at least partly to the corresponding portion of the soft tissue. Such equivalent phantom F can properly reproduce the shape of the soft tissue with the substances (the substance for cylindrical member f8, the columnar member f10, or the spherical member f11), as well as the ratio of the refractive index of the soft tissue to that of the surrounding tissue with the first substance, i.e., the cylindrical member f8, columnar member f10, and spherical member f11, and the second substance, i.e., the fluid f9. The equivalent phantom F is irradiated with X-rays to capture a Moire image, from which a differential phase image is generated. The differential phase image has an area of the first and second substances of the equivalent phantom F. The area can reproduce a profile (see FIG. 4) of pixel values I in a differential phase image of an actual soft tissue and the surrounding tissue. In conclusion, the equivalent phantom F according to the embodiment can be used for control, maintenance, and improvement of the quality of, and for enhancement of performance of the X-ray Talbot imaging apparatus 1. It should be understood that the exemplary configurations described above should not be construed to limit the present invention and any variation of the exemplary configurations may be made without departing from the scope of the invention. This U.S. patent application claims priority to Japanese patent application No. 2014-099195 filed on May 13, 2014, the entire contents of which are incorporated by reference herein for correction of incorrect translation.
abstract
When carrying the large structure out through an aperture portion provided at a roof of a reactor building, a chamber for covering the aperture is provided at the roof so that work of providing the chamber for covering the aperture portion can be performed independent of other work performed in the reactor building. At least a part of the work of using a roof crane, and at least a part of the work of handling fuel assemblies in the reactor building can be performed in parallel with forming the roof aperture.
053176170
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to the figures, end and side views of the apparatus of the present invention, indicated generally at reference numeral 20, mounted over the image intensifier tube 302 of a radiation therapy simulator (RTS), shown in shadow lines at reference numeral 300, and below the x-ray table 304 of RTS, are shown in FIGS. 1 and 2, respectively. RTS 300 is any conventional simulator such as is known in the art and in common usage such as those manufactured by Odelft, Philips, Siemens, and Cascade X-Ray; particular success has been enjoyed in mounting the apparatus 20 to the Odelft and Philips simulators because of the interaction of the control circuitry of the apparatus 20 and the control circuitry of the RTS as described below. Portions of a generalized RTS 300 are shown in FIGS. 1 and 2, including, in addition to the image intensifier tube 302 and x-ray table 304, a radiation source 306, gantry 308, and fixture 310, the fixture 310 being integral with gantry 308 and supporting and housing the image intensifier tube 302 and, in the embodiment shown, the apparatus 20. It will be recognized by those skilled in the art that both radiation source 306 and image intensifier tube 302, having the apparatus 20 mounted thereto, rotate around the x-ray table 304 as much as 360.degree., e.g., in the direction of the arrows 312 in FIG. 2 (in and out of the plane of the paper with reference to FIG. 1). Fixture 310, as well as the portions of RTS 300 mounted and/or housed therein, also moves up and down (arrow 314), in and out (arrow 316), and side to side (arrow 318) under operator control with motors and controls which are not considered to constitute part of the present invention and therefore are not shown. Referring now to FIGS. 3 and 4, which are both top views of the apparatus 20 from below the x-ray table 304 shown in FIGS. 1 and 2 (see the arrows 3--3 in FIG. 1), the apparatus 20 is shown in more detail. Specifically, there is shown a radiolucent cover 22 to which a film cassette 24 is mounted on a set of concentric rings, or turntable, 26, the cassette holder 24 having been removed from the turntable 26 to show the details thereof in FIG. 4. Film cassette holder 24 is configured so as to slidably receive and retain an x-ray film cartridge and an x-ray grid for use of the RTS as a simulator and is shown in more detail in FIGS. 6, 7, and 8 and described infra in connection with the description of those figures. As is apparent from a comparison of FIGS. 1 and 2, film cassette holder 24 is mounted on turntable 26 to facilitate insertion of a film cassette into the holder 24 and to provide for acquisition of x-ray images with the long axis of the film either parallel or perpendicular to the long axis of table 304; the long axis of the x-ray table 304 runs right and left in FIG. 1 and in and out of the plane of the paper in FIG. 2 such that access to one open side 28 of film cassette holder 24 is limited by the length of x-ray table 304 when in the position shown in FIGS. 1 and 2 and by the gantry 308 on the other open side 28. Consequently, cassette holder 24 is rotated approximately 90.degree. to the position shown in FIG. 3 either for exposure and/or so that loading and unloading of the film can be accomplished from the side of x-ray table 304, i.e., in and out of the plane of the paper in FIG. 1 and from the right or left of FIG. 2. Turntable 26 is constructed of two concentric rings as follows. The outer concentric ring 30 is affixed to the film cassette holder 24, and is formed of two portions of different thicknesses (best illustrated in FIGS. 6-8), an outer portion 30' is thicker and is the portion through which the connection to film cassette holder 24 is made. The inner portion 30" is of lesser dimension and is received under the outer portion 32" of lesser dimension of the inner ring 32, the thicker, inner portion 32' being affixed to the cover 22 of apparatus 20. In a presently preferred embodiment, one or both of outer ring 30 and inner ring 32 are comprised of a material such as DELRIN.RTM. or aluminum, the former being preferred for its almost "self-lubricating" character. As can be seen in FIGS. 3 and 4, the concentric rings 30 and 32 comprising turntable 26 are preferably split; in other words, they do not form all 360.degree. of the circumference of the circle. Being made of radio-opaque materials, the rings 30 and 32 are split to provide an opening through the turntable 26 through which the width-collimated fan beam produced by the radiation source 306 passes without being attenuated. As also shown in FIGS. 3 and 4, turntable 26 is provided with means for limiting rotation of film cassette 24 and insuring the holding of the proper alignment of the film cartridge contained therein for acquisition of images with the long axis of cartridge 96 either parallel or perpendicular to the long axis of the table 304 and throughout the range of movement of fixture 310 and/or rotation of gantry 308. In the presently preferred embodiment shown in these figures, this rotation limiting and alignment means takes the form of the detents 34 formed in outer ring 30 and the stop 36 which is integrally mounted to that ring. The detents 34 and stop 36 function as follows. When the cassette holder 24 is in the position shown in FIG. 3 (and also in FIGS. 6 and 7), i.e., in the position in which access to the open sides 28 is not limited by x-ray table 304 for loading and unloading a film cassette 96, the stop 36 has been positioned so as to engage a similar stop 38 mounted in a block 40 which is integral with cover 22, e.g., in the position shown in FIG. 4. As is also apparent from FIG. 4, the balls 42 which are biased against the outside surface 44 of outer ring 30 by the springs 46 that are contained in similar blocks 40 engage the detents 34 formed in the outer surface of outer ring 30. Upon rotation of film cassette holder 24 by 90.degree. in the direction of arrow 48 on FIG. 4, e.g., to the position shown in FIG. 1 in which access to the open sides 28 is obstructed by x-ray table 304, the stop 50 is engaged by stop 36 and the balls 42 engage the detents 34 located at 90.degree. around the circle formed by ring 30 to limit rotation of the film holder 24 and hold the holder 24 in that position during gantry rotation or positioning of fixture 310. Precise alignment of film holder 24 in that position is obtained by backing the stop 50 in and out of block 40 on the threads formed in each of the block and stop. The amount of bias applied to the balls 42 is adjusted by use of an Allen wrench (not shown) in a slot formed in the threaded keepers 52 which engage the threads formed in blocks 40. By reference to FIGS. 1-2 and 5-7, it can be seen that the cover 22 closes a tray 54 to form a substantially rectangular, closed box with a height dimension substantially less than the width and depth dimensions for fitting to simulator 300 over the image intensifier tube 302 and under x-ray table 304. An open circle 56 is cut in tray 54, e.g., in the bottom of the box, which fits over the image intensifier tube 302 of RTS 300, and is sized so as to fit over the housing of the tube 302 for attachment to RTS 300 by screws (not shown) or an adapter ring (not shown) which attaches to the housing by screws (housings are generally standardized in their outside diameter to either 9, 10, 12, or 14 inches in diameter, and in the preferred embodiment, opening 56 is 12 inches in diameter). Mounted to tray 54 is a means for selectively moving the detector array 58 of an apparatus for acquiring computed tomographic (CT) scans from a first position outside of the field of radiation produced by the radiation source 306 of RTS 300 for use of RTS 300 for treatment planning purposes, e.g., for plain film and fluoroscopic acquisition modes, to a second position in the tray within the field of radiation produced by source 306 for use of RTS 300 as a CT scanner. Positioning means, in the preferred embodiment shown in the figures, takes the form of a caddy 60 which is slidable along the nylon ribs, or tracks, 62 mounted to the bottom of tray 54 for slidably supporting the caddy 60 and which is adapted for mounting the detector array 58 thereto. Although referred to herein as the detector array 58, those skilled in the art will recognize from this disclosure that the detector array is actually indicated generally at reference numeral 58, the detector elements themselves being contained within an outer cover with a slit 64 formed therein through which the width-collimated fan beam produced by the radiation source 306 passes so as to impinge upon the detector elements. Such detector arrays are available from, for instance, Thomson Electron Tubes Division, CSF Thomson et Cie (Paris, France), and output readings that are proportional to the degree of attenuation of the field by a target object positioned on the x-ray table 304 are sent to the signal processing components of the CTS (not shown) through a ribbon connector 66 which flexes as the detector array 58 slides from first to second position. Apparatus and a method for back projecting this attenuation data to compute CT scans is described in more detail in the above-described application Ser. Nos. 07/718,544 and 07/850,346, both of which are hereby incorporated into the present application by this specific reference thereto. The detector array 58 is shown in the first position in shadow lines in FIG. 5 and is shown in the second position in FIGS. 5-7, and by comparison of FIGS. 3-4 to FIG. 5, it can be seen that when the detector array 58 is in the second position, the slit 64 therein is aligned with the above-described opening in the rings 30 and 32 comprising turntable 26 for passage of the x-ray beam therethrough. In the preferred embodiment shown in the figures, the detector positioning means also comprises an electrically-controlled drive means for selectively sliding the caddy 60, having the detector array 58 mounted thereto, from the first to the second positions. This drive means preferably takes the form of an electric motor 68 mounted to tray 54 for turning, through transmission 71, the drive shaft 70, which rotation is translated through the right angle drives 72 to turn the screw shafts 74. The caddy 60 is provided with integral traveling blocks 76 at each end, so named because of the threaded bore 78 through each block which causes the caddy to slide along the rails 62 as the screw shafts 74 turn under the influence of motor 68. Flex couplings 80 are provided at intervals along the drive and shafts 70 and 74 as needed to minimize wear on the transmission 71, right angle drives 72, and traveling blocks 76, and the ends of shafts 74 are journaled in the bearings 82. The distance the caddy 60 travels from first position to second position or back to the first position is limited by the limit switches 84 integral with the plates 86 that are slidably mounted to bearing 82. When the activator 88 of one of the limit switches 84 is contacted by the leading surface of traveling block 76, the switch causes motor 68 to turn off. The details of the construction of film cassette holder 24 are also apparent from FIGS. 3 and 7-8. Cassette holder 24 is comprised of a radiolucent plate 90 to which side rails 92 are mounted. The inside edge of side rails 92 is provided with an overhanging portion 94 which, along with the lower portion of the inside edge of side rail 92 and the top surface of plate 90, serves to retain a film cassette, shown in shadow lines at reference numeral 96, in place in holder 24. A slot (not numbered) is formed in the overhang 94 of side rail 92 for receiving a grid 98 for preventing scatter of the x-ray beam as is known in the art. As best shown in FIG. 3, the side rails 92 and plate 90 are longer than the dimension of the standard size film cassette 96 so as to facilitate insertion of the film cassette 96 and grid 98 into their respective locations in cassette holder 24 from the open ends 28 thereof. Specifically, the plate 90 forms an edge on which the cassette 96 is rested and the inside corners of side rails 92 are beveled as at 100 so that the cassette 96 or grid 98 is more easily squared up and slid into holder 24. The side rails 92 are also provided with means for retaining the cassette 96 and grid 98 in place in their respective locations in holder 24 as the image intensifier tube 302, having the apparatus 20 mounted thereto, is rotated around the x-ray table 304 in the form of the spring-loaded pins 102 which protrude through the inside edges of side rails 92 at the open ends of holder 24. As best shown in FIG. 8, each of the pins 102 is biased in that direction by the spring 104 confined in the bore 106 in the side rail 92 by the threaded insert 108, the shoulder formed by the enlarged portion 110 of the pin 102 bearing against the shoulder formed by the change in internal diameter of bore 106. The knob 112 formed integrally with the shaft 114 of pin 102 facilitates the drawing of the pin 102 back into the bore 106 for insertion or withdrawal of the cassette 96 or grid 98. The apparatus 20 of the present invention additionally comprises means for detecting a change in the height dimension of the closed box formed by the tray 54 and cover 22 or a change in dimension of a portion of the box (i.e., if one corner of the box encounters an object) such that the flat surfaces of tray 54 and cover 22 are not positioned in substantially paralled planes. In a particularly preferred embodiment, circuitry is also provided for stopping operation of the RTS 300 when the change detecting means is activated, e.g., for stopping gantry rotation or movement of the fixture 310 of the RTS 300. The height dimension of the box, or in a portion of the box, formed by tray 54 and cover 22 can be changed by, for instance, an encounter between the box and an object during rotation of the radiation source 306 and image intensifier tube 302, having the apparatus 20 mounted thereto or by the raising of the fixture 310 until the film cassette holder 24 encounters the underside of the table 310. Not only does the cessation of data collection from array 54, and the stopping of gantry rotation, prevent inaccurate readings from the array 58 resulting from the change in the distance from radiation source 306 to the detector array 58, but so also is damage to the apparatus 20, the CTS 300, or the encountered object avoided. As shown best in FIGS. 9-11, the drive means activator preferably takes the form of an apparatus for producing an output signal in the event of a change in the relative positions of cover 22 and tray 54 which is conveniently referred to as a defeat switch, and is indicated generally at reference numeral 116. Defeat switch 116 is comprised of an elongate rod 118 having a cap 120 mounted to one end thereof and a foot 122 integrally mounted to the other end, the cap 120 comprising a surface against which the under side of cover 22 bears. Cap 120 is preferably mounted to rod 118 through a ball and socket joint to allow relative motion between cap 120 and rod 118 in all possible directions, the joint being comprised of a ball 124 which is threadably received on rod 118 and which is confined within the socket 126 formed in cap 120. A bearing surface 128 is removably mounted to the cap 120 to close the socket 126 by screws 130. Note that cover 22 is secured to the bearing surface 128 by screws 131 (see FIGS. 3-4) and the relationship between the cover 22 and cap 120 is described in terms of the cover 22 "bearing" against cap 120 because the apparatus may be rotated through a 360.degree. arc during either film image acquisition and/or CT scanning. Consequently, the cover 22 may actually be located under tray 54 at some point during the intended use of apparatus 20 and therefore must be secured to tray 54 to prevent the cover from falling off of tray 54. The elongate rod 118 passes through a bore (not numbered) in a block 132 which is affixed to tray 54, and is preferably received through that bore in a second partial ball and socket type joint formed by the mounting of a ball 134 in the well 136 that is formed in block 132 and is contiguous with the bore through the block 132. Means, in the form of the spring 138 captured between the two cup washers 140 on rod 118, is provided for biasing cap 120 away from block 132, the tendency of cap 120 to move away from block 132 being limited by engagement of the underside of block 132 by the top surface of foot 122. A nut 142 is threaded onto the threads of rod 118 below ball 124 for adjusting the amount of bias between cap 120 and block 132. A switch 144 is positioned at a fixed position relative to tray 54 by the screws 146 on the other side of foot 122 from block 132 whereby movement of cap 126 towards block 132, e.g., against the bias applied by spring 138, causes the underside of foot 122 to bear against the contact 148 of switch 144 to produce an output signal indicating a change in the relative positions of cover 22 and tray 54. For convenience and interchangeability, switch 144 is mounted to a shim 150 (best shown in FIG. 10) by screws 146, the shim 150 being mounted to L-bracket 152, and L-bracket 152 is affixed to tray 54 by screws 153 so that the entire defeat switch 116 is conveniently removed from tray 54. Mounting of the defeat switch 116 on L-bracket 152 facilitates the mounting of a switch 116 at each of the four corners of the box formed by tray 54 and cover 22, with cover 22 being secured to the bearing surfaces 128 of each cap 120, as best shown in FIGS. 5 and 11. By reference to FIG. 11, it can also be seen that the foot 122 of each switch 116 is rectangularly-shaped and that the long axis of the foot 122 is oriented in substantially the same direction as the long axis of the rectangularly-shaped box. By elongating the foot 122 in the direction of the long axis of the box, the contact 148 of switch 144 is contacted even in the event of the relatively small change in the relative positions of tray 54 and cover 22, which results from, or is indicative of, a relatively large change in the relative positions of tray 54 and cover 22 at a point remote from the point at which cover 22 bears against cap 120. Another benefit of this elongation of foot 122 is that the bias applied to each defeat switch 116 by spring 138 need not be adjusted to compensate for the unsprung weight of the components of apparatus 20 throughout the range of movement of the defeat switch 116 as the gantry 308 of RTS 300 rotates around table 304 and the switch is activated by the same amount of force resulting from an object bearing against cover 22 regardless of whether cover 22 encounters an object along the long or the short axis of the box. In other words, although the force vectors having components in a direction opposing the bias applied to the cap 120 of defeat switch 116 by spring 138 changes during gantry rotation, no compensation for that change need be made to prevent contact between foot 122 and the contact 148 of switch 144 because the shape of foot 122 effectively compensates for the different forces applied to the defeat switch 116 along different vectors by the changing weight of cover 22 or by an encountered object during rotation (or during movement of the fixture 310 as described above). Although described in terms of the preferred embodiment shown in the figures, the present invention is not so limited. Those skilled in the art who have the benefit of this disclosure will recognize that many changes can be made to the component parts described herein without changing the manner in which these parts function to achieve their intended result. For instance, the cap 120 of defeat switch 116 can be biased away from block 132 by a magnetic (or electromagnetic) field rather than with the spring 138. The electrically-controlled drive means described herein for positioning the detector array 58 in first and second positions can be belt driven (instead of using the shafts 70 and 74 and bearings 82) or a pneumatic cylinder under control of a switch can be utilized rather than the motor 68. Further, it will be apparent that the ability of defeat switch 116 to signal a change in the relative positions of tray 54 and cover 22 is not limited to the specific application described herein and that defeat switch 116 can be used for that purpose in connection with any first and second member, and is especially useful when one or both of the first and second members is elongated in a direction in which the long axis of the foot 132 can be oriented or when one or the other of the members is exposed to different degrees of stress in a direction along which the long axis of the foot can be oriented. All such changes are intended to fall within the spirit and scope of the following claims.
054426677
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to a reactor pressure vessel for a nuclear reactor. Such a reactor pressure vessel typically includes a lower part which is constructed as a dome, and an adjoining upper part which is constructed cylindrically. As a rule, the reactor pressure vessel is restrained by so-called fastening claws. Today, the raw material used is typically ferritic steel material which was developed for that special application and is known by the designation 20MnMoNi55. In operation, the reactor pressure vessel is at a high internal pressure, which can amount to as much as approximately 170 bar. In order to withstand that pressure, a lower part is constructed with a wall thickness of approximately 15 cm and an upper part with a wall thickness of approximately 25 cm. In the nuclear power plant, the reactor pressure vessel is surrounded by a reactor protection building (containment), which is substantially formed of concrete. Heretofore, the conventional thinking on safety considerations assumed that there was no need to fear reactor pressure vessel failure, because of the materials and dimensions selected. However, more-intensive safety studies of nuclear energy utilization have also considered the possibility, however unlikely, of a "failure" of a reactor pressure vessel. In particular, one new reactor type, the European Pressurized water Reactor or EPR, is based on such considerations. In contrast to the earlier philosophy of safety, in that reactor type the possibility of a core meltdown accident, a so-called MCA (Maximum Credible Accident), is not rejected out of hand. Some thought has also been directed to whether steam explosions might not occur during a core meltdown, and whether in such a critical phase, suddenly produced water vapor might not cause the pressure vessel to burst. There is no question that control must be gained over such accidents, however theoretical they may be. The point of departure of theoretical studies is this: in an overload of a thermal nature (overheating) or of a mechanical nature (overpressure), and in particular in the event of a core meltdown accident, a crack that is propagated at high speed could occur locally in the homogeneous wall of the reactor pressure vessel. The crack can then spread, out of control, to relatively large regions. The possibility exists that a relatively large region could break up, for instance the entire dome in the lower part. Something similar could happen if the lower part of the reactor pressure vessel fills with core melt, given the high internal pressure. If a part that large were to break up, a reaction surge would occur within far less then one second, and in that surge the upper part of the reactor pressure vessel could be torn from the fastening claws and be spun like a rocket upward against the inner wall of the containment. The containment must withstand such an impact. The containment must also offer sufficient resistance if the reactor pressure vessel "explodes", or in other words breaks apart into a number of relatively large or small pieces. Even with relatively heavy concrete construction, it is difficult to absorb such explosion-like effects. SUMMARY OF THE INVENTION It is accordingly an object of the invention to provide a reactor pressure vessel for a nuclear reactor with limited failure zones, which overcomes the hereinafore-mentioned disadvantages of the heretofore-known devices of this general type and which has further increased safety. In other words, the safety of the nuclear power plant should be assured, even in the unlikely event of a crack in the vessel wall due to thermal and/or mechanical overload (overheating, overpressure). This is intended to apply especially in the event of a core meltdown in the reactor pressure vessel. The invention is based on the concept that a spontaneous global failure of the reactor pressure vessel must be averted or limited. This refers in particular to a breakup of a relatively large part, for instance of the entire lower dome-shaped part, in the vessel wall. If this is successfully averted or limited, then in the event of a credible accident, a reactor surge high enough to be uncontrollable cannot occur. In other words, in that case the forces of reaction acting upon the restraint or fastening (fastening claws) of the reactor pressure vessel can be controlled constructively. The invention is also based on the concept that the reaction surge can be kept small by lengthening the time over which a cracking event may proceed, however unlikely. With the foregoing and other objects in view there is provided, in accordance with the invention, a reactor pressure vessel for a nuclear reactor, comprising an upper part and a lower part, at least the lower part having first subregions with a lesser wall thickness and second subregions with a greater wall thickness, the lesser wall thickness being selected for a rated operation. In accordance with another feature of the invention, the first subregions with the lesser wall thickness are recesses in the outer surface of at least the lower part, which is a comparatively simple construction structurally. Experience shows that the wall of a reactor vessel can be machined more easily from outside than from inside. Moreover and especially significantly, the ease of checking the reactor pressure vessel from outside is assured, because then the inside region can have smooth surfaces. Moreover, fixtures on the inside for draining a hypothetically occurring core melt can then be dispensed with. In accordance with a further feature of the invention, the recesses are rounded on the inside and in particular are dome-shaped. In accordance with an added feature of the invention, the recesses have a symmetrically configuration, when viewed in the direction toward the outer surface of the reactor pressure vessel, which is also advantageous for production purposes. Accordingly they may be round, elliptical or hexagonal in form, for instance. With respect to the number and size of the recesses, there is a wide range of variation, depending on the intended application and the credible accident. However, in accordance with an additional feature of the invention, for production purposes, all of the subregions or recesses are of the same size. In accordance with yet another feature of the invention, with respect to number, observations have shown that depending on the size, between 8 and 30 first subregions or recesses in the lower part of the reactor pressure vessel are adequate. In accordance with yet a further feature of the invention, the first subregions and[in particular the recesses on the outer surface are distributed symmetrically. In accordance with yet an added feature of the invention, in a reactor pressure vessel, the upper part is preferably cylindrically constructed and the first subregions having the lesser wall thickness are provided in the upper part of the reactor pressure vessel. In accordance with yet an additional feature of the invention, the upper and lower parts are formed of a ferritic steel wall material, the lesser wall thickness in the first subregions is approximately 15 cm, and the greater wall thickness in the second subregions is approximately 25 cm. In accordance with again another feature of the invention, each of the recesses has a diameter being approximately in a range of from 0.5 to 2 m. In accordance with a concomitant feature of the invention, the upper and lower parts have an outer surface, and there is provided a ring on the outer surface between the upper and lower parts. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a reactor pressure vessel with limited failure zones, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings.
abstract
Devices and methods for electrochemical phase transfer utilize at least one electrode formed from either glassy carbon or a carbon and polymer composite. The device includes a device housing defining an inlet port (42), an outlet port (44) and an elongate fluid passageway (36) extending therebetween. A capture electrode (12) and a counter electrode are positioned within said housing such that the fluid passageway extends between the capture and counter electrodes.
description
While the present invention is described below with reference to a syringe shield, a practitioner in the art will recognize the principles of the present invention are applicable elsewhere. FIG. 1 illustrates the cross-section of a double-ended syringe shield apparatus 10 in the preferred embodiment of the invention. The double-ended syringe shield is used to transport a hypodermic syringe 25 with a radioactive pharmaceutical 26 (FIG. 8). The first body 11 releasably communicates with the second body 12 and the first body 11 releasably communicates with the third body 13. The third body 13 releasably communicates with the nut 15. The hypodermic syringe and a one-piece insert are positioned inside the apparatus 10 as shown in FIG. 8. The first body 11 has a first hollow core 23a that is formed all the way through the first body 11 from the first body first edge 11f to the to the first body second edge 11e. The diameter of the first hollow core 23a that is formed by the first body inner surface 11b is a variety of sizes depending on the size of the hypodermic syringe and insert to be used. The first body 11 shape is defined by the first body outer surface 11a and is typically machined. However, as is known by the practitioner in the art the machining of the first body inner surface 11b and the first body outer surface 11a is substitutable for casting the first body 11. Furthermore, the first body first edge 11f and the first body second edge 11e are typically formed in parallel planes. The first connection means 34 located at the first body first edge 11f is usually a first male thread 11d. It is formed starting at the first body first edge 11f with a diameter that is smaller than the first outer surface 11a and larger than the diameter of the first inner surface 11b. Typically, the first male thread 11d diameter is formed in the range of about 70% to 85% of the diameter of the first outer surface 11a. It is machined back from the first body first edge 11f to the first body fourth edge 11h for a depth of about 15% of the overall length of the first body 11. The first male thread 11d is usually a unified fine thread or a unified coarse thread. The second connection means 33 at the first body second edge 11e that is usually a second male thread 11c. It is formed starting at the first body second edge 11e with a diameter that is smaller than the first outer surface 11a and larger than the diameter of the first inner surface 11b. Typically, the second male thread 11c diameter is formed in the range of about 70% to 85% of the diameter of the first outer surface 11a. It is machined back from the first body second edge 11e to the first body third edge 11g for a depth of about 15% of the overall length of the first body 11. The second male thread 11c is typically a unified fine thread or a unified coarse thread. In other applications, the male thread connections are substitutable for female threads, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. The first outer surface 11a is cylindrical in shape but is readily substitutable for any circular or polyhedron shape. Finally, the wall thickness between the first outer diameter 11a and the first inner diameter 11b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe placed inside the first hollow core 23a. The second body 12 has a second hollow core 23b that is formed by starting from the second body third edge 12e to a depth that is about 75% to 85% of the length of the second body 12. The diameter of the second hollow core 23b that forms the second inner surface 12b is a variety of sizes depending on the size of the hypodermic syringe and insert to be positioned in the second hollow core 23b. The second hollow core 23b is formed before the formation of the third inner surface 12c and the first female thread 12f. The second body 12 shape is defined by the second body tapered first outer surface 12a and a second body second outer surface 12g, wherein both are typically formed by machining and cylindrically shaped. Typically, the second body second outer surface 12g diameter is formed flush with the first body first outer surface 11a. However, as is known by the practitioner in the art, machining is substitutable for casting the second body 12. Alternately, the second body second outer surface 12g can have the same tapered plane as the second body tapered first outer surface 12a. The second body second outer surface 12g at the second body third edge 12e is usually flush with the first body first outer surface 11a. Furthermore, the second body first edge 12h, the second body second edge 12d and the second body third edge 12e are all typically formed in parallel planes. The cylindrical shape of the second body 12 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the second outer surface 12g, the second body tapered first outer surface 12a and the second inner surface 12b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical contained within the hypodermic syringe placed inside the second hollow core 23b. The second connection means 33 at the second body third edge 12e is usually a first female thread 12f that is formed by machining either a unified fine thread or a unified coarse thread. The first female thread 12f is formed starting at the second body third edge 12e with a diameter that is smaller than the second body second outer surface 12g and larger than the diameter of the second inner surface 12b. Typically, the first female thread 12f diameter is formed in the range of about 70% to 85% of the diameter of the second body tapered first outer surface 12a or the second body second outer surface 12g. The first female thread 12f is machined back from the second body third edge 12e to the second body first edge 12h for a depth that is about 10% to 15% the distance of the overall length of the second body 12. Alternately, the first female thread 12f is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. There is a second body annular ridge 23e that is formed to provide a means for the insert (FIG. 3) to be coaxially secured to the third inner surface 12c. The diameter of the third inner surface 12c depends upon the diameter of the insert second outer surface 21f (FIG. 3). Typically, the third inner surface 12c is the size to fit an insert that accepts 3 cc or 5 cc hypodermic syringes. The third body 13 has a third hollow core 23c that is formed by starting from the third body third edge 13e to a depth that is about 75% to 85% the length of the third body 13. The diameter of the third hollow core 23c that is formed at the fourth inner surface 13b is a variety of sizes depending upon the size of the insert and hypodermic syringe to be used. The cylindrical shape of the third body 13 is defined by the third body tapered second outer surface 13a and the third body first outer surface 13g, wherein both are typically machined. However, machining the fourth inner surface 13b, the third body tapered second outer surface 13a and the third body first outer surface 13g is substitutable for casting the entire third body 13. Alternately, the third body first outer surface 13g can have the same tapered plane as the third body tapered second outer surface 13a. The third body first outer surface 13g that is formed at the third body third edge 13e is flush with the first outer surface 11a. Furthermore, the third body first edge 13j, the third body second edge 13i and the third body third edge 13e are all typically formed in parallel planes. The cylindrical shape of the third body 13 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the third body first outer surface 13g, the third body tapered second outer surface 13a and the fourth inner surface 13b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe 25 placed inside the third hollow core 23c. The first connection means 34 at the third body third edge 13e is usually a second female thread 13h that is formed by machining either a unified fine thread or a unified coarse thread. The second female thread 13h is formed starting at the third body third edge 13e with a diameter that is smaller than the third body first outer surface 13g and smaller than the second tapered outer surface 13a. The second female thread 13h is formed at a diameter that is larger than the fourth inner surface 13b. Typically, the second female thread 13h diameter is formed in the range of about 70% to 85% of the diameter of the third body first outer surface 13g or the third body tapered second surface 13a. The second female thread 13h is machined back from the third body third edge 13e to the third body first edge 13j for a depth that is about 15% to 25% the length of the third body 13. As is known in the art, the second female thread 13h is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement. The third connection means 35 that is located at the third body second edge 13i is a releasable wrap 15c that releasably secures the third body 13 to the nut 15. Typically, the releasable wrap 15s is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. The first hollow stem 13l and the second hollow stem 13k that are located in the third body 13 are both formed by either machining or drilling. The third hollow core 23c fixedly communicates with the two hollow stems. The two hollow stems are open on the third body second edge 13i and the third hollow core 23c. The first hollow stem 13l and the second hollow stem 13k are symmetrically positioned around the center of the third body second edge 13i. The first hollow stem 13l is formed large enough to allow the positioning of the first telescoping rod 16h (FIG. 2). Furthermore, the second hollow stem 13k is formed large enough to allow the positioning of the second telescoping rod 16g (FIG. 2). Typically the first hollow stem 13l and the second hollow stem 13k are drilled. However, drilling is substitutable for casting the hollow stems into the third body. The nut 15 has a nut outer surface 15a that is radially formed for a flush-fit with the third body tapered second outer surface 13a. The nut outer edge 15f, the nut inner edge 15h and the third body second edge 13i are all formed in parallel planes. This allows the nut 15 to fit snugly against the third body 13 when the third connection means 35 is used. Finally, the thickness of material required between the nut outer edge 15f and the nut inner edge 15h is enough to adequately prevent radiation from leaking through the nut 15 in any direction. The double-ended syringe shield apparatus 10, as illustrated in FIG. 1, shows the nut 15 communicating with the third body 13 by the third connection means 35. The third body 13 communicates with the first body 11 by the first connection means 34. The first body 11 communicates with the second body 12 by the second connecting means 33. The first body first edge 11f, the first body second edge 11e, the first body third edge 11g, the first body fourth edge 11h, the second body first edge 12h, the second body third edge 12e, the third body third edge 13e and the third body first edge 13j are formed in parallel planes. The forming in parallel planes allows the first connection means 34 to be a snug fit between the first body 11 and the third body 13, when they are securely connected by axially threading the first body 11 and third body 13. The forming in parallel planes allows the second connection means 33 to be a snug fit between the first body 11 and the second body 12, when they are securely connected by axially threading the first body 11 and second body 12. FIG. 2 illustrates the cross-section of the dose applicator 18a used in the double-ended syringe shield apparatus 10 in the preferred embodiment of the invention. The dose applicator 18a communicates with and is releasably secured to the third body 13 by using a releasable wrap 15c. The dose applicator 18a is used, for example, when it is desired to load the hypodermic syringe 25 (FIG. 7) into a well counter allowing radiation shielding. The dose applicator 18a consists of a nut 15, a first telescoping rod 16h, a second telescoping rod 16g and an insert holder 16i. The first telescoping rod 16h is positioned into the first hollow stem 13l and communicates with the nut 15. The second telescoping rod 16g is positioned into the second hollow stem 13k and communicates with the nut 15. The first telescoping rod 16h further consists of a first telescoping rod first section 16l that is larger in diameter and slides around a first telescoping rod second section 16m that is larger in diameter and slides around a first telescoping rod third section 16n. Furthermore the second telescoping rod 16g consists of a second telescoping rod first section 16o that is larger in diameter and slides around a second telescoping rod second section 16p that is larger in diameter and slides around a second telescoping rod third section 16q. The insert holder 16i securely fastens to the first telescoping rod first section outer end 16r and the second telescoping rod first section outer end 16s. The nut 15 securely fastens to the first telescoping rod third section outer end 16t at the nut inner edge 15h. The nut 15 securely fastens to the second telescoping rod third section outer end 16u at the nut inner edge 15h. Finally, the first telescoping rod 16h and the second telescoping rod 16g are symmetrically positioned inside the third hollow core, wherein the insert 20 (FIG. 3) can be positioned between them and be releasably secured by the insert holder 16i. The first hollow stem 13l is sized providing a first gap 19a between the first hollow stem circumferential surface 16j and the first telescoping rod first section 16l. The first gap 19a is large enough to allow the first telescoping rod 16h to completely extend or retract inside the first hollow stem 13l. The second hollow stem 13k is sized providing a second gap 19b between the second hollow stem circumferential surface 16k and the second telescoping rod first section 16o. The second gap 19b is large enough to allow the second telescoping rod 16g to completely extend or retract inside the second hollow stem 13k. The third connection means 35 comprises the nut 15 that releasably communicates with the third body 13 and the releasable wrap 15c. Typically, the releasable wrap 15c is a fabric hook or loop fastener but the fabric can be substitutable for any connection that is easy to use. The nut outer edge 15f, the nut inner edge 15h and the third body second edge 13i are all formed in parallel planes. The edges formed in parallel planes allow the nut 15 and the third body 13 to releasably communicate with a snug fit when the dose applicator 18a is retracted. The releasable wrap 15c is positioned around the third body tapered second outer surface 13a and the nut outer surface 15a to releasably secure the nut 15 to the third body 13. The nut outer surface 15a and the third body tapered second outer surface 13a are formed by machining to produce a flush-fit when the nut inner edge 15h and the third body second edge 13i communicate with each other. Alternately, the nut can be cast and its edges machined to produce a flush-fit when it communicates with the third body 13. The nut outer surface 15a is usually formed at the same diameter as the diameter of the third body tapered second outer surface 13a at the third body second edge 13i. The first telescoping rod 16h and the second telescoping rod 16g are substitutable for one telescoping rod. The single telescoping rod is circumferentially mountable on the holder inside edge 16w as long as the insert 20 can be positioned and freely movable inside the third hollow core 23c, the second hollow core 23b and the first hollow core 23a. FIG. 3 is a cross-section illustration of the one piece insert 20. The insert 20 consists of a first section 21 and a cover 30. Alternately, the insert 20 may consist of a first and second section with a cover. The second section 22 is removable from the first section 21 along a perforation 21b between the first and second section (FIG. 9). The first section inner surface 21d has a diameter large enough to allow a 3 cc or 5 cc hypodermic syringe to be placed inside the insert 20. Alternately, the first section first inner surface 21d diameter is substitutable for various sizes allowing different sizes of the hypodermic syringe to be placed inside 21i the insert 20. The first section first outer surface diameter 21a is small enough to fit between the first telescoping rod 16h (FIG. 2) and second telescoping rod 16g (FIG. 2). The first section first end 21g is usually rounded to the same size as the radius of the first section inner surface 21d so that the insert 20 will easily fit into the insert holder 16i (FIG. 7) when, for example, the hypodermic syringe 25 is being transported to a well counter 28. The diameter of the first section second outer surface 21f is larger than the diameter of the first section first outer surface 21a. The transition from the first section first outer surface 21a diameter to the first section second outer surface 21f diameter is in the shape of a tapered cylinder or a cone. This shape allows the insert 20 to be positioned and releasably secured by the insert holder 16i (FIG. 7). Alternately, the cone shape is substitutable for any polyhedron shape. The first section second end annular lip 21h protrudes slightly from the first section second outer surface 21f so that the cover 30 is secured to the first section second end 22d by a snap fit. Also, the first section inner annular lip 21e allows the hypodermic syringe 25 (FIG. 7) to snugly fit into the insert 20. The first section inner annular lip 21e is integrally a part of the first section 21 where the first section first outer surface 21a begins transitioning to the first section second outer surface 21f. Finally, the first section 21 is typically a clear molded plastic. However, any material is suitable as long as it is can be seen through after being molded. The cover 30 is defined by the cover outer end 30a, the cover inner end 30b, the cover first outer surface 30d, the cover tapered outer surface 30e and the cover second outer surface 30h. The cover 30 is further defined by the cover annular lip 30c, the cover lip annular ridge 30f and the cover tapered inner surface 30g. The cover 30 is removably attached to the first insert second end 22d by a snap fit. The cover annular lip 30c that is integrally a part of the cover 30 is positioned so as to communicate with the first section second end annular lip 21h, at the second end annular lip inner end 21k, and the cover annular lip inner end 30j. The cover tapered inner surface 30g diameter is normally larger at its narrowest diameter than the diameter of the first section second inner surface 21j. Furthermore, the cover lip annular ridge 30f is formed allowing the cover annular lip 30c to snap fit around the first section second end annular lip 21h. Finally, the cover 30 is typically a clear molded plastic. However, any material is suitable as long as it can be seen through after being molded. FIG. 4 shows the end view of the insert 20 with the cover second outer surface 30h, the first insert second end 22d and the first section inner annular lip 21e. FIG. 5 illustrates the cross-section view of the single ended syringe shield 10a without the dose applicator 18a (FIG. 6) in the preferred embodiment of the invention. The single-ended syringe shield is used to transport a hypodermic syringe 25 with a radioactive pharmaceutical 26 (FIG. 8). The first body 11 releasably communicates with the second body 12 and the first body 11 releasably communicates with the nut 15. The hypodermic syringe and a one-piece insert are positioned inside the apparatus 10a as shown in FIG. 8. The first body 11 has a first hollow core 23a that is formed all the way through the first body 11 from the first body first edge 11f to the to the first body second edge 11e. The diameter of the first hollow core 23a, that is formed by the first body inner surface 11b, is a variety of sizes depending on the size of the hypodermic syringe and insert to be used. The first body 11 shape is defined by the first body first outer surface 11a and the first body tapered second outer surface 11i. All the surfaces of the first body 11 are usually machined. As is known by the practitioner in the art, the machining of the first body inner surface 11b, the first body first outer surface 11a and the first body tapered second surface 11i is substitutable for casting the first body 11. Furthermore, the first body first edge 11f and the first body second edge 11e are typically formed in parallel planes. The first connection means 34a at the first body first edge 11f is usually a releasable wrap 15c. Typically, the releasable wrap 15s is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. The second connection means 33 at the first body second edge 11e is usually a second male thread 11c. It is formed starting at the first body second edge 11e at a diameter that is smaller than the first body first outer surface 11a and larger than the diameter of the first body inner surface 11b. Typically, the second male thread 11c diameter is formed in the range of about 70% to 85% the diameter of the first body first outer surface 11a. It is machined back from the first body second edge 11e to the first body third edge 11g for a depth of about 5% the overall length of the first body 11. The second male thread 11c is typically a unified fine thread or a unified coarse thread. In other applications, the male thread connections are substitutable for female threads, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. The first body first outer surface 11a is cylindrical in shape but is readily substitutable for any circular or polyhedron shape. Also, the first body 11, the second body 12 and the nut 15 can be cast with machining the ends and the connections. Finally, the wall thickness between the first body first outer diameter 11a or the first body tapered second outer surface 11i and the first inner diameter 11b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe 25 placed inside the first hollow core 23a. At the first connection means 34a the first body first edge 11f contains a first hollow stem 11l and a second hollow stem 11k. The first and second hollow stems are large enough to have positioned inside them the first telescoping rod 16h (FIG. 6) and the second telescoping rod 16g (FIG. 6). The first and second hollow stems are typically drilled in the first body 11 from the first body first edge 11f through to the first hollow core 23a. The second body 12 has a second hollow core 23b that is formed starting from the second body third edge 12e to a depth that is about 75% to 85% of the length of the second body 12. The second hollow core 23b is usually machined. The diameter of the second hollow core 23b that is formed by the second inner surface 12b is a variety of sizes depending on the size of the hypodermic syringe and insert to be positioned in the second hollow core 23b. The second body 12 shape is defined by the second body tapered first outer surface 12a and a second body second outer surface 12g, wherein both are typically machined and cylindrically shaped. The second body second outer surface 12g diameter usually is flush with the first outer surface 11a. Alternately, the second body second outer surface 12g can have the same tapered plane as the second body tapered first outer surface 12a. Typically, the second body second outer surface 12g at the second body third edge 12e is flush with the first outer surface 11a. Furthermore, the second body first edge 12h, the second body second edge 12d and the second body third edge 12e are all typically formed in parallel planes. The cylindrical shape of the second body 12 is substitutable for any circular or polyhedron shape. Finally, the wall thickness between the second outer surface 12g, the second body tapered first outer surface 12a and the second inner surface 12b must contain enough radiation shielding material to provide adequate protection against radiation exposure. The radiation is from the radiopharmaceutical 26 contained within the hypodermic syringe 25 placed inside the second hollow core 23b. The second connection means 33 at the second body third edge 12e is usually a first female thread 12f that is formed by machining either a unified fine thread or a unified coarse thread. The first female thread 12f is formed starting at the second body third edge 12e at a diameter that is smaller than the second body second outer surface 12g and larger than the diameter of the second inner surface 12b. Typically, the first female thread 12f diameter is formed in the range of about 70% to 85% of the diameter of the second body tapered first outer surface 12a or the second body second outer surface 12g. The first female thread 12f is machined back from the second body third edge 12e to the second body first edge 12h for a depth that is about 15% the distance of the overall length of the second body 12. Alternately, the first female thread 12f is substitutable for a male thread, a locking nut arrangement or a compression flange arrangement as is known by the practitioner in the art. There is a second body annular ridge 23e that is formed to provide a means for the insert (FIG. 3) to be coaxially and releasably secured to the third inner surface 12c. The diameter of the third inner surface 12c depends upon the diameter of the insert second outer surface 21f (FIG. 3). The third inner surface 12c is typically the size to fit an insert that accepts 3 cc or 5 cc hypodermic syringes. The nut 15 has a nut outer surface 15a diameter that is flush with the diameter of the third body tapered second outer surface 13a at the first body first edge 11f. The nut 15 has a length of about 10% to 15% the length of the first body 11 and extends from the nut outer edge 15f to the nut inner edge 15h. A first connection means 34a is a releasable wrap 15c that is typically a fabric hook or loop fastener. Finally, the thickness of material required between the nut outer edge 15f and the nut inner edge 15h is enough to adequately prevent radiation of leaking through the nut 15 in all directions. The single-ended syringe shield apparatus 10a as illustrated in FIG. 5 shows the nut 15 releasably communicating with the first body 11 by the first connection means 34a. The first body 11 releasably communicates with the second body 12 by the second connecting means 33. The first body first edge 11f, the first body second edge 11e, the first body third edge 11g, the second body first edge 12h and the second body third edge 12e are formed in parallel planes. Additionally, the nut inner edge 15h and the nut outer edge 15f are formed in parallel planes with the first and second body edges. The forming in parallel planes allows the first connection means 34a to be a snug fit between the first body 11 and the nut 15 when they are securely connected by the releasable wrap 15c. The forming in parallel planes allows the second connection means 33 to be a snug fit between the first body 11 and the second body 12 when they are securely connected by axially threading the first body 11 and second body 12. In the preferred embodiment of the invention the radiation shielding material is typically lead. However, in many applications although lead is an excellent radiation shielding material it is unsuitable because it is too heavy and insufficiently flexible. Other materials include, but are not limited to, tungsten. Consequently, the radiation shielding material is any material that will attenuate the photons released from the radioactive agent. For example, a radiation shielding material is obtainable from lead acrylate or lead methacrylate combined by polymerizing it at a temperature above the melting point in a mixture with a copolymerizable monomer such as methyl methacrylate. Alternately, another radiation shielding material comprises an elastomeric or rubbery plastics material filled with lead particles. These materials combine the excellent radiation shielding properties of lead with other materials that weigh less than lead to provide a good radiation shield that is flexible and not too heavy. Another commonly utilized radiation shielding material is tungsten. When tungsten, a tungsten compound or a tungsten based alloy is used as the material with high radiation absorptivity, when the xcex3-ray absorption coefficient of tungsten is not less than about 1 when the energy of the xcex3-ray is 511 KeV or greater, there is provided a safe radiation shielding material. For example, one such tungsten compound with high radiation absorptivity is a tungsten powder that is not less than 80% by weight or greater than 95% by weight combined with vulcanized rubber. The tungsten powder in combination with the vulcanized rubber has particle sizes in the range of about 4xcexc to 100 xcexcm. When a tungsten alloy is used for the radiation shielding material a typical combination includes but is not limited to a hard-find grained internally stressed material of tungsten and carbon or tungsten, carbon and oxygen. The insert holder 16i material is non-attenuating typically a plastic, a fiberglass or a polyethylene that is easily formed into the shape required to hold the insert 20 as shown in FIG. 2 and FIG. 6. In another embodiment the insert holder 16i is shaped so that it can directly position and hold the hypodermic syringe 25 without using the insert 20. The first telescoping rod 16h and the second telescoping rod is typically constructed from a light weight material, preferably a non-attenuating material. FIG. 6 illustrates the cross-section of the single-ended syringe shield 10a with the dose applicator 18a in the preferred embodiment of the invention. The dose applicator 18a communicates with and is releasably secured to the first body 11. The dose applicator 18a is used, for example, when it is desired to load the hypodermic syringe 25 (FIG. 7) into a well counter 28, wherein individuals are shielded from radiation emanating from the radiopharmaceutical 26 in the hypodermic syringe 25. The dose applicator 18a consists of a nut 15, a first telescoping rod 16h, a second telescoping rod 16g and an insert holder 16i. The first telescoping rod 16h is positioned into the first hollow stem 11l and communicates with the nut 15. The second telescoping rod 16g is positioned into the second hollow stem 11k and communicates with the nut 15. The first telescoping rod 16h further consists of a first telescoping rod first section 16l that is larger in diameter and slides around a first telescoping rod second section 16m that is larger in diameter and slides around a first telescoping rod third section 16n. Furthermore the second telescoping rod 16g consists of a second telescoping rod first section 16o that is larger in diameter and slides around a second telescoping rod second section 16p that is larger in diameter and slides around a second telescoping rod third section 16q. The insert holder 16i securely fastens to the first telescoping rod first section outer end and the second telescoping rod first section outer end. The nut 15 securely fastens to the first telescoping rod third section outer end and the second telescoping rod third section outer end at the nut inner edge 15h. The first telescoping rod 16h and the second telescoping rod 16g are symmetrically positioned inside the third hollow core, wherein the insert 20 can be positioned between them and be releasably secured by the insert holder 16i. The first hollow stem 11l is sized providing a first gap 19a between the first hollow stem circumferential surface 16j and the first telescoping rod first section 16l. The first gap 19a is large enough to allow the first telescoping rod 16h to completely extend or retract within the first hollow core 23a. The second hollow stem 11k is sized providing a second gap 19b between the second hollow stem circumferential surface 16k and the second telescoping rod first section 16o. The second gap 19b is large enough to allow the second telescoping rod 16g to completely extend or retract within the first hollow core 23a. The first body inner surface 11b is formed large enough to allow a slideable movement of the insert holder inside the hollow core 23a. The first connection means 34a comprises the nut 15 with a releasable wrap 15c that is releasably secured to the first body 11. Typically, the releasable wrap 15c is a fabric hook or loop fastener, but is substitutable for any fastener that is easy to use. The nut outer edge 15f, the nut inner edge 15h and the first body first edge 11f are all formed in parallel planes. The edges formed in parallel planes allow the nut 15 and the first body 11 to be releasably secured with a snug fit between the nut inner edge 15h and the first body first edge 11f when the releasable wrap 15c is used. The nut outer surface 15a diameter is formed flush with the first body tapered second outer surface 11i at the first body first edge 11f. However, the nut outer surface 15a can have a diameter that is either larger or smaller than the diameter of the first body tapered second outer surface 11i at the first body first edge 11f. Typically, the nut edges and surfaces and the first body edges and surfaces are formed by machining to produce a snug-fit at the edges and a flush-fit at the surfaces. Alternately, the nut and first body can be cast with their edges machined to produce a snug fit when they are connected together. In the preferred embodiment of the invention the first body first outer surface 11a is typically formed as a straight cylinder while the first body tapered second outer surface 11i is formed as a cone. Alternately, the first body first outer surface 11a is substitutable for a tapered surface that matches the first body tapered second outer surface 11i. The first telescoping rod 16h and the second telescoping rod 16g are substitutable for one telescoping rod. The single telescoping rod is circumferentially mountable on the holder inside edge 16w as long as the insert 20 can be positioned and freely movable inside the third hollow core 23c, the second hollow core 23b and the first hollow core 23a. FIG. 7 illustrates the single-ended apparatus 10a being loaded into a well counter 28. The well counter 28 typically has a well counter liner 27 that the apparatus 10a is set into to allow the hypodermic syringe 25 containing a radiopharmaceutical 26 to be loaded and measured at the well counter 28. The dose applicator 18a positions the insert 20 by the insert holder 16i and the first telescoping rod 16h and the second telescoping rod 16g. The well counter liner gap 27a is large enough so that the first body second male thread 11c can easily fit into the well counter liner 27 allowing the first body 11 to set on top of the well counter liner. In this illustration the second body 12 (FIG. 5) has been removed and the first body 11 is positioned into the well counter liner 27 in the direction of the arrow 31. The nut 15 is extended as the insert 20 rests in the first hollow core 23 to be pushed into the well counter 28 in the direction of the arrow 31. FIG. 8 illustrates the doubled-ended apparatus 10 with the dose applicator 18a. The apparatus 10 transports a radiopharmaceutical 26 and protects 29 individuals from radiation generated therefrom. A first body 11 releasably communicates with a second body 12 and the first body 11 releasably communicates with a third body 13. The third body 13 releasably communicates with a nut 15. Attached to the nut 15 is the first telescoping rod 16h and the second telescoping rod 16g of the dose applicator 18a. The first telescoping rod 16h is positioned in the first hollow stem 13l and sized to allow all of the sections of the first telescoping rod 16h to move freely within the first hollow stem 13l. Likewise, the second telescoping rod 16g is positioned in the second hollow stem 13k and sized to allow all of the sections of the second telescoping rod 16g to move freely within the second hollow stem 13k. Finally, the first connection means 34 releasably secures the first body 11 to the third body 13, the second connection means 33 releasably secures the first body 11 to the second body 12 and the third connection means 35 releasably secures the third body 11 to the nut 15. The dose applicator 18a is positioned in the first hollow core 23a, the second hollow core 23b and the third hollow core 23c. This allows the hypodermic syringe 25 with the radiopharmaceutical 26 to be positioned inside the insert 20 wherein the insert is releasably secured to the dose applicator 18a by the insert holder 16i. Radiation leakage around the dose applicator 18a is significantly reduced by releasably securing the third body 13 and the nut 15 with the releasable wrap 15c. For example, when the nut 15 is not releasably secured by the releasable wrap 15c the nut can be moved away from the third body 13 exposing the first hollow stem 13l and the second hollow stem 13k. When there is radiation emanating from the radiopharmaceutical 26 located in the third hollow core 23c the radiation leakage is possible out of the first hollow stem 13l and second hollow stem 13k. A snug-fit between the third body 13 and nut 15 using the releasable wrap 15c as the third connection means 35 prevents this radiation leakage. FIG. 9 illustrates the first body 11 of the double-ended apparatus 10 with the hypodermic syringe 25 and the radiopharmaceutical 26 wherein the radiopharmaceutical can be injected into a patient. The first body 11 is the radionuclei shield surrounding the insert 20 and is constructed of various materials including, but not limited to tungsten and lead. The insert holder 16i (FIG. 8) has been removed from the first hollow core 23a along with the dose applicator 18a (FIG. 8). When the radiopharmaceutical 26 is going to be injected into a patient the second section 22 of the insert 20 is removed from the first section 21 at the perforation 21b. This is accomplished without exposing anyone to the radiation emanating from the radiopharmaceutical 26. The hypodermic syringe 25 is ready to be injected into the patient once the needle cover 32 is removed. While there has been illustrated and described what is at present considered to be the preferred embodiment of the invention, it should be appreciated that numerous changes and modifications are likely to occur to those skilled in the art. It is intended in the appended claims to cover all those changes and modifications that fall within the spirit and scope of the present invention.
summary
053923251
abstract
A catalytic recombiner device for reacting two or more molecular species having dilute concentrations in fluids flowing in pipes at elevated temperatures. The species are stable in the bulk fluid, but because of a high electrochemical potential, the species create conditions favorable to stress corrosion cracking in the pipe walls. If the pipe forms a portion of a coolant system, as in a nuclear power plant, the dissolved chemical species are transported and distributed throughout the system with undesirable consequences. To reduce the electrochemical potential, a cartridge having catalytic surfaces is installed in the flow upstream of the component to be protected against stress corrosion cracking. The catalytic surfaces of the cartridge form a small amount of benign reaction product (e.g. water), thereby reducing the concentration of undesirable species. The presence of a compact catalytic recombiner at strategic locations substantially reduces the electrochemical potential and protects both the piping and the vessels and internals connected to the piping from corrosive attack.
summary
summary
047160080
claims
1. In a pressurized water nuclear reactor having a pressure vessel and a core comprising a plurality of vertically arranged adjacent fuel assemblies arranged in a regular array, a control device including a plurality of clusters arranged for insertion into and removal from the core and each having a plurality of rods connected to a common carrier vertically slidable in a stationary guide structure and connectable to a drive shaft, said clusters comprising first clusters containing neutron absorbing material and each individually associated with electromagnetic actuation means for adjusting the amount of insertion of the associated one of said first clusters and comprising second clusters containing a different material and each individually associated with hydraulic actuation means independent from said electromagnetic actuation means and controllable to cause upward and downward movement of the associated one of said second clusters, means being provided for optionally locking and unlocking one of said second clusters in a fixed position fully removed from said core, a set of one first cluster and one second cluster being associated with some only of said fuel assemblies, with the drive shafts of the two clusters in each set being non-coaxial and being arranged symmetrically with respect to the axis of a single stationary structure located above the associated fuel assembly and arranged for authorizing mutually independent vertical movement of the first and second clusters, said guide structure including two vertical guide tubes located symmetrically with respect to and at a distance from a vertical axis of the associated fuel assembly, each constructed to guide the carrier of one of the first cluster and second cluster associated with the fuel assembly. 2. A nuclear reactor according to claim 1, wherein the electromagnetic actuation means and the hydraulic actuation means of the two clusters associated with a same one of said fuel assemblies are accomodated in parallel mutually independent elongated pressurized enclosures communication with said vessel at the lower end thereof. 3. In a nuclear reactor having a pressure vessel containing fluid under pressure and a core comprising a plurality of vertically arranged adjacent fuel assemblies immersed in the fluid contained in said vessel, a control device having a first set of clusters arranged to be guided and inserted more or less deeply into some of said fuel assemblies and a second set of clusters arranged to be inserted completely into some of said fuel assemblies during part only of the core cycle, each of said clusters comprising a bundle of rods arranged parallel to each other, vertically movable inside a guide structure, fixed to a carrier slidable along and inside a guide tube positioned within the guide structure and extended by a vertically movable drive shaft, wherein means for moving the drive shafts of the clusters of the first set are electromagnetic and wherein means for moving the drive shafts of the clusters of the second set are hydraulic, each of said drive shafts of the clusters of the second set being movable along a fluid-tight elongated enclosure communicating with the vessel and provided with depressurization means, and wherein means are provided for locking the drive shafts of the clusters of the second set onto the associated enclosure in a fully removed position and for unlocking it, said device having, for one fuel assembly out of two, one cluster of the first set and one cluster of the second set whose guide tubes are non coaxial and arranged symmetrically relative to the axis of a single guide structure associated with the fuel assembly, the means for guiding and moving the two clusters beign wholly independent of each other, while the others of said fuel assemblies are devoid of associated clusters. two pawls whose axes are fixed to a casing fixed inside said enclosure and which are capable of engaging in a groove formed in the drive shaft, a rotatable bush fitted with two cams one of which is capable of opening the pawls and the other is capable of closing the pawls, and means for turning the rotatable bush when the drive shaft moves axially. wherein means for moving the drive shafts of the clusters of the first set are electromagnetic and wherein means for moving the drive shafts of the clusters of the second set are hydraulic, each of said drive shafts of the clusters of the second set being movable along a fluid-tight elongated enclosure communicating with the vessel and provided with depressurization means, and wherein means are provided for locking the drive shafts of the clusters of the second set onto the associated enclosure in a fully removed position and for unlocking it, said device having, for one fuel assembly out of two, one cluster of the first set and one cluster of the second set whose guide tubes are non coaxial and arranged symmetrically relative to the axis of a single guide structure associated with the fuel assembly, the means for guiding and moving the two clusters being wholly independent of each other, while the others of said fuel assemblies are devoid of associated clusters, and each carrier and the associated drive shaft of each of said clusters constituting a unit provided with at least one pair of slide blocks for guiding said unit inside and along the guide tube in which it moves. 4. A control device as claimed in claim 3, wherein the means for locking the drive shaft of each of the clusters of the second set in a high position and for unlocking said drive shaft consists of: 5. A control device as claimed in claim 4, wherein the means for turning the rotatable bush when the drive shaft moves axially comprises a thimble movable longitudinally, carrying studs co-operating with inclined surfaces machined in the rotatable bush and which is provided with a shoulder which a chamfered shoulder of the drive shaft abuts when the latter moves upwards. 6. In a nuclear reactor having a pressure vessel containing fluid under pressure and a core comprising a plurality of vertically arranged adjacent fuel assemblies immersed in the fluid contained in said vessel, a control device having a first set of clusters arranged to be guided and inserted more or less deeply into some of said fuel assemblies and a second set of clusters arranged to be inserted completely into said fuel assemblies during part only of the core cycle, each of said clusters comprising a bundle of rods arranged parallel to each other, vertically movable inside a guide structure, fixed to a carrier slidable along and inside a guide tube positioned within the guide structure and extended by a vertically movable drive shaft, 7. A control device as claimed in claim 6, wherein the guide structure associated with the fuel assemblies are polygonal in shape. 8. A control device as claimed in claim 6, wherein the guide tubes are held in position inside the guide structure by guide plates which are perpendicular to the axis of the guide structure and provided with at least one vertical tie rod extending along the axis of the guide structure.
043740839
claims
1. In a nuclear reactor with a primary loop and a liquid coolant loop connected to the primary loop, having a volume control surge tank for the coolant disposed in the liquid coolant loop, and a high pressure pump having a suction side and being disposed in the liquid coolant loop for feeding coolant taken from the primary loop back into the primary loop after purification, the improvement comprising a line bypassing the volume control surge tank and having an end connected to the suction side of the high-pressure pump, and means for introducing hydrogen gas into a liquid-filled section of the liquid coolant loop between the volume control surge tank and the suction side of the high-pressure pump. 2. Nuclear reactor according to claim 1, wherein said hydrogen introducing means feed hydrogen gas to said bypass line. 3. Nuclear reactor according to claim 1, wherein said hydrogen introducing means mixes hydrogen gas with coolant bled off from the volume control surge tank. 4. Nuclear reactor according to claim 1, including means for mixing hydrogen and coolant, disposed downstream of said hydrogen introducing means. 5. Nuclear reactor according to claim 4, including a gas separator disposed downstream of said mixing means. 6. Nuclear reactor according to claim 5, including a hydrogen delivery source connected to said hydrogen introducing means, and means connected to said gas separator and said hydrogen introducing means, for controlling the delivery rate from said hydrogen delivery source. 7. Nuclear reactor according to claim 5 or 6, including a gas outlet line connected from said gas separator to said hydrogen introducing means, and a compressor disposed in said gas outlet line. 8. Nuclear reactor according to claim 1, wherein said hydrogen introducing means includes a ceramic filter cartridge. 9. Nuclear reactor according to claim 1, including an exhaust gas system connected to the volume control surge tank above the coolant level.
abstract
A system and method for correcting automatically the distortions in electron background diffration (EBSD) patterns which result from magnetic fields produced by some scanning electron microscopes (SEMs) used for collecting such patterns from polycrystalline sample materials. The method may be implemented as a software program running on a computer which is part of a conventional system for obtaining and analyzing EBSD patterns to obtain crystallographic information about the sample material. The method includes a calibration procedure and a correction procedure. In the calibration procedure, a distorted EBSD pattern obtained from a calibration sample is displayed on an operator display and user interface. Using an input device, an operator defines segment endpoints along a Kikuchi band in the distorted EBSD pattern image. From the user defined segment endpoints, correction parameters are calculated based on a mathematical curve (e.g., cubic spline) fitting the endpoints. The correction parameters may also be corrected automatically, without user intervention. The correction parameters are saved and may be used to correct magnetic field distortions in all subsequent EBSD patterns obtained using the SEM geometry for which the calibration procedure is run. In the correction procedure, the correction parameters are employed to shift lines of pixels in the distorted EBSD pattern image by an amount defined by the correction parameters to correct the distortion in the EBSD pattern image. Thus corrected EBSD pattern images may be displayed to a operator of the system and saved for subsequent EBSD pattern analysis using conventional EBSD pattern analysis techniques.
description
This application is a divisional application of pending U.S. patent application Ser. No. 09/612,499 filed Jul. 7, 2000, which issued as U.S. Pat. No. 6,882,687 on Nov. 23, 2004, the disclosure of which is expressly incorporated herein by reference in its entirety. 1. Field of the Invention The present invention relates to a three-dimensional image capturing device by which a three-dimensional shape of a measurement subject, which is to be measured, is captured by a time-of-flight measurement and its laser for emitting a measurement light beam. 2. Description of the Related Art A three-dimensional measurement using a three-dimensional image capturing device is classified as an active system, in which light, an electric wave or sound is radiated onto a measurement subject, and a passive system in which the light, electric wave or sound is not output. The active system comprises the time-of-flight measurement, a phase detection using a modulated light wave, a triangulation, a moiré topography, and so on, and the passive system comprises a stereo vision system, and so on. An active system device is bulky in comparison with that of the passive system, since the device requires a laser beam output mechanism. However, the active system device is superior regarding a distance measurement resolution, a measuring time, a measuring range and so on, and thus, despite the bulkiness, the device is utilized in various fields. In a three-dimensional image capturing device, described in “Measurement Science and Technology” (S. Christies et al., vol. 6, p. 1301–1308, 1995), a pulse-modulated laser beam irradiates a whole of a measurement subject through an illumination lens, and a reflected light beam, which is reflected by the measurement subject, is received by a two-dimensional CCD sensor to which an image intensifier is attached, so that an image signal, corresponding to the reflected light beam, is converted to an electric signal. ON-OFF control of the image intensifier is carried out by a gate pulse, which is synchronized with the pulse radiation of the laser beam. According to the device, since an amount of received light, based on the reflected light beam from the measurement subject, which is positioned far from the device, is less than that of received light based on a reflected light beam from a measurement subject, which is close to the measurement subject, an output corresponding to a distance between the measurement subject and the device can be obtained for each pixel of the CCD. However, if a person is standing nearby the measurement subject and is in the range of the divergent laser illumination, a laser beam may become incident on an eye of the person and may damage the retina. Therefore, three-dimensional measurement using laser beam may be harmful to the retina of a bystander during the measurement. An object of the present invention is to provide a three-dimensional image capturing device and its laser emitting device which emits a laser beam onto a measurement subject to detect three-dimensional distance information of the subject without causing retina damage to an eye of a bystander. According to the present invention, there is provided a laser emitting device applied in a three-dimensional image capturing device, comprising a plurality of laser devices and a laser emitting operating processor. The plurality of laser devices radiates pulse modulated laser beams irradiating a measurement subject for a distance measurement, and the plurality of laser devices is separated into predetermined groups. The laser emitting operating processor controls the laser devices to radiate laser beams concurrently in a group. Each of the laser devices in each group is disposed at predetermined intervals. Further, each illuminating area of the laser beam radiated from each laser device overlaps each other at the distance of the measurement subject. In a preferable example of the laser emitting device, the plurality of laser devices is disposed at regular intervals along a periphery of a photographing lens in a circular arrangement. In another preferable example, a plurality of laser devices is arranged at regular intervals along a line in a predetermined direction. Further, according to the present invention, there is provided a three-dimensional image capturing device, comprising a plurality of laser devices, an imaging device, a signal charge accumulation control processor, a signal charge accumulation control processor, a signal charge integrating processor and a laser emitting operating processor. The plurality of laser devices radiates pulse modulated laser beams irradiating a measurement subject for a distance measurement, and the plurality of laser devices is separated into predetermined groups. The imaging device accumulates signal charge corresponding to a quantity of light received at the imaging device. The signal charge accumulation control processor controls an accumulating operation of signal charge generated in the imaging device due to a reflected light beam of the laser beam, which is reflected by the measurement subject. The signal charge integrating processor drives the signal charge accumulation control processor repeatedly, so that the signal charge accumulated in the imaging device is integrated. The laser emitting operating processor controls the laser devices, which radiate laser beams concurrently in said group. Each of the laser devices in each group is disposed at predetermined intervals. Further, each illuminating area of the laser beam radiated from each laser device overlaps each other at the distance of the measurement subject. Further, according to another aspect of the present invention, there is provided a three-dimensional image capturing device, comprising a plurality of laser devices, an imaging device and a laser radiating control processor. The plurality of laser devices radiates pulse modulated laser beams for a distance measurement in order to detect distance information related to the topography of a measurement subject. The imaging device accumulates signal charge corresponding to a quantity of light received at the imaging device. The laser radiating control processor controls the plurality of laser devices to radiate the laser beams in a predetermined order. Further, each of the laser devices is disposed at predetermined intervals and each illuminating area of said laser beam radiated from each laser device overlaps each other at the distance of the measurement subject. Preferably, the device further comprises a signal charge accumulation control processor and a signal charge integrating processor. The signal charge accumulation control processor controls an accumulating operation of signal charge generated in the imaging device due to a reflected light beam of the laser beam, which is reflected by the measurement subject. The signal charge integrating processor drives the signal charge accumulation control processor repeatedly, so that the signal charge accumulated in the imaging device is integrated. The laser radiating control processor controls the plurality of laser devices to radiate the reflected light beams successively and alternately, so that each of said laser beams may be received respectively in each of accumulating operations executed in the signal charge integrating processor. Further, the imaging device preferably comprises a plurality of photoelectric conversion elements and a signal charge holding unit. The plurality of photoelectric conversion elements accumulates the signal charge in each of the photoelectric conversion elements, and the signal charge holding unit is disposed adjacent to each of the photoelectric conversion elements. So that, the signal charge accumulated in each of the photoelectric charge conversion elements is transferred to each of said accumulating operations executed in the signal charge integrating processor. Furthermore, the plurality of laser devices may be disposed at regular intervals along a periphery of a photographing lens in a circular arrangement, and the laser radiating control processor controls each of the laser devices so as to radiate the laser beams from each of the laser devices successively around the circular arrangement. Alternatively, the plurality of laser devices may be arranged at regular intervals along a line in a predetermined direction and the laser devices repeatedly radiate the laser beams successively along the line. In another preferable example of the three-dimensional image capturing device, the laser radiating control processor controls the plurality of laser devices to radiate the laser beams in a predetermined order consecutively, so that the consecutive laser beams compose a single pulse beam for the distance measurement, and preferably, the single pulse beam comprises a rectangular pulse. In this example, the laser beams may be radiated from the plurality of laser devices successively along the arrangement so as to compose the single pulse beam. The imaging device receives a reflected light beam of the single pulse beam, and detects the distance information, which relates to the measurement subject, from signal charge accumulated in the imaging device, due to the single pulse beam, during a predetermined period. Further, the laser radiating control processor is driven repeatedly and the imaging device respectively accumulates the signal charge in each of the predetermined periods that corresponds to each of said single pulse beams. Moreover, if the imaging device is comprised of the plurality of photoelectric conversion elements and the signal charge holding unit, the signal charge accumulated in each of the photoelectric conversion elements may be transferred to each of the corresponding signal charge holding units for each of the predetermined periods. The present invention is described below with reference to embodiments shown in the drawings. FIG. 1 is an external view of an optical reader of a first embodiment of the present invention. On a front surface of a camera body 10, a view-finder window 12 is provided toward a left-upper edge, adjacent to a photographing lens 11 and an electronic flash 13 is disposed toward a right-upper edge. On a periphery of the photographing lens 11 or a lens mount, a ring shaped laser emitting device 22 is disposed. There are six laser devices (light sources) 14 disposed on a front surface of the laser emitting device 22, and arranged at regular intervals around the ring. On a left side of an upper surface of the camera body 10, a release switch 15 and a liquid crystal display panel 16 are provided, and a mode change dial 17 and a V/D mode switch 18 are provided on a right side of the surface. On a side surface of the camera body 10, a card slot 19 is formed, into which a recording medium, such as an IC memory card, is insertable, and a video output terminal 20 and an interface connector 21 are also provided. FIG. 2 is a block diagram showing an electrical construction of the camera of FIG. 1. An aperture 25 is provided in the photographing lens 11. The opening degree of the aperture 25 is adjusted by an iris drive circuit 26. A focusing operation and a zoom operation of the photographing lens 11 are controlled by a lens drive circuit 27. An imaging device (CCD) 28 is disposed on an optical axis of the photographing lens 11. A subject image is formed on a light receiving surface of the CCD 28 through the photographing lens 11, and an electric charge corresponding to the subject image is generated therein. An operation, such as an accumulating operation and a reading operation of the electric charge of the CCD 28, is controlled by a CCD drive circuit 30. An electric charge signal, i.e., an image signal, read from the CCD 28 is amplified by an amplifier 31, and is converted from an analog signal to a digital signal by an A/D converter 32. The digital image signal is subjected to a process, such as a gamma correction, in the image signal process circuit 33, and is stored as digital image data in an image memory 34. The iris drive circuit 26, the lens drive circuit 27, the CCD drive circuit 30 and the image signal process circuit 33 are controlled by a system control circuit 35. The digital image data are read from the image memory 34, and supplied to an LCD drive circuit 36, which is operated in accordance with the digital image data, so that an image corresponding to the digital image data is indicated on an image indication LCD panel 37. The digital image data read from the image memory 34 are also transmitted to a TV signal encoder 38, so that the digital image data can be transmitted to a peripheral monitor device 39, provided externally to the camera body 10, through a video output terminal 20. The system control circuit 35 is connected to an interface circuit 40, which in turn is connected to an interface connector 21. Therefore, the digital image data read from the image memory 34, can also be transmitted to a computer 41 connected to the interface connector 21. Further, the system control circuit 35 is connected to an image recording device 43 through a recording medium control circuit 42. Therefore, the digital image data read from the image memory 34 can be recorded in a recording medium M, such as an IC memory card, mounted in the image recording device 43. A light emitting element control circuit 44 is connected to the system control circuit 35. Each of the laser devices 14 is provided with a light emitting element or a laser diode (LD) 14a and an illumination lens 14b, and an operation of the light emitting element 14a is controlled by the light emitting element control circuit 44. The light emitting element 14a radiates a laser beam, which is a distance measuring light beam, and which irradiates a whole of a measurement subject through the illumination lens 14b. The laser beam, reflected by the measurement subject, becomes incident on the photographing lens 11. By detecting the laser beam with the CCD 28 provided with a plurality of photo-diodes, which are two-dimensionally disposed on a surface thereof, a three-dimensional image is sensed, as described later. The liquid crystal display panel 16 and a switch group 45, including the release switch 15, the mode change dial 17 and the V/D mode switch 18, are connected to the system control circuit 35. With reference to FIGS. 3 and 4, a principle behind a distance measurement in the embodiment is described below. Note, in FIG. 4, the abscissa indicates time “t”. A distance measuring light beam output by a distance measurement device B is reflected by a measurement subject S, and the reflected light beam is sensed by a CCD (not shown). The distance measuring light beam is a pulse, the width of which is “H”. Accordingly, the reflected light beam is a pulse, the width of which is “H”, similarly to the distance measuring light beam. Therefore, a rise of the pulse of the reflected light beam occurs after a rise of the pulse of the distance measuring light beam by a time δ·t (δ is a delay coefficient). Since the distance measuring light beam and the reflected light beam have both traveled a distance “r” between the distance measurement device B and the measured subject S, the distance “r” is represented as follows:r=δ·t·C/2  (1)wherein “C” is the speed of light. For example, by setting a condition in such a manner that the reflected light beam can only be sensed from a rise of the pulse of the distance measuring light beam to a point prior to a fall of the pulse of the reflected light beam, i.e., by providing a gate pulse corresponding to a reflected light beam detecting period T, an amount “A” of received light from the reflected light beam becomes a function of the distance “r”. Namely, the greater the distance “r” (or the greater the time δ·t), the less the received light amount A. In the embodiment, by taking advantage of the principle described above, the received light amount A is sensed using each of the photo-diodes (photoelectric conversion elements) of the CCD 28, the distance from the camera body 10 to each point on the surface of the measurement subject S is sensed, and data of the three-dimensional image, which indicates a topography of the measurement subject S, can be obtained concurrently. FIG. 5 is a plan view showing a disposition of the photo-diodes 51 and a vertical transfer unit 52, which are provided in the CCD 28. Actually, a multitude of photo-diodes 51 are arranged in a matrix, and a corresponding vertical transfer unit 52 is disposed beside each vertical column of photo-diodes 51. FIG. 6 is a sectioned elevational view of the CCD 28 in which the CCD 28 is cut by a plane perpendicular to a substrate 53. The CCD 28 is an interline CCD of vertical overflow drain (VOD) type, in which unwanted charge is discharged to the substrate 53. The photo-diodes 51 and the vertical transfer unit (signal charge holding unit) 52 are formed along a surface of the n-type substrate 53. A plurality of the photo-diodes 51 are two-dimensionally disposed in a matrix arrangement, and the vertical transfer unit 52 is disposed adjacent to the photo-diodes 51, parallel to rows extending in a vertical direction in FIG. 5. The vertical transfer unit 52 has four vertical transfer electrodes 52a, 52b, 52c and 52d, which correspond to each of the photo-diodes 51. Therefore, in the vertical transfer unit 52, four potential wells can be formed, so that a signal charge is output from the CCD 28 by controlling a depth of the wells, as is well known. Note that a number of the vertical transfer electrodes can be changed, depending upon the requirement of the CCD 28. The photo-diodes (PD) 51 and the vertical transfer unit (V-CCD being signal charge holding unit) 52 are disposed in a p-type well formed on a surface of the substrate 53. The p-type well is completely depleted due to an inverse bias voltage applied between the p-type well and the n-type substrate 53. In this state, electric charge is accumulated in the photo-diodes 51, and an amount of the electric charge corresponds to an amount of an incident light beam, which is the reflected light beam reflected by the measurement subject. When a substrate voltage is changed to a value greater than a predetermined value, electric charge accumulated in the photo-diodes 51 is discharged to the substrate 53. Conversely, when an electric charge transfer signal, which is a voltage signal, is applied to a transfer gate (TG) 54, the electric charge accumulated in the photo-diodes 51 is transferred to the vertical transfer unit 52. Namely, after the electric charge is discharged to the substrate 53 by the electric charge discharging signal, the signal charge accumulated in the photo-diode 51 is transferred to the vertical transfer unit 52 by the electric charge transfer signal. By repeating the discharge and the transfer, an electronic shuttering operation is performed. FIG. 7 is a timing chart of a distance information sensing operation by which data, corresponding to the distance from the camera body 10 to each point on a surface of the measurement subject, is sensed. The distance information sensing operation is described below with reference to FIGS. 1, 2, 5, 6 and 7. Note that the timing chart of the distance information sensing operation in the present embodiment is slightly different from the timing chart of the distance measurement principle, which was described above with reference to FIG. 4. Namely, the timing chart of the present embodiment is set so as to sense the reflected light beam from a point subsequent to the rise of the reflected light beam pulse to a point subsequent to the fall. By this manner, a noise component due to an ambient daylight may be reduced, though the principles of the above distance measurement means are basically the same. In synchronization with an output of a vertical synchronizing signal (not shown), an electric charge discharging signal (a pulse signal) S1 is output, so that unwanted charge, which is accumulated in the photo-diodes 51, is discharged to the substrate 53. The electric charge value, while the pulse signal S1 is output, is indicated as S2 in the chart. After the electric charge discharging signal S1 is output, the laser emitting device 22 is actuated, and thus a distance measuring light beam S3, which is a pulsed beam having a constant width Ts, is output therefrom. A period for outputting the distance measuring light beam S3 or the width of the pulse beam is modulated according to a requirement. In the present embodiment, the distance measuring light beam S3 is modulated as to be completed approximately simultaneously with a completion of the output of the electric charge discharging signal S1. The distance measuring light beam S3 is reflected by the measurement subject, and enters the CCD 28 as a reflected light beam S4. When the output of the electric charge discharging signal S1 ends, the electric charge for incident light, which comprises the reflected light beam S4 and an ambient daylight, starts on each of the photo-diodes and a signal charge S5 is generated. When an incident of the reflected light beam S4 is completed, i.e. after the fall indicated with a reference sign S6, the photo-diodes only generate signal charge S8 due to the ambient daylight. An electric charge transfer signal (pulse signal) S9 is output and an electric charge accumulated in the photo-diodes 51 is transferred to the vertical transfer unit 52. The operation of transferring the accumulated electric charge in the photo-diodes 51 ends with the fall S10, which is a termination of the output of the electric charge transfer signal S9. Namely, a signal charge S11 of which electric signal accumulation was started just after the completion of the electric charge discharging signal output and terminated just after the completion of the output of the electric transfer signal S9, is transferred to the vertical transfer unit 52, while the photo-diodes continue to accumulate electric signals S14 due to the ambient daylight. Thus during a period TU1 from the end of the output of the electric charge discharging signal S1 to the end of the output of the electric charge transfer signal S9, a signal charge S11, corresponding to distances from the camera body 10 to the measurement subject and the ambient daylight is accumulated in the photo-diodes 51. Namely, the signal charge S12, a hatched portion of signal charge S11, corresponds to the distances from the camera body 10 to the measurement subject, while a residual portion S13 of the signal charge S11 results from the ambient daylight. When a predetermined time has elapsed since the output of the electric charge transfer signal S9, a subsequent electric charge discharge signal is output, so that the signal charge S14, an electric charge accumulated in the photo-diodes 51 after the signal charge transfer to the vertical transfer unit 52, is discharged to the substrate 53. Subsequently, another signal charge is accumulated in the photo-diodes 51. Then, similarly to the above description, when the electric charge accumulation period TU1 has again elapsed, the signal charge S11 is transferred to the vertical transfer unit 52. The transferring operation of the signal charge S11 to the vertical transfer unit 52 is repeatedly performed until the next vertical synchronizing signal (not shown) is output. Thus, the signal charge S11 is integrated in the vertical transfer unit 52. The signal charge S11 integrated for one field period, which is between two vertical synchronizing signals, comprises not only a signal charge S12 corresponding to distance in formation of the measurement subject but a signal charge S13 due to the ambient daylight. However, since the signal charge S13 is negligible as compared with the signal charge S12, the signal charge S11 can be regarded to correspond to the distance information of the subject, on condition that the measurement subject is stationary for the period between the two vertical synchronizing signals. Therefore, when the relations between a period TD, the width of the pulse S5 which correspond to a detected period of reflected light beam S4, and the signal charge S11 are known, a distance “r” that is from the camera body to the measurement subject is calculated from the signal charge S11, since the period TD corresponds to an amount of δ·t in the equation (1). The detecting operation of the signal charge S11 described above is carried out in all of the photo-diodes 51 provided in the CCD 28. As a result of the detecting operation for one field period, the distance information sensed by the photo-diodes 51 is held in each corresponding vertical transfer unit 52, which is located adjacent to each column of photo-diodes 51. The distance information is output from the CCD 28 by a vertical transferring operation of the vertical transfer units 52 and a horizontal transferring operation of a horizontal transfer unit (not shown). The distance information is then output from the CCD 28, as a three-dimensional image data of the measured subject. With reference to FIG. 8 and FIG. 9, timing of laser emissions from the six laser devices 14 is described. FIG. 8 illustrates a front view of the ring shaped laser emitting device 22. Each of the light sources 14, arranged around the ring at regular intervals, is indicated with reference numbers 141 through 146, respectively. A numbering of the light sources starts from the light source at 12 o'clock, and succeeds in a clockwise direction. Each pair of the light sources 141 and 144, 142 and 145, 143 and 146 is disposed symmetrically with respect to the center of the ring or photographing lens. FIG. 9 is a timing chart that shows the timing of the accumulating period TU1 and a pulse modulated distance measuring light beam emission, which is radiated from the above six light sources. In a light or laser emitting operation of the light sources 141 through 146, laser pulse beams (distance measuring light beams) Sa and Sa′ are simultaneously radiated from the light sources 141 and 144, as a first step. When the pulse beam radiations from the light sources 141 and 144 end and pulse beams Sa and Sa′ fall, the first accumulating period starts. Then laser pulse beams Sb and Sb′ are simultaneously emitted from the light sources 142 and 145, respectively, on condition that reflected light beams of the pulse beams Sb and Sb′ are not incident on the CCD 12 during the first accumulating period. When the radiations of the light sources 142 and 145 end and the pulse beams Sb and Sb′ fall, the second accumulating period starts. In the same way, laser pulse beams Sc and Sc′ are simultaneously emitted from the light sources 143 and 146, respectively, on condition that reflected light beams of the pulse beams Sc and Sc′ are not incident on the CCD 12 during the second accumulating period, and the third accumulating period starts just after the fall of pulse beams Sc and Sc′. As described above, the pairs of laser pulse beams are cyclically emitted from the three pairs of the light sources 141 and 144, 142 and 145, 143 and 146 in the above described manner for one field period, and signal charges comprising the distance information are accumulated in each operation. As described in the following, light sources that are symmetrical with respect to the center of the photographing lens are paired, such as the pairs of light sources 141 and 144, 142 and 145, 143 and 146, so that a distance between luminous centers of paired light sources is made wide as possible. FIG. 10 illustrates an illumination area of the pulse beams, which are simultaneously radiated from the light sources 141 and 144. The pulse beams, which are distance measuring light beams, radiated from the light sources 141 and 144 illuminate approximately the same area U at the distance of the measurement subject. Namely, centers of illuminating areas of the each distance measuring light beam, which are indicated with points P and P′, are nearly identical in area U. Further, a distribution of radiance due to the each light source is approximately uniform in the illuminating area U. Thus even when radiant power of a laser beam radiated from each light source is reduced to 50 percent of the power sufficient for the distance measurement, in the illuminating area U, in which two of the distance measuring light beams overlap, a radiant power sufficient for the measurement can be obtained. Note that FIG. 10 only illustrates an example of illumination which is executed by the light sources 141 and 144, however, the illumination executed by the pairs of light sources 142 and 145, 143 and 146 are the same. In FIG. 11, a context, in which light beams emitted from the light sources 141 and 144 are incident on an eyeball, is illustrated. In the present embodiment, a distance measuring light beam is a laser of which wavelength is between 400 through 1400 nm and peripherally is a near-infrared laser. In this region of wavelength, only a small part of the laser beam is absorbed at a cornea C or a crystalline lens L, and most of the laser beam is incident on a retina R. Therefore a value of the maximum permissible exposure (MPE) for an eye is dependent only on the damage that may be caused on a retina R. However, the light beams emitted from the light sources 141 and 144 are diverged by illumination lens 14b so as to illuminate the entire measurement subject, human eyes unconsciously adjust the focus of a lens to the light sources. Thus, a light beam emitted from a light source and incident to an eye is concentrated on a point on a retina, which is an intrabeam viewing. Namely, a light beam emitted from the light source 141 penetrates the cornea C and the crystalline lens L and is concentrated upon the point Q which is on the retina R of the eyeball E. In the same way, a light beam emitted from the light source 144 penetrates the cornea C and the crystalline lens L and is concentrated upon the point Q′ which is on the retina R. As described above, each of the light beams emitted from the light sources 141 and 144 is concentrated on the different points Q and Q′, respectively. Namely, since the radiant power of the light beams emitted from each of the light sources is reduced by half from the radiant power sufficient for the distance measurement, radiance at the points Q and Q′, on which the light beams are concentrated, is reduced by nearly 50 percent. Therefore, according to the first embodiment, sufficient quantity of light for the distance measurement may be obtained while radiance at the points of the retina R (the point Q or Q′ for example) on which the light beams emitted from a light source is concentrated, is reduced by half. Note that the distance between the points Q and Q′ increases as the light sources 141 and 144 separate. Further, in the first embodiment, the light sources are separated into three pairs, which are comprised of the light sources 141 and 144, 142 and 145 or 143 and 146, and from each pair of light sources, the distance measuring light beams are successively emitted as shown in the timing chart of FIG. 9. Namely, as the pairs of light sources radiate the light beams in sequence, light beams emitted from each pair of the light sources are concentrated upon different points on the retina, and a point on which a light beam is concentrated shifts its position as the sequence proceeds, and a time period (Ts) for which a laser beam is continuously concentrated on a point may be shortened. Therefore, according to the first embodiment, a quantity of light may be raised without exceeding the MPE of an eye, since integrated radiance received at the above each point may be reduced. FIG. 12 shows relations between the reflected light beam, which is received at the photo-diodes 51, and the accumulating period, when laser beams are emitted from each of the light sources at the timing shown in FIG. 9. In FIG. 12, the abscissa indicates time. A section with hatched lines indicates a portion of the reflected light beam received at the photo-diodes 51, an area of which corresponds to the signal charge accumulated in the photo-diodes 51. The radiant power of laser beams emitted from each of the light sources is approximately reduced by 50 percent of that required for the distance measurement. Consequently, signal charge accumulated in each of the photo-diode 51 due to a reflected light beam of the laser beam radiated from one light source is also reduced by half. However, since a pair of the light sources, for example light sources 141 and 144, simultaneously emits the distance measuring light beams; a total amount of the signal charge accumulated in each of the photo-diodes 51 during one accumulating period is not reduced. Namely, the total amount of the signal charge accumulated in each of the photo-diodes 51 during one accumulating period corresponds to the quantity of light indicated with portions S15 and S16, for example. Thus, the accumulated signal charge is sufficient for the distance measuring. As described above, according to the first embodiment, integrated radiance of a laser beam that is incident on a retina of a person standing within an illuminating region of the diverged laser beam is reduced without decreasing the total quantity of light, thus eye safety maybe improved while maintaining the quantity of light sufficient for the distance measurement. Next, with reference to FIG. 13 and FIG. 14, an explanation for a second embodiment of the present invention is given. FIG. 13 is an external view of a camera type optical reader or three-dimensional image capturing device of a second embodiment of the present invention. Only a figure and disposition of the laser emitting device 22 and an arrangement of laser device or light source 14 differ from the first embodiment. The rest of configurations are the same as the first embodiment. In the second embodiment, the laser emitting device 22 is disposed on the upper surface of the camera body 10 and along an edge of the front surface. The laser emitting device 22 is a rectangular parallelepiped shaped with the longitudinal direction identical to the above edge. On the front side of the laser emitting device 22, there are six light sources 14 disposed at regular intervals on a line along the edge. As shown in FIG. 14, each light source is indicated with the numbers 141 through 146, respectively from the left side of the figure. In the same way as the first embodiment, the light sources 141 to 146 are separated into three pairs 141 and 144, 142 and 145, 143 and 146. Namely, each pair of light sources are arranged with two other light sources in between. The each pair of the light sources radiates a distance measuring light beam or pulse modulated laser beam in the same way described in the first embodiment. As described above, according to the second embodiment, the advantages of the first embodiment are also obtained. Note that, in the first and second embodiments, the six light sources are separated into three pairs, and each light source in a pair simultaneously emits a light beam, however, the light sources may be separated into two groups, which comprise three light sources in each group. For example, the groups may be comprised of light sources 141, 143 and 145 and light sources 142, 144 and 146. Further, in the first and second embodiments, each of the light sources in a pair or a group is disposed at a predetermined distance apart with a light source of an other group in between, though this is not essential. The light sources in one group may be disposed adjacently. A third embodiment of the present invention is described below with reference to FIG. 8 and FIG. 15. Mechanical and electric structure of the third embodiment is identical with the first embodiment. However, a timing of the laser beam emission from the light sources or laser devices 141 to 146 is different from the first embodiment. FIG. 15 is a timing chart showing the relations between the accumulating period of signal charge at the photo-diodes 51 and the laser pulse beam, which is emitted from the light sources 141 through 146. In the third embodiment, each light source successively alternately radiates a laser pulse beam around the circular arrangement. At first, the laser beam S21 is radiated from the light source 141. When the laser pulse beam radiation from the light source 141 ends and pulse beam S21 falls, the first accumulating period begins. Then laser pulse beam S22 is emitted from the light source 142 on condition of reflected light beams of the pulse beam S22 not being incident on the CCD 12 during the first accumulating period. When the radiation of the light source 142 ends and the pulse beam S22 falls, the second accumulating period starts. In the same way, laser pulse beams S23 to S26 are emitted from the light sources 143 to 146, respectively, so as not to receive reflected light beams of the pulse beams S23 to S26 during the prior accumulating period. Namely, the respective light sources 141 through 146 emit the pulse beams S21 to S26 by turns, and each of the first to the sixth accumulating periods starts just after the fall of each pulse. As described above, this laser beam emitting operation cyclically continues for one field period. FIG. 16 is a timing chart of the accumulating periods and reflected light beams received at the photo-diodes 51, when the laser beams S21 to S26 are radiated from the light sources 141 to 146 with the timing shown in FIG. 15, and in which the abscissa represents time. A section with hatched lines indicates a portion of a reflected light beam or pulse, and corresponds to signal charge accumulated in the photo-diodes 51. Namely, signal charges accumulated in each accumulating period are represented by hatched portions of the pulse beams S31 through S36, which are the reflected light beams emitted from the each of the six light sources 141 to 146, and the signal charges comprise distance information of the measuring subject. A laser emitting operation or distance measuring light beam emitting operation by the light sources 141 to 146 and a signal charge accumulating operation are alternately repeated over one field period. The distance information of the measurement subject is calculated from one field period integrations of the signal charges, which are indicated with the hatched portions, accumulated in each accumulating period. Each of the light sources 141 to 146 individually emits laser beams in a regular sequence as shown in FIG. 16. Therefore, an interval of the light emission in the each light source, a light emitting period, comprises six accumulating periods TU1. A period TP in FIG. 16 indicates an interval between two succeeding accumulating periods, so that a light emitting period of each light source becomes 6·TP. Consequently, in the present embodiment, for each of the light sources (six laser devices) disposed on the laser emitting device, the light emitting period is six times longer than a period required in a laser emitting device in which comprises only one laser device but radiates the same quantity of light during the integration. As discussed in the first embodiment, with reference to FIG. 11, the light beams radiated from each light source concentrate upon the different points of the retina R, and a point exposed to a concentrated light beam that is incident on the retina R shifts by turns as the laser emitting operation proceeds and a current light source switches to a succeeding light source. Namely, a period of time for an incident light beam to reconcentrate onto a same point on the retina R is elongated six times of that in the operation executed by the laser emitting device with a single laser device. Therefore, radiant energy of laser beam radiated from a single light source during one field period or one light-emitting period (6·TP) is reduced to ⅙ even though radiant energy of laser beam for each emission is not reduced, and the integrated radiance received at each of the points on the retina R during one field period or one light emitting period (6·TP)is also reduced to ⅙. As a result, integrated radiance at each above point of the retina, on which the incident light beam is concentrated, may be maintained below the MPE of an eye and the possibility of damage caused by the light beam to the retina is significantly decreased and eye safety improves. Further, since the six light sources sequentially emit a laser beam to a measurement subject, sufficient quantity of light for a distance measurement is obtained, even though the radiant energy of each light source during one field period or one emitting period (6·TP) is reduced to ⅙. As described above, according to the third embodiment, the same advantage as in the first and second embodiments is obtained. Next, referring to FIG. 13 through FIG. 16, a fourth embodiment of the present invention is described. A camera type three-dimensional image capturing device in the fourth embodiment is identical to the second embodiment as to the mechanical and electrical configuration, and a laser emitting operation is the same as the operation in the third embodiment. Namely, a perspective view of the camera is shown in FIG. 13 and disposition of the light sources or laser devices 14 is illustrated in FIG. 14. Further, timing between the accumulating period and laser pulse beam emission and between the accumulating period and reception of reflected light beams at the photo-diodes, executed in the laser emitting operation of the fourth embodiment, is described in FIG. 15 and FIG. 16. By operating the six light sources 141 through 146 in the manner described in the light emitting operation of the third embodiment, the same advantages as in the former embodiment are obtained. With reference to FIG. 17 and FIG. 18, a fifth embodiment of the present embodiment is described. Mechanical and electrical configurations of the fifth embodiment are the same as the first and third embodiments, so that a perspective view of a camera and disposition of the light sources in the fifth embodiment are illustrated in FIG. 1 and FIG. 8. The fifth embodiment differs from the first and third embodiments in its laser emitting operation. FIG. 17 is a timing chart that describes timing between the accumulating period and the laser beam emission executed in each of the light sources 141 to 146. Firstly, the pulse beam S41 is emitted from the light source 141. Approximately at the same time as the pulse beam S41 falls, the pulse beam S42 is emitted from the light source 142. Then approximately concurrently with the pulse beam S42 falling, the pulse beam S43 is emitted from the light source 143. In the same manner, the pulse beams S44, S45 and S46 are successively emitted from the light sources 144, 145 and 146, respectively to the measurement subject. When the pulse beam S46 falls, a signal charge accumulation starts in the photo-diodes 51, and the accumulating operation lasts for the accumulating period TU1. The pulse S47 describes illuminance at a certain point on the surface of the measurement subject. The laser emitting operation for the light sources from 141 through 146 is controlled as if the pulse beams S41 through S46 compose the continuous single pulse beam S47. The distance measurement in the present embodiment is carried out with the light beam S47, which is composed of the pulse beams S41 to S46. Namely, a pulse width of the pulse beam or light beam S47 is TS, and the pulse width of each of the pulse beams S41 to S46 is TS/6. FIG. 18 is a timing chart which shows the relation between the reflected light beams S51 to S56, which respectively correspond to each pulse beam S41 to S46 and are received at the photo-diodes 51, and the accumulating period TU1. Each of the light beams S41 to S46 are reflected by the measurement subject and the corresponding reflected light beams S51 to S56 are received at the photo-diodes 51 when the period of time TD passes. Signal charge that is accumulated in the photo-diodes 51 is indicated with the respective hatched portions S58, S59 and S60 of the reflected light beams S54, S55 and S56, which are received at the photo-diodes 51 during the accumulating period TU1. Each of the reflected light beams is received consecutively, so that the quantity of the reflected light beams received at the photo-diodes 51 are described as a single pulse S57. The hatched portions S58, S59 and S60 correspond to a hatched portion S61 of the pulse S57, and the width of the hatched portion S61 corresponds to the period TD. Therefore, the quantity of light, which is indicated by the hatched portion S61, represents the distance from the camera body to the measurement subject. The above laser emitting operation and the accumulating operation are repeatedly continued for one field period, and the signal charge accumulated in the photo-diodes 51, which corresponds to the hatched portion S61, is integrated in the vertical transfer unit 52, as described in the first embodiment. Further, the distance from the camera body to the measurement subject is calculated from the integrated signal charge. In the present embodiment, as shown in FIG. 17, the distance measuring light beams from S41 through S46, each having a TS/6 pulse width, are emitted sequentially and individually in this order from each of the six light sources 141 to 146, which are disposed in the laser emitting device 22. The reflected pulse beams S51 to S56, emitted from each of the light sources and reflected by the measurement subject, can be regarded as a single pulse beam S47 with the pulse width TS. The pulse width is reduced to ⅙ of Ts, however, radiant power (corresponds to the pulse height) of each light beam radiated from the each light source has intensity sufficient for the distance measurement, thus the radiant energy radiated in a single emission is reduced to ⅙ of the pulse of the width TS. Each laser beam incident on the retina R, which corresponds to the reflected light beams S51 to S57, is concentrated on a different point of the retina R (see FIG. 11), and the point, on which the current laser beam is concentrated, shifts its position as the laser emitting operation proceeds. Therefore, integral radiance at the point of the retina R on which the laser beams is concentrated in a single emission is reduce to ⅙ when it is compared with the laser emitting operation executed by the laser emitting device with a single laser device. Consequently, integrated radiance at each above point of the retina, on which the incident light beam is concentrated, may be maintained below the MPE of an eye and possibility of damage caused by the light beam to the retina is significantly decreased and eye safety improves. Namely, the same advantage as the preceding embodiments is obtained by the fifth embodiment. Note that in the present embodiment, a laser pulse beam or a distance measuring light beam is described as a perfect rectangular pulse, however, an actual pulse beam is not a perfect rectangular pulse and has a substantial rise time and fall time, which are transitional periods for a rise and fall of the pulse. Therefore, a fall time of a preceding pulse beam may be overlapped with a rise time of a succeeding pulse beam, and a continuous rectangular like pulse beam is composed. The sixth embodiment of the present invention is described below with reference to FIG. 13, FIG. 14, FIG. 17 and FIG. 18. A camera type three-dimensional image capturing device in the sixth embodiment is identical to the second and fourth embodiments as to the mechanical and electrical configuration. A laser emitting operation in the sixth embodiment is the same as the operation in the fifth embodiment. Namely, a perspective view of the camera is shown in FIG. 13 and disposition of the light sources or laser devices 14 is illustrated in FIG. 14. Further, timing between the accumulating period and laser pulse beam emission and between the accumulating period and reception of reflected light beams at the photo-diodes, executed in the laser emitting operation of the sixth embodiment, is described in FIG. 17 and FIG. 18. The six light sources, from 141 through 146, are operated in a manner described in the light emitting operation of the fifth embodiment. As a result, the same advantage as in the previous embodiments is obtained. Note that, in the present embodiments, a laser beam is divergently emitted so as to illuminate the whole of the measurement subject, so that radiance of the laser beam radiated from a light source varies as a distance to the measurement subject changes. Therefore, radiant power of each light source is adjustable in order to maintain the radiance on the surface of the measurement subject to be constant. In the present embodiments, the number of the light sources is six, however, the number of the light sources may be increased or decreased. Further, in the third through sixth embodiment, the laser emitting operation of the light sources is carried out in the order of their disposition. However, this order is not essential, and the order may be at intervals or at random. Although the embodiments of the present invention have been described herein with reference to the accompanying drawings, obviously many modifications and changes may be made by those skilled in this art without departing from the scope of the invention. The present disclosure relates to subject matter contained in Japanese Patent Application Nos. 11-194253, 11-198516 and 11-198741 (filed on Jul. 8, Jul. 13 and Jul. 13, 1999, respectively), which are expressly incorporated herein, by reference, in their entireties.
059206012
claims
1. A neutron delivery system providing improved capability for tumor control during medical therapy, the system comprising: a means for producing a proton beam; at least one target; a means for directing the proton beam into the at least one target; said at least one target comprising means for producing, when impacted by the proton beam, at least one neutron beam having a bimodal energy spectrum for use with both fast-neutron therapy and boron neutron capture therapy; and a collimator wherein the at least one neutron beam passes prior to delivery to a patient. a proton-neutron conversion region; a spectral filter means for producing a neutron beam from the neutron filtering subassembly, the means adjustable by an operator for producing a spectrum having a bimodal shape; and a plurality of filters for flattening and wedging purposes wherein the neutron beam is flattened and tilted, the plurality of filters being operator-controlled so that the low-energy component of the neutron beam can be reduced when it is desired to administer fast-neutron therapy alone, without neutron capture therapy augmentation. a housing that is mounted in a large circular opening in an interior wall of a building where the system is installed, said housing being mounted on roller bearings within the interior wall; said interior wall being providing neutron shielding; a ring gear wrapped circumferentially around a periphery of the housing; and at least one drive motor mechanically coupled to the ring gear to engage the ring gear and facilitate rotation of the balanced rotating structure, wherein the structure is rotated about an isocenter. a means for producing a proton beam in the 50-70 MeV energy range; a target comprising means for producing a tailored neutron beam having a high-energy component in the 30-50 MeV range and a low-energy component in the 10 KeV to 2 MeV range; a means for directing the proton beam onto the target which produces a neutron beam in response to such proton beam/target interaction; a spectral filter means immediately downstream of the target and which further tailors the tailored neutron beam into a desired bimodal spectral shape such that when the neutron beam impinges upon a volume of hydrogenous tissue (the "treatment volume"), the resulting absorbed radiation dose-depth profile induced by such neutrons has a half-value depth of at least from about 17.0 to about 21.0 centimeters when such neutron beam is collimated to transverse dimensions of 20 centimeters by 20 centimeters and such that when the collimated neutron beam impinges on the treatment volume a scaler thermal-neutron fluency field of from about 2 to about 5.times.10.sup.10 neutron per square centimeter (2200 meters per second equivalent) per 100 centigrays of fast neutron dose is simultaneously generated at a 5 centimeter depth on-axis; a flattening and wedge filter means downstream of the spectral filter means and having additional components to selectively reduce the low energy component of the neutron beam when it is desired to administer fast-neutron therapy alone, without neutron capture therapy augmentation; and a collimator means for directing the one or more neutron beams to a desired target to be treated. producing a proton beam; directing the proton beam into at least one target; creating at least one neutron beam having a bimodal energy spectrum for use with both fast-neutron therapy and boron neutron capture therapy, the at least one neutron beam being created upon impact of the proton beam with the at least one target; tailoring the at least one neutron beam by means of a collimator; delivering the tailored at least one neutron beam to a patient; and housing the system in a balanced rotating structure for ease of adjustment. 2. The neutron delivery system of claim 1 wherein the means for producing a proton beam comprises a particle accelerator. 3. The neutron delivery system of claim 2 wherein the particle accelerator comprises a cyclotron. 4. The neutron delivery system of claim 3 wherein the cyclotron comprises a compact superconducting cyclotron. 5. The neutron delivery system of claim 1 wherein the means for producing a proton beam comprises means for producing a proton beam in the 50-70 MeV range. 6. The neutron delivery system of claim 1 wherein the means for directing the proton beam into the at least one target comprises a proton beam bending magnet system. 7. The neutron delivery system of claim 1 wherein the at least one target comprises means for producing at least one neutron beam having a high energy component in the 30-60 MeV range and a low-energy component in the 10 KeV to 2 MeV range. 8. The neutron delivery system of claim 1 wherein the at least one target comprises a plurality of layers having means for producing at least one neutron beam that is a single tailored neutron beam with certain energies being suppressed and other energies being enhanced. 9. The neutron delivery system of claim 8 wherein the plurality of layers of the at least one target comprises: 10. The neutron delivery system of claim 9 wherein the proton-neutron conversion region comprises a layer of beryllium operably attached to a layer of tungsten. 11. The neutron delivery system of claim 10 wherein the layer of beryllium has a thickness of between about 3 to about 10 millimeters and wherein the layer of tungsten has a thickness of between about 1 to about 7 millimeters. 12. The neutron delivery system of claim 9 wherein the neutron filtering subassembly comprises neutron cross section characteristics suitable for effecting the desired spectral modification of the beam. 13. The neutron delivery system of claim 9 wherein the spectral filter means further tailors the tailored neutron beam into a desired multi-modal spectral shape such that when the neutron beam impinges upon a volume of hydrogenous tissue (the "treatment volume"), the resulting absorbed radiation dose-depth profile induced by such neutrons has a half-value depth of at least from about 17 to about 21 centimeters when such neutron beam is collimated to transverse dimensions of 20 centimeters by 20 centimeters and such that when the collimated neutron beam impinges on the treatment volume a scaler thermal-neutron fluency field of from about 2 to about 5.times.10.sup.10 neutron per square centimeter (2200 meters per second equivalent) per 100 centigrays of fast neutron dose is simultaneously generated at a 5 centimeter depth on-axis. 14. The neutron delivery system of claim 13 wherein the spectral filter means comprises tungsten, bismuth, and iron, and a hydrogeneous material. 15. The neutron delivery system of claim 9 wherein the bimodal shape of the spectrum is a function of energy as the result of the action of the target and filter. 16. The neutron delivery system of claim 9 wherein the plurality of filters for flattening and wedging purposes are composed of tungsten and iron. 17. The neutron delivery system of claim 16 wherein the plurality of filters for flattening and wedging purposes additionally comprise a hydrogenous material for reducing the low-energy component of the spectrum. 18. The neutron delivery system of claim 17 wherein the hydrogenous material comprises polyethylene. 19. The neutron delivery system of claim 1 wherein the collimator comprises a multi-segment collimator for the neutron beam to pass through prior to delivery to the patient at an isocenter. 20. The neutron delivery system of claim 1 wherein the collimator comprises iron and bismuth. 21. The neutron delivery system of claim 1 wherein a balanced rotating structure houses the system and wherein the balanced rotating structure comprises a rotating isocentric gantry means to contain all system components in a manner such that the neutron beam from the collimator is easily moved for exposing the target to be treated in different directions. 22. The neutron delivery system of claim 21 wherein the balanced rotating structure for housing the system comprises: 23. A neutron delivery system providing improved capability for tumor control during medical therapy, the system comprising: 24. A method for using a neutron delivery system to provide improved tumor control capability during medical therapy, the method comprising: 25. The method of claim 24 wherein the step of producing a proton beam comprises producing a proton beam in the 50-70 MeV energy range. 26. The method of claim 24 wherein the step of producing a proton beam comprises producing a proton beam with a superconducting cyclotron. 27. The method of claim 24 wherein the step of creating at least one neutron beam having a bimodal energy spectrum comprises creating at least one neutron beam having a high energy component in the 30-70 MeV range and a low-energy component in the 10 KeV to 2 MeV range. 28. The method of claim 24 wherein the step of creating at least one neutron beam comprises creating a single tailored neutron beam by exposing a target means with the neutron beam and by the use of filters to eliminate or enhance neutrons of certain energies. 29. The method of claim 28 further comprising the step of passing the tailored neutron beam through a spectral filter means which further tailors the tailored neutron beam into a desired multi-modal spectral shape such that when the neutron beam impinges upon a volume of hydrogenous tissue (the "treatment volume"), the resulting absorbed radiation dose-depth profile induced by such neutrons has a half-value depth of from at least about 17 to about 21 centimeters when such neutron beam is collimated to transverse dimensions of 20 centimeters by 20 centimeters and such that when the collimated neutron beam impinges on the treatment volume a scaler thermal-neutron fluency field of from about 2 to about 5.times.10.sup.10 neutron per square centimeter (2200 miles per second equivalent) per 100 centigrays of fast neutron dose is simultaneously generated at a 5 centimeter depth on-axis.
summary
055106650
claims
1. An optoelectronic device comprising: a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; and optical control means comprising a generally planar sheet of photorefractive material that is a liquid crystal display material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal. a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; and optical control means comprising a generally planar sheet of photorefractive material that is a lead lantium zirconium titinate material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal. a light source means that is a light emitting polymer material having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; and optical control means comprising a generally planar sheet of photorefractive material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal. a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth that is substantially monochromatic; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; and optical control means comprising a generally planar sheet of photorefractive material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal. a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal: optical control means comprising a generally planar sheet of photorefractive material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means; and focal means optically interposed between the light emitting surface of the light source means and the light collecting surface of the photocell means for focusing the emitted light energy on the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal. a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; optical control means comprising a generally planar sheet of photorefractive material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal; a first optical mating means optically interposed between the light emitting surface of the light source means and the optical control means; and a second optical mating means optically interposed between the optical control means and the light collecting surface of the photocell means, whereby the first and second optical mating means operate to increase the transmisivity of the emitted light energy. a light source means having at least one light emitting surface for emitting light energy in a specified frequency bandwidth; a photocell means having a light collecting surface for absorbing light energy and converting the light energy into an electrical output signal; optical control means comprising a generally planar sheet of photorefractive material having at least a first and second surface, the first surface being in intimate contact with the light emitting surface of the light source means and the second surface being in intimate contact with the light collecting surface of the photocell means for transmitting and directly modulating the amount of emitted light energy that may be absorbed by the photocell means in response to an input signal by receiving the emitted light incident upon the first surface, modulating the emitted light within the photorefractive material in response to the input signal and emitting a modulated light energy from the second surface to be absorbed by the photocell means, such that the optotelectronic device behaves as an active circuit element where the electrical output signal is a function of the input signal and such that the optoelectronic device operates at frequencies greater than 1 megahertz and has a functional capacitance of less than 1 microfarad. emitter means for providing photon energy; collector means for receiving photon energy from the emitter means and converting the photon energy to an electrical energy signal; and optical control means comprised of a generally planar sheet of photorefractive material having at least a first and second surface interposed between and in intimate contact with the emitter means and the collector means for transmitting and directly modulating the amount of photon energy from the emitter means to the collector means in response to an electrical input signal by receiving the photon energy incident upon the first surface, modulating the photon energy within the photorefractive material in response to the electrical input signal and emitting a modulated photon energy from the second surface to be absorbed by the collector means, such that the electrical energy signal is a function of the electrical input signal. 2. An optoelectronic device comprising: 3. An optoelectronic device comprising: 4. The optoelectronic device of claim 3 wherein the light emitting polymer material comprises an organize polymer incorporating a radioisotope emitting betas to which an organic phosphor is bonded, wherein the radioisotope consists of a radioisotope selected from the group .sup.3 H, .sup.10 Be, .sup.14 C, .sup.32 Si and .sup.32 P. 5. The optoelectronic device of claim 3 wherein the light emitting polymer material is comprised of a tritiated organic polymer to which an organic phosphor is bonded. 6. An optoelectronic device comprising: 7. An optoelectronic device comprising: 8. An optoelectronic device comprising: 9. The optoelectronic device of claim 8 wherein the first and second optical mating means are comprised of a first and second optical gel, the first optical gel having an index of refraction equal to the square root of the product of the index of refraction of the light source means and the index of refraction of the optical control means and the second optical gel having an index of refraction to the square root of the product of the index of refraction of the optical control means and the index of refraction of the photocell means. 10. The optoelectronic device of claim 8 wherein the first and second optical mating means are comprised of a first and second sputtering material, the first sputtering material having an index of refraction equal to the square root of the product of the index of refraction of the light source means and the index of refraction of the optical control means and the second sputtering material having an index of refraction to the square root of the product of the index of refraction of the optical control means and the index of refraction of the photocell means. 11. The optoelectronic device of claim 8 wherein the first and second optical mating means are comprised of a first and second sputtering region, the first sputtering region defining a sputtering depth wherein the optical control means is sputtered into the light emitting surface of the light source means and the second sputtering defining a sputtering depth wherein the optical control means is sputtered into the light absorbing surface of the photocell means. 12. An optoelectronic device comprising: 13. An optoelectronic device comprising: 14. The optoelectronic active circuit element of claim 13 wherein the photorefractive material is a liquid crystal display material. 15. The optoelectronic active circuit element of claim 13 wherein the photorefractive material is a lead lantium zirconium titinate material.
abstract
A transport container for nuclear fuel is provided with an outer container having internal insulation, the insulation defining an internal cavity. The cavity receives a plurality of fuel containers wherein the internal volume of the fuel containers is at least 5% of the external volume of the outer container. The container allows substantially higher volume proportions of enriched fuel to be safely transported than is possible with prior containers.
summary
summary
summary
abstract
A system for further enhancing speed, i.e. improving throughput in a SEM-type inspection apparatus is provided. An inspection apparatus for inspecting a surface of a substrate produces a crossover from electrons emitted from an electron beam source 25•1, then forms an image under a desired magnification in the direction of a sample W to produce a crossover. When the crossover is passed, electrons as noises are removed from the crossover with an aperture, an adjustment is made so that the crossover becomes a parallel electron beam to irradiate the substrate in a desired sectional form. The electron beam is produced such that the unevenness of illuminance is 10% or less. Electrons emitted from the sample W are detected by a detector 25•11.
claims
1. An apparatus for modifying a radiation beam between a radiation source and a radiation detector, based on an object to be imaged using the radiation beam, the apparatus comprising:at least one collimator that attenuates radiation that is incident upon the collimator; andat least one motor operable to move the collimator, during a single continuous radiation exposure that is detected by the radiation detector, along a predefined path relative to a radiation axis defined by the direction that radiation travels from the radiation source to through the object to be imaged and to the radiation detector;wherein the apparatus has a closed position wherein the collimator blocks the radiation axis so that no portion of a radiation beam from the radiation source can pass by the collimator to reach the object;wherein the motor is operable to move the collimator along the predefined path between the closed position and a plurality of open positions, either continuously or by discrete steps, during the single continuous radiation exposure, wherein in each open position a different portion of the object is exposed to radiation from the radiation source passing by the collimator and detected by the radiation detector; andwherein the motor is synchronized with an exposure start time and an exposure end time of the radiation source, the start time and end time being fora the single continuous radiation exposure, such that the motion of the collimator permits varying amounts of radiation from the radiation source to reach each portion of the object during the single continuous radiation exposure, wherein the varying amounts of radiation are based at least in part on a shape of the object and an orientation of the object relative to the radiation source. 2. The apparatus of claim 1, wherein the at least one collimator blocks substantially all radiation that is incident upon the at least one collimator from the radiation source. 3. The apparatus of claim 1, wherein the at least one collimator allows a substantial portion of the radiation that is incident upon at least one collimator from the radiation source to pass by the at least one collimator and reach the target, wherein the material and thickness of the at least one collimator are selected to attenuate a specific amount of the radiation. 4. The apparatus of claim 1, wherein the at least one collimator comprises first and second collimators, and wherein the first and second collimators have opposing edges that are adjacent to each other in the closed position, and the opposing edges are straight and parallel. 5. The apparatus of claim 1, wherein the at least one collimator comprises first and second collimators, and wherein the first and second collimators have opposing edges that are adjacent to each other in the closed position, and the opposing edges are curved. 6. The apparatus of claim 1, wherein the at least one collimator comprises first and second collimators, and wherein:the at least one motor is operable to move the first and second collimators apart from each other along the predefined paths from the closed position to the plurality of increasingly spaced apart open positions, wherein in each open position an increasingly greater portion of the radiation beam from the radiation source is permitted to pass through the apparatus between the first and second collimators to the object; orthe at least one motor is operable to move the first and second collimators toward each other along the predefined paths from an initial open position to a plurality of decreasingly spaced apart open positions, wherein in each successive open position a decreasingly lesser portion of the radiation beam from the radiation source is permitted to pass through the apparatus between the first and second collimators to the object. 7. The apparatus of claim 1, wherein the at least one collimator moves along a predefined curved path about a pivot axis, the pivot axis being transverse to the radiation axis. 8. The apparatus of claim 7, wherein the at least one collimator comprises a curved plate, such that a major surface of the plate has a curvature centered on the pivot axis of the collimator. 9. The apparatus of claim 1, wherein the at least one collimator moves along a predefined linear path that is transverse to the radiation axis. 10. A method for controlling radiation exposure across a target object during a radiographic imaging exposure, the method comprising:positioning an adaptive filter between a radiation source and a radiation detector with a target object being between the adaptive filter and the radiation detector, wherein the adaptive filter comprises at least one collimator that attenuates radiation that is incident upon the collimator; andmoving the at least one collimator during a single continuous radiation emission from the radiation source and detected by the radiation detector, such that the motion of the at least one collimator allows different amounts of radiation from the radiation source to pass by the at least one collimator to each portion of the target object during the single continuous radiation emission, wherein the different amounts of radiation allowed to reach each portion of the target object are based at least in part on a shape of the target object and an orientation of the target object relative to the radiation source and the adaptive filter;wherein the at least one collimator begins to move at a first predetermined time after the radiation source begins to emit radiation toward the target object during the single continuous radiation emission, and the at least one collimator reaches a maximum movement distance at a second predetermined time before the radiation source stops emitting radiation toward the target object that is detected by the radiation detector. 11. The method of claim 10, wherein moving the at least one collimator comprises pivoting the at least one collimator along a curved path about a common pivot axis, the common pivot axis being transverse to an axis of the radiation emission. 12. The method of claim 10, wherein the method comprises generating a movement profile for the at least one collimator, wherein the movement profile is based on a cross-sectional shape of the target object and the orientation of the target object relative to the radiation source and the adaptive filter. 13. The method of claim 12, wherein the movement profile is also based on a radius from a pivot axis of the adaptive filter to the at least one collimator. 14. The method of claim 12, wherein the movement profile causes the target object to receive a radiation exposure profile across a width of the target object that is generally proportional to a thickness profile of the target object across the width of the target object. 15. The method of claim 10, wherein moving the at least one collimator comprises moving the at least one collimator in a plurality of small steps such that the at least one collimator stops or slows briefly between each step. 16. The method of claim 15, wherein the plurality of small step comprises at least 30 steps, or the at least one collimator stops for 1 millisecond or less between each step, or the at least collimator pivots 1° or less between each successive step. 17. The method of claim 10, further comprising combining an image acquired from the radiographic imaging procedure with the adaptive filter and a target object with a reference image acquired with the same adaptive filter motion but without the object to produce an image that looks like a raw radiographic image that would have been produced under the same imaging circumstances if the adaptive filter was not present in the imaging system. 18. The method of claim 10, wherein the single continuous radiation emission is one of a plurality of sequential radiation emissions targeting the target object during a radiographic imaging procedure;wherein the plurality of sequential radiation emissions are temporally separated by brief non-radiation periods with no radiation emission, during which the radiation source, the target object, or both, are moved or adjusted; andwherein during each of the plurality of sequential radiation emissions, the at least one collimator begins to move at a first predetermined time after the radiation source begins to emit radiation toward the target object, and the at least one collimator reaches a maximum movement distance at a second predetermined time before the radiation source stops emitting radiation toward the target object. 19. The method of claim 10, wherein the at least one collimator comprises first and second collimators, and wherein moving the at least one collimator comprises moving the first and second collimators apart from or toward each other by moving the first and second collimators in opposite directions along linear paths transverse to an axis of the radiation emission. 20. A system comprising:a radiation source;a radiation detector; andan adaptive filter positioned between the radiation source and the radiation detector, wherein the adaptive filter comprises first and second collimators that attenuate radiation that is incident upon the collimators;wherein the system is configured to include a target object positioned between the adaptive filter and the radiation detector such that the target object can be radiologically imaged; andwherein the system is operable to move the first and second collimators apart from or toward each other during a single continuous radiation emission from the radiation source that is detected by the radiation detector, such that the motion of the collimators allows different amounts of radiation from the radiation source to pass between the two collimators to each portion of the target object during the single continuous radiation emission, wherein the different amounts of radiation allowed to reach each portion of the target object are determined based on a thickness of each portion of the target object, as measured in the direction the radiation travels, such that the radiation detector receives a more uniform distribution of radiation through the target object. 21. The system of claim 20, wherein the system is operable to move the first and second collimators apart from or toward each other in opposite directions along curved paths about a common pivot axis. 22. The system of claim 20, wherein the first and second collimators begin to move apart from or toward each other at a predetermined time after the radiation source begins to emit radiation toward the target object, and the first and second collimators reach a maximum or minimum separation from each other at a predetermined time before the radiation source stops emitting radiation toward the target object.
040000389
claims
1. An underground nuclear power station including a nuclear reactor, machine components such as turbines, compressors, generators and heat exchangers, and a working medium circuit formed of gas conduits interconnecting the various power plant parts, and characterized in that a. the machine components are divided into groups, and these groups are located in separate rooms hollowed out of rock and having walls which support the components; b. the conduits are situated in, and supported by the walls of, separate shafts hollowed out of rock; c. each of said rooms has at least one access tunnel which is hollowed out of rock and leads to the atmosphere said access tunnel being large enough to permit transport therethrough of at least the largest component part of the machine group in the associated room; and d. each access tunnel has means for secluding the atmosphere from a portion of said tunnel adjacent the associated room, whereby said portion serves as a safety chamber. 2. Nuclear power station according to claim 1, in which each tunnel has a cross-section sufficient for transporting therethrough the particular machine group to which it leads. 3. Nuclear power station according to claim 1, in which the walls of the rooms hollowed out in the rock are lined with a cast-on concrete layer. 4. Nuclear power station according to claim 3, in which the concrete layer comprises steel reinforcing means at least locally. 5. Nuclear power station according to claim 3, in which in that the concrete layer contains a prestressed cable system at least locally. 6. Nuclear power station according to claim 1, in which the chambers provided in tunnels are secludable by means of doors. 7. Nuclear power station according to claim 1, in which the longitudinal axes of the rooms and the tunnels in the rock extend substantially vertically. 8. Nuclear power station according to claim 1, in which the longitudinal axes of the rooms and the tunnels in the rock extend substantially horizontally.
description
The present invention relates generally to an apparatus and method for inspecting a structure and, more particularly, to an apparatus and method for inspecting a structure that provides multiple multiplexed channels for non-destructive inspection of a structure. Non-destructive inspection (NDI) of structures, also referred to as non-destructive testing (NDT), involves thoroughly examining a structure without harming the structure or requiring significant disassembly of the structure. Non-destructive inspection is typically preferred to avoid the schedule, labor, and costs associated with removal of a part for inspection, as well as avoidance of the potential for damaging the structure. Non-destructive inspection is advantageous for many applications in which a thorough inspection of the exterior and/or interior of a structure is required. For example, non-destructive inspection is commonly utilized in the aircraft industry to inspect aircraft structures for any type of internal or external damage to or flaws in the structure. Inspection may be performed during manufacturing of a structure and/or once a structure is in-service. For example, inspection may be required to validate the integrity and fitness of a structure for continued use in manufacturing and future ongoing use in-service. However, access to interior surfaces is often more difficult or impossible without disassembly, such as removing a part for inspection from an aircraft. Among the structures that are routinely non-destructively tested are composite structures, such as composite sandwich structures and other adhesive bonded panels and assemblies, including, but not limited to, fuselage frames and shear ties, wing stringers, floor beams, flange and radius sections of horizontal stabilizer ribs, and floor stanchions. In this regard, composite structures are commonly used throughout the aircraft industry because of the engineering qualities, design flexibility and low weight of composite structures, such as the stiffness-to-weigh ratio of a composite sandwich structure. As such, it is frequently desirable to inspect composite structures to identify any foreign material or flaws, such as cracks, voids or porosity, which could adversely affect the performance of the composite structure. For example, typical flaws in composite sandwich structures, generally made of one or more layers of lightweight honeycomb or foam core material with composite or metal skins bonded to each side of the core, include disbonds which occur at the interfaces between the core and the skin or between the core and a septum intermediate skin. Various types of sensors may be utilized to perform non-destructive inspection. One or more sensors may move over the portion of the structure to be examined, and receive data regarding the structure. For example, a pulse-echo (PE), through- or thru-transmission (TT), or shear wave sensor may be utilized to obtain ultrasonic data, such as thickness gauging, detection of laminar defects and porosity, and/or crack detection in the structure. Resonance, pulse echo or mechanical impedance sensors may be utilized to provide indications of voids or porosity, such as in adhesive bond lines of the structure. High resolution inspection of aircraft structure are commonly performed using semi-automated ultrasonic testing (UT) to provide a plan view image of the part or structure under inspection. While solid laminates may be inspected using one-sided pulse echo ultrasonic testing (PEU), composite sandwich structures typically require through-transmission ultrasonic (TTU) testing for high resolution inspection. In through-transmission ultrasonic inspection, ultrasonic sensors such as transducers, or a transducer and a receiver sensor, are positioned facing the other but contacting opposite sides of the structure to be inspected such as opposite surfaces of a composite material. An ultrasonic signal is transmitted by at least one of the transducers, propagated through the structure, and received by the other transducer. Data acquired by sensors, such as TTU transducers, is typically processed by a processing element, and the processed data may be presented to a user via a display. In order to increase the rate or speed at which the inspection of a structure is conducted, the scanning system may include ultrasonic probes that have arrays of ultrasonic transmitters and receivers or arrays of probes with one or more ultrasonic transmitters and receivers. Typically each “channel” in an array refers to a transducer-receiver pairing and includes a transmit channel to the transducer and a receive channel from the receiver. Generally, the more channels available, the more physical coverage of a part which can be scanned. As such, the inspection of the structure can proceed more rapidly and efficiently, thereby reducing the costs associated with the inspection. TTU sensors, i.e., TTU transducers and receivers, may be controlled by a variety of systems, including systems which permit multiple TTU transducers and receivers to be used in a single probe, an array of probes, or a combination thereof. The more TTU transducer and receiver pairings, the faster a part may be scanned, presuming the control system can keep up with the data transmissions provided by the TTU transducers and receivers. TTU systems which include numerous TTU transducers and receivers are referred to as having a corresponding number of channels, one channel referring to a transducer-receiver pairing. Multi-channel TTU systems are typically expensive to fabricate, in part because they include a separate RF amplifier and envelope (peak) detector for each receive channel of ultrasound data from a TTU transceiver-receiver pairing. Further, typical multi-channel TTU systems use an individual pulser circuit for each channel, requiring a tremendous amount of space to house and wire numerous channels together. Often multi-channel systems are housed in large racks of electronic components. In addition to expensive costs and large size requirements, maintaining multi-channel systems has typically been problematic and expensive. Typical multi-channel TTU systems may be difficult to troubleshoot because of the number of individual components and extensive wiring required in addition to often obsolete components. Further, typical multi-channel TTU systems are limited to communicating processed signals as 8 bit resolution digital data due to slow processing and/or communication paths and are electronically noisy due to the high number of RF cables which are used to couple the individual components together. Accordingly, a need exists for an improved system and method for multi-channel non-destructive inspection which provides high data throughput, large dynamic range, and simplicity of supporting electronics. In light of the foregoing background, embodiments of the present invention provide improved systems and methods for multi-channel non-destructive inspection which provide high data throughput, large dynamic range, and simplicity of supporting electronics. According to one advantageous embodiment of the present invention, a system is provided which includes an interface board, at least one pulser board, a plurality of transmit channels, at least one receiver board, and a plurality of receive channels. The interface board connects to the pulser board, which connects to the transmit channels. The interface board also connects to the receiver board, which connects to the receive channels. The receiver board may include a logarithmic amplifier for logarithmically amplifying signals from a plurality of receive channels for at least 70 decibels (dB) of dynamic range. The receiver board may also include a tuned filter connected to each of the receive channels to filter the signal received over the receive channel to a desired frequency, such as 5 MHz. The receiver board and interface board may be capable of processing the signals from the receive channels at a resolution of 12-bits. The interface board and pulser board may be capable of communicating data to transmit channels at a channel cycling rate of 200 microseconds (μs) per transmit channel, such as a cycling rate of 5 kHz to cycle through all of the transmit channels once every 6.4 milliseconds (ms) where 32 transmit channels are used. The receiver board may also be capable of receiving and processing data from receive channels at a channel cycling rate of 200 microseconds (μs) per receive channel. The interface board may be connected to a remote device such as a computer with a microprocessor by way of an Ethernet connection capable of transmitting 12-bit resolution scan data in real time. The interface board may be further capable of interfacing with data encoders such as by the use of an encoder interface coupled to the interface board and capable of receiving data from encoders to provide the data to the interface board. The encoder interface may include one or more counter chips. The encoder interface may be capable of receiving data such as position data, speed data, velocity data, and distance data. According to another advantageous embodiment of the present invention, a system for inspecting a structure includes an interface board, two pulser boards, each coupled to 16 transmit channels, and two receiver boards, each coupled to 16 receive channels, where the receiver boards are capable of processing data from the 32 receive channels by logarithmically amplifying signals from the receive channels for at least 70 decibels (dB) of dynamic range. A pulser board may be a printed circuit board (PCB) and may include 16 pulsers, one for each of 16 transmit channels. A receiver board of an advantageous embodiment of the present invention comprises, in addition to a logarithmic amplifier, a multiplexer for providing 70 dB of isolation between receive channels and may be capable of processing data from a plurality of receive channels with 70 dB of isolation provided by the multiplexer and with logarithmic amplification of 70 dB of dynamic range by the logarithmic amplifier. A multiplexer may be a series of multiplexing chips, also referred to as multiple layers of multiplexing switches. 70 dB of isolation between channels may be provided, for example, by a 60 dB multiplexing chip serially coupled to a 10 dB multiplexing chip. A multiplexing chip may be capable of switching between receive channels. The logarithmic amplifier may be capable of providing logarithmic amplification from −67 dB to +3 dB. In a further advantageous embodiment of the present invention, a receiver board may include the logarithmic amplifier serially coupled to a linear amplifier. The linear amplifier may be capable of providing 20 dB of linear amplification. A further advantageous embodiment of a system for inspecting the structure of the present invention may include an interface board, at least one pulser board, a plurality of transmit channels, at least one receiver board, and a plurality of receive channels. The interface board connects to the pulser board, which connects to the transmit channels. The interface board also connects to the receiver board, which connects to the receive channels. The receiver board may be capable of processing data from the plurality of receive channels with at least 70 dB of logarithmic gain. The receiver board may also include a plurality of tuned filters, one of the tuned filters coupled to each of the receive channels, a multiplexer serially coupled to the plurality of tuned filters, a logarithmic amplifier serially coupled to the multiplexer, a linear amplifier serially coupled to the logarithmic amplifier, and an analog-to-digital converter serially coupled to the linear amplifier. The receiver board may also include an envelope peak detector serially coupled between the linear amplifier and the analog-to-digital converter, for capturing the voltage peaks of the signal that has been multiplexed, logarithmically amplified, and linearly amplified. The receiver board may also include a diode, serially coupled between the linear amplifier and the envelope peak detector, for isolating positive voltage from the signal that has been multiplexed, logarithmically amplified, and linearly amplified. The multiplexer may include a series of multiplexing chips, where 70 dB of isolation between channels may be provided by a first layer formed of a 60 dB multiplexing chip serially coupled to a second layer formed of one or more 10 dB multiplexing chips and where the multiplexer may be capable of switching between the receive channels. Where a single 60 dB multiplexing chip may be used to switch between 16 receive channels, two 10 dB multiplexing chips may be used to switch between 8 receive channels. A 32 channel multiplexing system for inspecting a structure of an advantageous embodiment of the present invention may include 32 transmit transducers, 32 receive transducers, 32 receive channels, and a multiplexing system. The 32 receive transducers are communicably coupled to receive ultrasonic signals through a structure under inspection that are transmitted by the 32 transmit transducers. The 32 receive transducers are individually coupled to the 32 receive channels which are coupled to the multiplexing system to process the ultrasonic signals received by the 32 receive transducers and transmitted through the 32 receive channels. The multiplexing system comprises a logarithmic amplifier and may be capable of processing data by logarithmically amplifying each channel with at least 70 dB of dynamic range. Each transmit transducer may include a pulsing sensor, and each receive transducer may include a receiving sensor communicably coupled to a corresponding pulsing sensor. Each pulsing sensor may be coupled to a transmit channel, and each receiving sensor may be coupled to a receive channel. The 32 channel multiplexing system may also include an interface for remote communication to an analysis computer. The multiplexing system may be adapted to switch between receive channels. The multiplexing system may be further adapted to filter the data received from the receive channels before switching and logarithmically amplifying the data. The multiplexing system may be further capable of linearly amplifying the data that has been previously processed with logarithmic amplification of at least 70 dB of dynamic range. The linear amplification may have a 20 dB gain. The multiplexing system may be further capable of converting from analog to digital the data that has been previously processed with logarithmic amplification and linear amplification. A method for multiplexing channels of an inspection system of an advantageous embodiment of the present invention is provided which includes the steps of receiving signals from a plurality of receive channels following propagation through a part under inspection, and multiplexing the received signals. The step of multiplexing received signals includes the steps of filtering the received signals, switching between the receive channels to select one receive channel and define a switched received signal, logarithmically amplifying the switched received signal, linearly amplifying the switched, logarithmically amplified received signal, and converting the switched, logarithmically amplified, linearly amplified received signal from analog to digital. The step of logarithmically amplifying the switched received signal may include the step of providing logarithmic amplification for at least 70 dB of dynamic range, such as providing amplification from −67 dB to +3 dB. An embodiment of a method may also include the step of transmitting signals to a plurality of transmit channels. The method may also include the steps of controlling timing requirements for the step of transmitting signals to a plurality of transmit channels, and transmitting the multiplexed signals in real time to a remote processor. The step of transmitting the multiplexed signals in real time to a remote processor may include the step of transmitting the multiplexed signals from the receive channels at a cycling rate of 200 microseconds (μs) per receive channel, such as a cycling rate of 5 kHz to cycle through all of the receive channels once every 6.4 milliseconds (ms) where 32 transmit channels are used, where the multiplexed signal is processed and transmitted with a resolution of 12 bits. The step of transmitting signals to a plurality of transmit channels may include the step of communicating data to the transmit channels at a cycling rate of 200 microseconds (μs) per transmit channel, such as, at a cycling at a rate of 5 kHz through all of the transmit channels once every 6.4 milliseconds (ms) where 32 channels are used. The method may also include the step of processing multiplexed signals from the receive channels at 12 bits through all of the receive channels once every 6.4 milliseconds (ms), at a cycling at a rate of 5 kHz where 32 channels are used. A method may also include the step of capturing peak voltage. The method may further include the step of isolating positive voltage for capturing peak voltage. These and other characteristics, as well as additional details, of the present invention are further described herein with reference to these and other embodiments. The present inventions will now be described more fully hereinafter with reference to the accompanying drawings, in which some, but not all embodiments of the inventions are shown. Indeed, these inventions may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will satisfy applicable legal requirements. Like numbers and variables refer to like elements and parameters throughout. Embodiments of the present invention may be particularly useful in the aircraft industry for composite structure inspection; further embodiments of the present invention may also be useful in many domains and for a variety of other applications, including, for example, manufacturing of rockets and inspection of composite sandwich structure. Although specifically designed for through-transmission ultrasonic (TTU) inspection or testing, embodiments of the present invention could be used for other inspection techniques, such as pulse echo non-destructive inspection. Further, although specifically designed for 32 channel TTU systems, embodiments of the present invention may be advantageously used to produce a TTU system with fewer than 32 channels, such as a 16-channel embodiment, or embodiments of the present invention may be combined to be advantageously used to produce a TTU system with more than 32 channels, such as 64-channel and 128-channel embodiments where two and four 32 channel systems, respectively, are connected to an Ethernet hub. If a multi-channel system has more than 32 channels, a conventional Ethernet communication connection may require that data is buffered on the transmitting end to account for the bandwidth of the Ethernet link. The terms “non-destructive inspection” (NDI) and “non-destructive testing” (NDT) are used synonymously herein. FIG. 1 is a schematic block diagram of a 32 channel multiplexed TTU system of an embodiment of the present invention. The system includes 32 pairs of corresponding transducers. Of the 64 transducers, 32 transducers are transmitting transducers 10 or pulsing transducers on one side of a component or structure under inspection. The other 32 transducers are receiving transducers 12 on the opposing side of the structure under inspection. Thus, 32 channels are provided for 32 transmit transducers 10 and 32 channels are provided for 32 receive transducers 12. As used herein, a “channel” refers to the communication link to a transducer. The transducers may be included in one device or probe. Alternatively, the plurality of channels may be divided in such a manner as to function as an array of probes, such as a 64 probe array with 32 transmitting probes and 32 receiving probes, where each probe includes one transducer. Each transmit or receive channel corresponds with an individual piezoelectric crystal transducer; although, the present invention could be used where one or more transducers correspond to one or more transducers. The individual transducers, as described, may be arranged as in a single probe or a number of probes functioning in an array. Each of the 32 transmit channels 10 may be sequentially pulsed, such as a pulser board pulsing channels 1 through 32, one channel every 200 microseconds (μs), at a 5 kHz repetition rate to cycle through the 32 channels 10 once every 6.4 milliseconds (ms). A pulser board pulsing channels refers to the pulser board providing a transmit signal to a transmit channel for a transducer. An example pulser board, or interface board or receiver board, may be a printed circuit board (PCB) with electrical connections or communication paths. The interface board 14, and/or a processor or microcontroller of an attached computer (not shown), may be used to control the sequential pulsing of the 32 transmit channels 10 and coordination of the sequence of received signals. The repetition rate for the cycling of channels is typically selected, and limited, in part due to the time for an ultrasonic signal to propagate from a transmitting transducer crystal through a couplant to the surface of the part, through the part under inspection, and from the surface of the part through a couplant to a receiving transducer crystal. The repetition rate may also be dependent upon such factors as the communication bandwidth to transmit the processed signals from the multiplexing receiver board to a computer controlling and/or processing the inspection. The embodiment of the present invention shown in FIG. 1 shows two 16 channel pulser boards 20, 22, each connected to an interface board 14 and each providing 16 of the 32 transmit channels 10. A pulser board typically is a PCB board which can independently provide signals intended for the 16 different transducers from an interface board to the 16 corresponding channels using corresponding pulsers of the pulser board which provide electronic pulse signals for the digital or electronic signals from the interface board. Also included are two 16 channel receiver boards or RF amplifier and A/D boards 24, 26, each coupled to the interface board 14 and each receiving 16 of the 32 receive channels 12. A receiver board of the present invention and the electronics thereof are described more fully below. A 32 channel multiplexed TTU system as shown in FIG. 1 may also include an encoder interface 16 to provide an interface between positional encoders 18 of a scanning system and an interface board 14 of the 32 channel multiplexed TTU system. An encoder interface 16 may include two counter chips, such as LS7266R1 counter chips manufactured by LSI Computer Systems, Inc., of Melville, N.Y. The counter chips have internal registers which hold the current value as an encoder on the scanning system moves back and forth with a scanning probe. The counter chips will count up and down from a reference value to provide different values for the internal registers of the counter chips. This information is typically referred to as position information of the scanning system. The position information is relative to the position of the transducers in some physical manner because the encoders are mechanically tracking the movement of the transducers. Thus, the position information provided by an encoder is synchronous to the movement of a scanning probe, but the transducer signals are asynchronous to the scanner movement. Thus by combining the position information of the encoder through an encoder interface, a microprocessor is capable of tying the two pieces of information together to establish the position of a transducer for a particular ultrasonic signal. For example, a microprocessor may combine positional information from the counter chips of the encoder interface into the same data packet as the corresponding ultrasonic data. Additional software may then be able to analyze the particular data packet as having an ultrasonic data value at a specific position which occurred during the scan. Although encoders are typically used to provide position information, encoders may additionally or alternatively be used to provide such data as speed data, velocity data, and distance data. A receiver board 24, 26 may include a tuned filter 102 for each receive channel 12. For example, a tuned filter 102 may include a base amplifier and a tank circuit. A tunable capacitor of a tuned filter 102 may be adjusted to filter the received signal to a specific frequency, such the frequency of a piezoelectric crystal oscillating at 5 MHz. After filtering each of the received signals, all 16 signals are provided to a first layer of multiplexing switches 106, referred to as a first multiplexing chip. As a non-limiting example, a multiplexing chip may be a MAX310CPE multiplexing chip manufactured by Maxim Integrated Products, Inc., of Sunnyvale, Calif., which permits a signal voltage input range of 15 volts peak-to-peak (Vpp). The first layer of multiplexing switches 106 may provide 60 dB of isolation between the 16 signals. A second layer of multiplexing switches 108, also referred to as a second multiplexing switch may provide an additional 10 dB of isolation between the channels. The second layer of multiplexing switches 108 may also use MAX310CPE multiplexing switches. Using two layers of multiplexing switches 108 can achieve 70 dB of isolation between the channels. With 70 dB of isolation between channels, one channel can be 3000 times greater than another channel without affecting the smaller input as provided by 70 dB=20×Log(difference) where (difference) is equal to 3000 for 70 dB. For example, one channel can have a 5 MHz signal with a strength of 1 millivolt (mV) and another channel can have a 5 MHz signal with a 3 volt (V) strength without affecting the 1 mV signal. Also, by separating the multiplexing switches into two layers, the capacitance is decreased so as not to degrade the RF signal. Different combinations of channel switching may be used with the two layers of multiplexing switches. For example, a single 60 dB multiplexing chip used to switch between 16 channels may be used with two 10 dB multiplexing chips to switch between 8 channels each. By selecting corresponding channels in the first layer of multiplexing switches 106 and the second layer of multiplexing switches 108, a single receive channel may be selected. The single receive channel signal, filtered and multiplexed, is provided to a logarithmic amplifier 110 which provides logarithmic amplification for 70 dB of dynamic range, such as a voltage range of −67 dB to +3 dB, although logarithmic amplification can be centered around different dynamic ranges. Thus, the layered multiplexing chips 106, 108 provide the full dynamic range of the capabilities of the logarithmic amplifier 110. Logarithmic amplification follows the formula Gainlog=20×Log(Vout/Vin). After logarithmic amplification, the signal may be linearly amplified by a linear amplifier 114, such as to provide 20 dB of linear amplification to adjust the logarithmically amplified signal to the full range of an analog to digital converter. Linear amplification follows the formula Gain1in=(Vout/Vin). The signal may then be converted from analog to digital using an analog to digital chip 118 (A/D converter), such as an analog to digital chip with an input of 0 to 10 volts. An envelope (peak) detector 116 and a diode 115 may be used between the linear amplification and the conversion from analog to digital such that the peak value is converted to a digital signal by the A/D converter. The diode 115 can isolate the positive voltage of the amplified signal to permit the envelope (peak) detector 116 to capture the peak amplitude of the signal. Only the peak amplitudes of a signal are required for TTU inspection to identify flaws from changing amplitudes. For example, the logarithmic amplifier 110 may output a signal with 1.4 volts peak-to-peak (Vpp) centered around 0 volts; the linear amplifier 114 may increase the signal to a 20 Vpp signal (−10 V to +10 V); the diode 115 may isolate the +10 V peak range (0 V to +10 V); the envelope peak detector 116 may capture the peak amplitudes of the signal ranging from 0 V to +10 V; and the analog to digital chip 118 may convert the 0 to 10 V signal to a digital signal with a 12 bit resolution. The use of the large 70 dB dynamic range logarithmic amplification assists in the identification of small changes or imperfections in a part under inspection. For example, 70 dB of dynamic range may be required to find a piece of foreign material located 68 plys down in a half inch thick piece of graphite under inspection, where 1 ply, or 1 layer, is seven thousandths of an inch thick. The foreign piece of material may be almost on the bottom edge of the piece of graphite under inspection as viewed through the part from the transmitting transducer to the receiving transducer. Sound, or specifically an ultrasonic signal, diminishes as it propagates through a part under inspection. For example, in the inspection of the half inch thick piece of graphite, the ultrasonic signal may have dropped by as much as 60 dB in through transmission before it reaches the 68th ply where the piece of foreign material is located and for which 2 dB of change may be necessary to detect the presence of the piece of foreign material. In order to detect the 2 dB of change, the noise must not be so great as to mask the 2 dB change for the piece of foreign material. The dynamic range must be large enough to detect the flaw in the structure under inspection, the piece of foreign material in the graphite. By using a large logarithmic gain, a scanning system may be capable of resolving a high level of detail in a part under inspection. Using logarithmic amplification amplifies the small changes more than large changes in the signal. Typically, large changes in a signal include noise. By comparison, when using linear amplification, the noise is amplified just as much as the signal. And by using a large dynamic range, a system is capable of scanning thick parts. In addition to accounting for a high dynamic range, the system must be able to multiplex the high dynamic range without acquiring crosstalk, or noise between the channels. In order to switch or multiplex the large dynamic range signals without introducing noise or crosstalk between the channels, the multiplexing may be performed by layering multiplexing chips, such as described by using an initial 60 dB range and a second layer of 10 dB range multiplexing chips. FIG. 2 is a schematic block diagram of a 32 channel multiplexed TTU system connected to a remote processor using an Ethernet connection of an embodiment of the present invention. As may be seen in the schematic diagram of FIG. 2, 32 transmit channels 10 may be coupled to 32 transducers which are used to inspect a part 30. 32 receive channels 12 may be coupled to 32 receive transducers to receive signals transmitted through a part under inspection 30 from 32 corresponding transmitting transducers. The multiplexed TTU system may be connected to a remote processor 42, such as a computer with a microprocessor for further processing, analyzing, and displaying results of the inspection, through a communication connection or a link, such as an Ethernet communication connection 40 or a serial communication connection, as described more fully herein. FIG. 3 is a flow diagram of an embodiment of a 32 channel multiplexed TTU system of an embodiment of the present invention. Functions and/or elements of the flow diagram of FIG. 3 shown in broken lines may be performed by components of a TTU system which may be, but need not be, included in an embodiment of the present invention. A pulser board may be used to transmit 200 signals to transmit channels. This process may include a processor defining and sending 202 control signals for pulser boards to send pulse signals along a selected channel to the corresponding transducer, an interface board transmitting 204 the control signals to the pulser boards, and the pulser boards transmitting 206 the pulse signals to transmit channels as defined and controlled by the processor through an interface board. Once the pulse signals are transmitted 200 to the transmit channels, the transmit channels direct 210 the pulse signals to transmit transducers of the inspection system. The inspection signals then pass 212 through the part from transmit transducers to receive transducers. The inspection signals received by the receive transducers of the inspection system propagate 214 along the receive channels. The receive channels then direct 216 the received inspection signals to the receiver boards. The receiver boards then process 220 the received inspection signals. The processing of the received signals may include tuned filters on each receive channel filtering 222 the signal to a tuned frequency, such as 5 MHz, a first layer of multiplexing switches providing 60 dB of isolation 224 between channels, a second layer of multiplexing switches providing an additional 10 dB of isolation 226 between channels, logarithmic amplification 228 of 70 dB of dynamic range, linear amplification 230 of 20 dB, positive voltage isolation 231, envelope peak detection 232, and analog to digital conversion 234. The received signals which have been multiplexed, logarithmically amplified, linearly amplified, and converted from analog to digital may be transmitted 240 from receiver boards to an interface board. An embodiment of a 32 channel multiplex TTU system may also include encoders which send 250 position information to an encoder interface. The encoder interface may transmit 252 the scan position information to the interface board. The interface board may combine the received signal data with scan position information to transmit 260 signal and position information over an Ethernet connection to a remote processor. The remote processor may match 262 the received signal to the position information from the encoders to further process and/or analyze the data of the scan. By multiplexing the channels of a receiver board into one channel, an embodiment of the present invention is capable of including a limited number of subsequent components such as one logarithmic amplifier and one analog-to-digital (A/D) converter. Further, by multiplexing the channels, an embodiment of the present invention is capable of substantial size reduction, such as a unit which may include an interface board, two pulser boards, and two receiver boards for 32 channels in a single box which measures 17″ by 18″ by 9″. For example, a unit may be small enough to mount directly under a scanner, thereby taking up no additional floor space in a factory or at the inspection site. Embodiments of the present invention are capable of achieving 12 bit analog-to-digital signal conversion, rather than typical 8 bit digital data, thus providing a higher signal-to-noise ratio, i.e., greater sensitivity. Accordingly, a system limited such as by a serial communication connection may only be able to transmit scan data in real time at a resolution of 8 bits, such as where a 0-10V analog signal is converted to a digital signal with values from 0-255 for an analog resolution at 0.039 volts (10 V/28). By comparison, a system using improved communications such as a system using an Ethernet connection can transmit scan data in real time at a resolution of 12 bits, such as where a 0-10V analog signal is converted to a digital signal with values from 0-4095 for an analog resolution at 0.00244 volts (10 V/212). By comparison, the noise of an 8 bit system with 70 dB of dynamic range would be 1 bit or +/−0.276 dB. But the noise of a 12 bit system with 70 dB of dynamic range would only be +/−0.02 dB. A serial communication connection, such as conventional serial links, may not be capable of transferring 12 bits of data in real time. In general, it is preferred to use as high a digital signal resolution as can be resolved from an analog signal, as is typically limited by the noise present in the signal. Use of Ethernet communication technologies increases the speed of conventional data acquisition by as much as two-fold. Increasing the data acquisition rate may permit faster part scanning which may result in shorter inspection times and decreased inspection costs. For example, current systems with 32 channels may be capable of scanning 5 inches per second. Embodiments of the present invention may be capable of scanning as much as 10 inches per second using 32 channels using conventional Ethernet communication connections. Embodiments of the present invention are also easily calibrated, due in part to the reduced number of components and the centralized control through a common interface board. Embodiments of the present invention are easier to troubleshoot than typical multi-channel TTU systems. For example, troubleshooting a multi-channel TTU system with individual components on each channel would require a technician to determine which of the numerous channels is not operational. By comparison, an embodiment of a multi-channel TTU system of the present invention with only five printed circuit boards includes fewer components and fewer circuitry to troubleshoot, essentially reducing the number of variables of possible non-operation. Similarly, because of the reduced components and circuitry, embodiments of the present invention are less expensive to fix than typical multi-channel TTU systems. An example embodiment of a 32 channel multiplexer of the present invention may use an electronic box measuring 17 inches by 18 inches by 9 inches to retain the electronic components of the 32 channel multiplexer. Because of the high dynamic gains and frequency of the inspection, such as 5 MHz, the RF multiplexer boards, also referred to herein as the receiver boards, may have heavy shielding and provide spacing between components. The interface board which controls the timing requirements for the multiplexing system may include a serial and/or Ethernet connection for communications to a processor, such as a remote computer for controlling pulsing of the transmit transducers and analyzing the received and processed signals. The interface board may also include in signal data packets position and/or distance information from encoders received through an encoder interface, thereby eliminating the need for external encoder boards. Embodiments of the present invention decrease noisy RF cables by providing data digitization at the 32 channel multiplexer and then transmitting the data for analysis through a serial or Ethernet connection. Further, by providing the entire 32 channel multiplexer in such a small housing, the unit may be small enough to be located proximate the scanning system, such as mounted underneath the scanner, thereby avoiding the consumption of additional floor space. These and other features of the present invention make embodiments of the present invention not only convenient, but also efficient and economical multiplexing systems. Particularly, embodiments of the present invention may reduce maintenance costs associated with existing multi-channel TTU equipment, such as by simplifying existing systems to reduce the number of associated components and wiring complexities by incorporating a 32 channel TTU multiplexing system of the present invention. For example, multiplexing technology of the present invention reduces the receive channels into a single channel on each receiver board, thereby requiring only one logarithmic amplifier, one linear amplifier, and one analog-to-digital converter for each receiver board. Further, embodiments of the present invention may be specifically designed for TTU inspection, by comparison to existing systems and/or embodiments which may be designed for pulse echo inspection. For example, electronics and component variables may be selected to provide a high dynamic range, such as 70 dB, to match desired TTU inspection characteristics. As described more fully herein, provided are systems and methods for multi-channel non-destructive inspection which provides high data throughput, logarithmic amplification of large dynamic range, and simplicity of supporting electronics. More specifically, provided are systems and methods in accordance with embodiments of the present invention for inspecting a structure using an interface board, two pulser boards, each coupled to 16 transmit channels, and two receiver boards, each coupled to 16 receive channels, where the receiver boards are capable of processing data from the 32 receive channels by logarithmically amplifying at least 70 dB of dynamic range. A receiver board may include a serial connection of two layers of multiplexing switches to provide 70 dB isolation between channels, a logarithmic amplifier for logarithmically amplifying 70 dB of dynamic range, a linear amplifier, and an analog-to-digital converter. Many modifications and other embodiments of the inventions set forth herein will come to mind to one skilled in the art to which these inventions pertain having the benefit of the teachings presented in the foregoing descriptions and the associated drawings. Therefore, it is to be understood that the inventions are not to be limited to the specific embodiments disclosed and that modifications and other embodiments are intended to be included within the scope of the appended claims. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation.
summary
047132094
claims
1. A drain recovery system for the condensate feedwater system of a nuclear power plant, said condensate feedwater system including condensate pumps for boosting the condensate from a condenser, and feedwater heaters for heating the condensate from said condensate pumps, said drain recovery system comprising: drain pumping-up recovery means including a drain tank for storing a feedwater heater drain, and drain pump means connected to said drain tank for pumping up the drain therein to injet the drain into said condensate feedwater system at a predetermined portion thereof; and drain level control means including conduit means connected between a portion of said drain pumping-up recovery means upstream of said drain pump means and a portion of said condensate feedwater system upstream of said condensate pumps for causing the drain in said drain tank to be returned to said portion upstream of said condensate pumps by a pressure differential therebetween so as to maintain a drain level in said drain tank at a predetermined position when the plant operates at a low load level or said drain pump means malfunctions. 2. A drain recovery system according to claim 1, wherein said drain level control means further include means for detecting a load level of the plant, and control valve means connected to said conduit means and responsive to an output signal from said load level detecting means to control the communication through said conduit means so as to maintain the drain level in said drain tank at the predetermined position. 3. A drain recovery system according to claim 2, wherein said drain level control means further includes means further includes means for detecting a trip of the drain pump means, and wherein said control valve means is also responsive to the output signal from said trip detecting means to control the communication through the conduit means so as to maintain the drain level in said drain tank at the predetermined position. 4. A drain recovery system according to claim 1, wherein said drain pumping-up recovery means further includes means for detecting a drain level in said drain tank, and a first control valve connected to the downstream side of said drain pump means, and wherein said drain level control means further includes means for detecting a load level of said plant, means for detecting a trip of said drain pump means, a second control valve connected to said conduit means, and change-over means connected to said drain level detecting means, said load level detecting means and said trip detecting means to transfer the output signal from said drain level detecting means to said first control valve to thereby allow said drain pumping-up recovery means to control the drain level in said drain tank, when no output signal is received from any one of said load level detecting means and said trip detecting means, and transfer the output signal from said drain level detecting means to said second control valve to thereby allow said conduit means to control the drain level in said drain tank therethrough, when an output signal is received from at least one of said load level detecting means and said trip detecting means. 5. A drain recovery system according to claim 1, wherein said drain level control means includes an overflow pipe connected to one end of said conduit means and disposed in said drain tank. 6. A drain recovery system according to claim 5, wherein said drain level control means further includes loop seal means connected in said conduit means for maintaining the pressure differential between said drain tank and the portion of said condensate feedwater system upstream of said condensate pumps. 7. A drain recovery system according to claim 1, wherein said portion of said condensate feedwater system upstream of said condensate pumps to which said conduit means is connected comprises said condenser. 8. A drain recovery system according to claim 1, wherein said condensate pumps include low-pressure condensate pumps and high-pressure condensate pumps, and said feedwater heaters include a low-pressure feedwater heater and a high-pressure feedwater heater positioned downstream of said high- and low-pressure condensate pumps, and wherein said drain tank is connected to said high- and low-pressure feedwater heaters so as to store the drains from both the feedwater heaters. 9. A drain recovery system according to claim 8, wherein said drain pumping-up recovery means further includes drain purifier means connected between said drain pump means 13 and said predetermined portion of said condensate feedwater system. 10. A drain recovery system according to claim 8, wherein said predetermined portion of said condensate feedwater system comprises a portion positioned on the inlet side of said high-pressure condensate pumps.
abstract
Combined cleanup and heat sink systems work with nuclear reactor coolant loops. Combined systems may join hotter and colder sections of the coolant loops in parallel with any steam generator or other extractor and provide optional heat removal between the same. Combined systems also remove impurities or debris from a fluid coolant without significant heat loss from the coolant. A cooler in the combined system may increase in capacity or be augmented in number to move between purifying cooling and major heat removal from the coolant, potentially as an emergency cooler. The cooler may be joined to the hotter and colder sections through valved flow paths depending on desired functionality. Sections of the coolant loops may be fully above the cooler, which may be above the reactor, to drive flow by gravity and enhance isolation of sections of the coolant loop.
046844988
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. IN GENERAL Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a reconstitutable nuclear reactor fuel assembly, represented in vertically foreshortened form and being generally designated by the numeral 10. Basically, the fuel assembly 10 includes a lower end structure or bottom nozzle 12 for supporting the assembly on the lower core plate (not shown) in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 14 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 16 axially spaced along the guide thimbles 14 and an organized array of elongated fuel rods 18 transversely spaced and supported by the grids 16. Also, the assembly 10 has an instrumentation tube 20 located in the center thereof and an upper end structure or top nozzle 22 removably attached to the upper ends of the guide thimbles 14, in a manner fully described below, to form an integral assembly capable of being conventionally handled without damaging the assembly parts. As mentioned above, the fuel rods 18 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 16 spaced along the fuel assembly length. Each fuel rod 18 includes nuclear fuel pellets 24 and the opposite ends of the rod are closed by upper and lower end plugs 26, 28 to hermetically seal the rod. Commonly, a plenum spring 30 is disposed between the upper end plug 26 and the pellets 24 to maintain the pellets in a tight, stacked relationship within the rod 18. The fuel pellets 24 composed of fissile material are responsible for creating the reactive power of the nuclear reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through the fuel assemblies of the core in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods 32 are reciprocally movable in the guide thimbles 14 located at predetermined positions in the fuel assembly 10. Specifically, the top nozzle 22 includes a rod cluster control mechanism 34 having an internally threaded cylindrical member 36 with a plurality of radially extending flukes or arms 38. Each arm 38 is interconnected to one or more control rods 32 such that the control mechanism 34 is operable to move the control rods 32 vertically in the guide thimbles 14 to thereby control the fission process in the fuel assembly 10, all in a well-known manner. As illustrated in FIG. 1, the top nozzle 22 has a lower adapter plate 40 with a plurality of control rod passageways 42 (only one being shown) formed through the adapter plate. The control rod guide thimbles 14 have their uppermost end portions 44 coaxially positioned within the passageways 42 in the adapter plate 40. For gaining access to the fuel rods 18, the adapter plate 40 of the top nozzle 22 is removably connected to the upper end portions 44 of the guide thimbles 14 by an attaching structure, generally designated 46. The attaching structure 46, best seen in FIGS. 2 and 7, includes the improved features of the present invention. Except for certain important improved features associated with each locking tube 48 and each guide thimble upper end portion 44 which allows the locking tube to be captured in the guide thimble 14 and renders it reusable as will be discussed later, the attaching structure 46 contains features generally similar to those depicted in FIGS. 9 and 14 of the first patent application cross-referenced above. The prior features of the attaching structure 46 will be described herein to the extent necessary to facilitate an understanding of the improved features of the present invention. TOP NOZZLE ATTACHING STRUCTURE As best seen in FIGS. 2 and 5, the top nozzle attaching structure 46 of the reconstitutable fuel assembly 10 includes a plurality of outer sockets 50 (only one being shown) defined in the top nozzle adapter plate 40 by the plurality of passageways 42 (also only one being shown) which each contains an annular circumferential groove 52 (only one being shown), a plurality of inner sockets 54 (only one being shown) defined on the upper end portions 44 of the guide thimbles 14, and a plurality of improved push-down locking tubes 48 (only one being shown) inserted in the inner sockets 54 to maintain them in locking engagement with the outer sockets 50. Each inner socket 54 is defined by an annular circumferential bulge 56 on the hollow upper end portion 44 of one guide thimble 14 only a short distance below its upper edge 58. A plurality of elongated axial slots 60 are formed in the upper end portion 44 of each guide thimble 14 to permit inward elastic collapse of the slotted end portion to a compressed position so as to allow the circumferential bulge 56 thereon to be inserted within and removed from the annular groove 52 via the adapter plate passageway 42. The annular bulge 56 seats in the annular groove 52 when the guide thimble end portion 44 is inserted in the adapter plate passageway 42 and has assumed an expanded position. In such manner, the inner socket 54 of each guide thimble 14 is inserted into and withdrawn from locking engagement with one of the outer sockets 50 of the adapter plate 40. More particularly, the axially extending passageway 42 in the adapter plate 40 which defines the outer socket 50 is composed of an upper bore 62 and a lower bore 64. The lower bore 64 is of considerably greater axial length than the upper bore 62 and contains the annular groove 52 which is spaced a short distance below a ledge 66 formed at the intersection of the upper and lower bores 62, 64. The lower bore 64 has a diameter which is greater than that of the upper bore 62; therefore, the ledge 66 faces in a downward direction. The primary purpose of the ledge 66 is to serve as a stop or an alignment guide for proper axial positioning of the upper end portion 44 in the passageway 42 when the inner socket 54 is inserted into the outer socket 50. As seen in FIGS. 5 and 6, the upper edge 58 abuts the ledge 66. IMPROVED TOP NOZZLE LOCKING AND UNLOCKING FEATURES Referring now to FIG. 2 through 7, there is also seen the improved features of the present invention being associated with the locking tube 48 and the guide thimble upper end portion 44. The locking tube 48 has upper and lower portions 68, 70 and is mounted within the guide thimble upper end portion 44 for movement therealong between an upper locking position, as seen in FIG. 5, and a lower unlocking position, as seen in FIG. 6. When the locking tube 48 is at its upper locking position, its upper portion 68, which is an elongated tubular body having a generally uniform diameter, is located coextensive with the inner and outer sockets 54, 50 for retaining the inner socket 54 seated within the outer socket 50 and thereby maintaining the adapter plate 40 and the guide thimble upper end portion 44 in locking engagement. On the other hand, when the locking tube 48 is at its lower unlocking position, its upper portion 68 is located below the inner and outer sockets 54, 50 for allowing unseating of the inner socket 54 from the outer socket 50 and thereby release of the adapter plate 40 from the guide thimble upper end portion 44. The improved features of the present invention relate to cooperating means, generally designated 72 and 74, defined on the guide thimble upper end portion 44 and on the lower portion 70 of the locking tube 48 for retaining the locking tube 48 at either of its upper position (FIG. 5) or its lower position (FIG. 6) within the guide thimble upper end portion 44. The cooperating means 72 on the guide thimble upper end portion 44 includes a pair of upper and lower circumferential bulges 76, 78 which are located below the adapter plate 40 when the inner socket 54 of the guide thimble upper end portion 44 is seated in locking engagement within outer socket 50 of the adapter plate passageway 42. The upper and lower bulges 76, 78 are axially spaced from one another along the guide thimble upper end portion 44 such that the upper bulge 76 is located to retain the locking tube 48 at its upper locking position, while the lower bulge 78 is located to retain the tube 48 at its lower unlocking position. The cooperating means 74 on the locking tube 48 includes a circumferential bulge 80 defined on the lower portion 70 of the locking tube 48 which is seatable in either of the upper and lower circumferential bulges 76, 78 defined on the guide thimble upper end portion 44. In addition thereto, the cooperating means 74 on the locking tube 48 further includes means defining at least one, but preferably, as seen in FIG. 3, four slots 82 in the locking tube 48 extending axially upwardly along the lower portion 70 of the locking tube 48 from a lower edge 84 thereof. The slots 82 allow radial compression and expansion of the lower portion 70 of the locking tube 48 upon movement of the locking tube between and positioning of the tube at its upper and lower positions for seating and unseating of the circumferential bulge 80 of tube into and from the respective upper and lower circumferential bulges 76, 78 of the guide thimble upper end portion 44. To remove the top nozzle 22 from the guide thimbles 14, each locking tube 48 is moved from its upper locking position, as seen in FIG. 5, wherein each outer socket 50 of the adapter plate and each inner socket 54 of the guide thimble upper end portion 44 are maintained in locking engagement, to its lower unlocking position, as seen in FIG. 6. When each locking tube 48 is in its lower position of FIG. 6, the inner socket 54 is now unobstructed by the upper portion 68 of the locking tube and free to collapse inwardly and release its engagement with the outer socket 50 when the adapter plate 40 is lifted upwardly from the guide thimble 14 to the position seen in FIG. 7. The top nozzle 22 is remounted on the guide thimbles 14 by performing the above steps in reverse. It is thought that the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof.
summary
claims
1. A focus-detector arrangement of an X-ray apparatus for generating at least one of projective and tomographic phase contrast recordings of an observed region of a subject, comprising:a radiation source to emit at least one of a coherent and quasi-coherent X-radiation and to irradiate the subject;a phase grating, arranged behind the subject in a direction of a beam path from the radiation source, to generate an interference pattern of the X-radiation in an energy range; andan analysis-detector system including an analyzer grating, to detect at least the interference pattern generated by the phase grating in respect of its phase shift with position resolution, wherein the beam path of the X-radiation used diverges in at least one plane between the focus and the detector, wherein the following geometrical relationship is satisfied: g 2 = 1 2 ⁢ r 1 + d r 1 ⁢ g 1 ,where d corresponds to the distance between the phase grating G1 and the analyzer grating G2, r1 corresponds to the distance between the radiation source and the phase grating, g2 corresponds to the period of the analyzer grating (G2), and g1 corresponds to the period of the phase grating (G1). 2. The focus-detector arrangement as claimed in claim 1, wherein the beam path of the X-radiation used diverges in two planes between the focus and the detector. 3. The focus-detector arrangement as claimed in claim 1, wherein the region of the subject, as seen in projection in the direction of the optical axis of the beam path, is relatively smaller than the utilized region of the phase grating downstream in the beam path, which in turn is relatively smaller than the utilized region of the analysis-detector system downstream in the beam path. 4. The focus-detector arrangement as claimed in claim 1, wherein the distance from the radiation source to the analysis-detector system is at least two times as great as the distance from the radiation source to the subject. 5. The focus-detector arrangement as claimed in claim 1, wherein the distance from the radiation source to the detector of the analysis-detector system is at least 10× as great as the distance from the radiation source to the subject. 6. The focus-detector arrangement as claimed in claim 1, wherein the phase grating is arranged relatively closer to the analysis-detector system than to the subject in the beam direction. 7. The focus-detector arrangement as claimed in claim 1, wherein the phase grating is arranged relatively closer to the subject than to the analysis-detector system in the beam direction. 8. The focus-detector arrangement as claimed in claim 1, wherein the analysis-detector system comprises an analyzer grating with a detector downstream in the beam direction having a multiplicity of detector elements. 9. The focus-detector arrangement as claimed in claim 8, wherein the distance (dm) from the phase grating (G1) to the analyzer grating (G2) fulfills the following geometrical relationship: d m = ( m - 1 2 ) · g 1 2 4 · λ ,where:dm=distance from the phase grating (G1) to the analyzer grating (G2);m=1,2,3,. . . ;g1=grating period of the phase grating (G1);λ=wavelength of the X-radiation used. 10. The focus-detector arrangement as claimed in claim 1, wherein the radiation source comprises a focus which is designed as a microfocus in relation to the geometrical proportions of the focus-detector arrangement, such that: s ≤ λ ⁢ ⁢ r 1 g 1 with the size s of the focus, the wavelength λ of the radiation used, the radial distance r1 from the focus to the phase grating and the grating period g1 of the phase grating. 11. The focus-detector arrangement as claimed in claim 1, wherein the radiation source comprises a focus and an X-ray optical grating arranged in the beam direction. 12. An X-ray system for generating projective phase contrast recordings with a magnified representation of a subject, comprising the focus-detector arrangement as claimed in claim 1. 13. An X-ray computer tomography system for generating tomographic phase contrast recordings with a magnifying representation of a subject, comprising the focus-detector arrangement as claimed in claim 1. 14. The focus-detector arrangement as claimed in claim 2, wherein the region of the subject, as seen in projection in the direction of the optical axis of the beam path, is relatively smaller than the utilized region of the phase grating downstream in the beam path, which in turn is relatively smaller than the utilized region of the analysis-detector system downstream in the beam path. 15. The focus-detector arrangement as claimed in claim 1, wherein the phase grating is arranged between the subject and the analysis-detector system. 16. The focus-detector arrangement as claimed in claim 1, wherein the analysis-detector system measures a quantitative phase displacement of each ray of the emitted radiation using at least three measuring operations.
041359720
claims
1. A method for the fabrication of a nuclear reactor fuel assembly, the fuel assembly including at least a first grid structure formed of web members fabricated from a first metal, the grid structure web members defining at least a first opening for receiving a guide tube formed of a second metal dissimilar to said first metal, the assembly technique comprising the steps of: selecting an open ended cylindrical sleeve, said sleeve being continuous at its oppositely disposed ends and having an outer diameter commensurate with the outer diameter of a guide tube to be passed through the guide tube opening in the grid; forming a plurality of circumferentially displaced windows in the sleeve, said windows having an axial length commensurate with the width of the spacer grid and a width determined by the grid geometry, said windows being located intermediate the length of the sleeve; inserting the sleeve into the guide tube opening in the spacer grid with the windows in registration with those regions where the spacer grid defining web members approach closest to the sleeve; swaging the sleeve radially outwardly along its entire length whereby the inner diameter of the sleeve along its entire length will be caused to be in excess of the outer diameter of the guide tube and the grid web members will be laterally captured by the upper and lower edges of the sleeve windows, the expansion of the sleeve also loading the sleeve against the grid in the radial direction with contact established between the side edges of the sleeve windows and the grid web members; inserting the guide tube through the sleeve; and affixing the sleeve to the guide tube by fusion bonding or swaging so as to prevent lateral movement between the tube and sleeve. fusion bonding the sleeve to the guide tube. swaging the guide tube to mechanically lock the guide tube to the sleeve above and below the spacer grid. forming a plurality of circumferentially displaced apertures in those portions of the sleeve located above and below the terminations of the windows; and expanding the guide tube radially outwardly in areas aligned with the apertures in the sleeve. fusion bonding the spacer grid web members to the sleeve. 2. The method of claim 1 wherein the sleeve is comprised of the same material as the guide tube and wherein the step of affixing the sleeve to the guide tube comprises: 3. The method of claim 1 wherein the sleeve is fabricated from the same material as the spacer grid web members and wherein the step of affixing the sleeve to the guide tube comprises: 4. The method of claim 3 wherein the step of swaging the guide tube to the sleeve comprises: 5. The method of claim 4 further comprising the step of:
summary
040000397
summary
Among the large number of different types of fuel elements for high temperature reactors (particularly of the nuclear or atomic fuel type), the block shaped fuel elements have created an interest and significance. Such fuel elements are hexagonal graphite blocks in which there are broken in parallel to the prism axis bore holes for fuel channels and cooling gas in hexagonal distribution. The fuel in the form of coated particles is bound with a graphite matrix into cylindrically shaped bodies which are inserted into the above-mentioned fuel channels. The binding of the fuel particles with matrix is necessary for safety reasons since in the breaking of a fuel element during operation of the reactor, there must be excluded the possibility that the loose particles trickle out of the bore-holes. Besides the matrix also accepts part of the heat conduction. In order to have a good heat transfer from the fuel inserts into the structural graphite, the inserts are fitted into the bore-holes as well as possible. The closing of the fuel cycle plays a decisive role in the industrial efficiency of a reactor. Therefore, the non-burned or fertilized fuel must be recovered from the burned fuel elements. According to the present idea, the fuel element is broken for this purpose, the graphite burned to CO.sub.2 and the heavy metal supplied to chemical separation. The burning of this large amount of structural graphite is technologically difficult to accomplish since it must be carried out in hot cells, is uneconomical and considerably increases the cost of the fuel. It would be a great advantage if one could simply unload and load the structural graphite blocks with heavy metal, e.g. uranium, and place them in the reactor. Consideration has been given to working out the heavy metal inserts from the burned blocks, but until now no usable, industrial process was found for this purpose. Even if a split existed between the fuel insert and the bore wall, the fuel zone stays unmovably fixed through warping and dimensional changes after a suitable burning. In attempts to eliminate the fuel on the one hand, the coated particles are destroyed and on the other hand, the structural graphite is so greatly damaged that the block is no longer usable for a further insertion. These difficulties can be overcome according to the present invention by development of fuel elements with specific suitability for the further use of the structural graphite while there is inserted for the fuel zone a matrix which has a sufficiently high strength to bind the coated particles corresponding to the safety provisions. This is accomplished according to the invention by making the strength of the matrix in the fuel zone sufficient to bind the embedded coated fuel particle but substantially less than that of the structural graphite and thereby enabling easy decomposition of the matrix by the action of force without destroying the particles. The difference in binding strength of the matrix from the structural graphite should be at least 50 kg/cm.sup.2 and can be as much as 350 kg/cm.sup.2. The types of matrix used at the present time for fuel inserts consist wholly of electro graphite filler and 10 to 20% binder, in some cases also mixed with natural graphite filler, or natural graphite filler with high binder contents, i.e. 50% or more. They have high strength and are very hard. According to the invention, there is inserted a matrix which consists of graphite filler with only a small binder content, i.e. less than 10%, e.g. 2%. The binder can be as little as 0.5 %. The binder can be a conventional binder such as coal tar pitch and/or a resin such as phenol-formaldehyde resin. Preferably, there is used natural graphite powder as the filler. Less preferably there can be used electro graphite such as graphitized petroleum coke powder. This matrix can be easily decomposed by direct mechanical influences such as for example by working with scraping, grating or boring tools, or by erosion, ultrasonics or vibration; in working the vertically placed fuel zones from below the matrix powder and the coated particles continuously trickle out of the bore-holes and can be led to the further working operation. The new fuel inserts are put into the bore-holes of the graphite block. The fuel inserts can be molded in known manner for molding powder and coated or encased and coated particles, coked and temperature treated. In order to be able to fit the fuel inserts as exactly as possible in the bore-holes, there can be used the following procedure. The inserts are only lightly preliminarily pressed, e.g. at 1 to 100 kg/cm.sup.2, pushed into the bore-holes with a pressing ram and eventually the pressing completed there, e.g. at 5 to 150 kg/cm.sup.2 whereby a tight adjustment to the bore wall takes place. When the block is filled and the bore-holes constructions accordingly are closed, for example, with a screw cap of structural graphite or a stopper of matrix graphite without fuel which becomes firmly fixed in the subsequent heat treatment, the block is highly heated to about 1000.degree. C to coke the matrix and subsequently held for a short time at 1800.degree. C. When this temperature treatment is carried out in a suitable furnace there also can be attained, besides the coking of the matrix, an extensive purification of the graphite block from the fission products. The fission product content in the graphite block can also be held low in known manner by suitable selection of coated particles. The described operating steps of the working out of the fuel zones and of filling the fuel element blocks with new fuel are suited to be carried out in hot cells simply and economically. The matrix can contain, for example, (U,Th)O.sub.2 particles coated with pyrolytic carbon. Unless otherwise indicated all parts and percentages are by weight.
054105781
abstract
A nuclear propulsion reactor. A pressure vessel is provided with a reactor core that is surrounded by a radial reflector. Nuclear fuel elements in the core are formed from a hexagonal housing made from a high performance moderator and having a plurality of axial bores that extend the full length of the housing. A stack of nuclear fuel compacts having axial bores for coolant flow is received in the central axial bore of the housing. Hollow lithium hydride slugs are received in the bores at the corners of the housing. A rocket nozzle is attached to one end of the pressure vessel. Coolant/propellant flows into a passageway around the rocket nozzle for cooling thereof, upward through bores in the reflector and through the hexagonal housings of the fuel elements, downward through the bores in the nuclear fuel compacts and then out the rocket nozzle where propulsive thrust is produced.