patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
claims
1. An activation device for temperature sensitive and/or time sensitive indicators activatable by UV light for product labeling, comprising:a UV light source device;a regulation device via which radiation time and/or radiation strength of the UV light source device can be regulated; andat least one photo sensor;wherein the UV light source device and the at least one photo sensor are arranged such that indicators to be activated can be passed between them. 2. An activation device in accordance with claim 1, wherein light generation at the UV light source device can be regulated by the regulation device. 3. An activation device in accordance with claim 1, wherein electrical action on the UV light source device can be regulated by the regulation device. 4. An activation device in accordance with claim 1, wherein the radiation time can be adjusted between 0.05 s and 20 s by the regulation device. 5. An activation device in accordance with claim 1, wherein the radiation strength can be adjusted between 25 mW/cm2 and 400 mW/cm2 by the regulation device. 6. An activation device in accordance with claim 1, wherein the UV light source device radiates UV light in a wavelength range between 300 nm and 430 nm. 7. An activation device in accordance with claim 1, wherein the UV light source comprises a plurality of UV light emitting diodes arranged in one or more rows. 8. An activation device in accordance with claim 1, wherein the at least one photo sensor is arranged facing the UV light source device and/or a reference light source device. 9. An activation device in accordance with claim 1, further comprising at least one checking sensor for checking of the activation of the indicators. 10. An activation device in accordance with claim 9, wherein the at least one checking sensor is a color sensor. 11. An activation device in accordance with claim 1, wherein the UV light source device comprises at least one UV light emitting diode. 12. An activation device for temperature sensitive and/or time sensitive indicators activatable by UV light for product labeling, comprising:a UV light source device;a regulation device via which radiation time and/or radiation strength of the UV light source device can be regulated; andat least one photo sensor;wherein the at least one photo sensor and/or a reference light source device is arranged such that the at least one photo sensor can also be acted on by radiation when indicators are led past the UV light source device. 13. An activation device for temperature sensitive and/or time sensitive indicators activatable by UV light for product labeling, comprising:a UV light source device;a regulation device via which radiation time and/or radiation strength of the UV light source device can be regulated; andat least one checking sensor for checking of the correct activation of indicators arranged after the UV light source device. 14. A device for provision of activated temperature sensitive and/or time sensitive indicators for product labeling, comprising:an activation device for temperature sensitive and/or time sensitive indicators activatable by UV light for product labeling, comprising:a UV light source device, anda regulation device via which radiation time and/or radiation strength of the UV light source device can be regulated; andan application device for a UV protection filter arranged after the activation device. 15. A device in accordance with claim 14, further comprising a receiver for a store of activatable indicators. 16. A device in accordance with claim 15, wherein the receiver is configured for the holding of a roll. 17. A device in accordance with claim 14, further comprising a dispensing device for the dispensing of labels. 18. A device in accordance with claim 14, further comprising an applicator device for the application of labels to products or product packaging. 19. A method for activation of a temperature sensitive and/or time sensitive indicator activatable by UV light for product labeling, wherein the indicator is radiated with UV light of a UV light source device, wherein radiation time and/or radiation strength is regulated for adjustment of temperature sensitivity and/or of time sensitivity of the indicator, such that aging and/or contamination of the UV light source device is compensated. 20. A method in accordance with claim 19, wherein the indicator is illuminated via at least one UV light emitting diode. 21. A method in accordance with claim 19, wherein electrical action on the UV light source device is regulated for the regulation of the radiation time and/or radiation strength. 22. A method in accordance with claim 19, wherein light emission of the UV light source device or of a reference light source device is measured by at least one photo sensor. 23. A method in accordance with claim 19, wherein an activation of the indicator is checked by a checking sensor. 24. A method for activation of a temperature sensitive and/or time sensitive indicator activatable by UV light for product labeling, wherein the indicator is radiated with UV light of a UV light source device, wherein radiation time and/or radiation strength is regulated for adjustment of temperature sensitivity and/or of time sensitivity of the indicator, and wherein correct activation of the indicator is checked after the end of the activation by a checking sensor.
054065992
abstract
A weld fixture for receiving and aligning the fuel rod contact points of a nuclear fuel bundle spacer grid. Two plates are provided with intersecting unequally spaced slots that define polygons on one side of each plate. Both plates have bores therethrough substantially at the slot intersections and in the slots adjacent the outer edges of the plates. Bores are provided through both plates in polygons that correspond to fuel assembly guide tube and instrument tube locations. These positions receive guide cell pins during use, some of which are hollow and are used to bolt the plates together over the spacer grid strips. Bores in each plate through the defined polygons receive alignment pins. Guide rods receive and align the plates through corner bores in each plate. The slots and pins in the facing plates align the critical fuel rod contact points in the spacer grid during the intersection welding process.
summary
description
The present invention relates to a maintenance/repair device for reactor internal structure, which is used for maintaining/repairing a reactor internal structure that is installed on a bottom of a reactor pressure vessel. In a light water reactor, a reactor internal structure installed on a bottom of a reactor pressure vessel is generally formed of a material having an excellent corrosion resistance and a high-temperature strength, such as an austenitic stainless steel and a high nickel alloy. However, even a reactor internal structure formed of such a material may suffer from a material deterioration which is caused by a lengthy operation under a high temperature and a high pressure and by an irradiation of neutron. In particular, in a portion near a welding part of a reactor internal structure, a material thereof may be liable to cause a crack or a tensile residual stress may be generated, because of a heat generated upon welding. In this case, there is a possibility that a stress corrosion cracking occurs. Laser peening is known as one of maintenance techniques for preventing the stress corrosion cracking, and various methods thereof have been developed. In the laser peening, a pulse laser is irradiated onto a material to generate a plasma on a surface of the material, and a kinetic energy of an impulse wave of the plasma is utilized to change a tensile residual stress on the material surface into a compressive stress. Thus, there is eliminated a stress factor (tensile residual stress caused by welding), which is one of three factors inviting the stress corrosion cracking, i.e., a material factor, an environmental factor, and the stress factor. Accordingly, the stress corrosion cracking in a portion near a welding part can be prevented. For example, JP2002-328193A (see, Patent Document 1), JP2001-255395A (see, Patent Document 2), and JP2005-227218A (see, Patent Document 3) respectively propose an apparatus that subjects a reactor internal structure to a laser peening process (laser peening apparatus), with a view to preventing the stress corrosion cracking. This kind of laser peening apparatus has a vertically longer length and a large mass. In order to subject a reactor internal structure to a laser peening process during a maintenance/repair operation of the reactor internal structure, it is necessary to precisely arrange a laser peening apparatus in position, and to stably fix the same. To this end, a lower part of the laser peening apparatus has to be fixed on the reactor internal structure, such as a control-rod drive mechanism housing or an in-core instrumentation cylinder, which is installed on a bottom of a reactor pressure vessel, while an upper part of the laser peening apparatus has to be held by a reactor internal support, such as an upper lattice plate or a core support plate, which is disposed on an upper part of the reactor pressure vessel (see, FIG. 17). However, when the reactor internal structure such as the upper lattice plate or the core support plate is not disposed, for example, during a work in which the reactor internal support is replaced, the upper part of the laser peening apparatus cannot be held. In this case, it is difficult to stably fix the laser peening apparatus inside the reactor pressure vessel. Therefore, when the reactor internal support is not disposed, it is impossible to maintain/repair the reactor internal structure by using the laser peening apparatus. The present invention has been made in view of the above circumstances. An object of the present invention is to provide a maintenance/repair device for reactor internal structure, which is capable of, even when a reactor internal support is not disposed, maintaining/repairing a reactor internal structure installed on a bottom of a reactor pressure vessel, by fixing a laser peening apparatus on the reactor internal structure without the laser peening apparatus being held by the reactor internal support. Another object of the present invention is to provide a maintenance/repair device for reactor internal structure, which is capable of, when a reactor internal support is disposed, maintaining/repairing a reactor internal structure installed on a bottom of a reactor pressure vessel, by smoothly guiding a laser peening apparatus to the reactor internal structure and fixing the laser peening apparatus thereon. The present invention is a maintenance/repair device for reactor internal structure that is used, when a reactor internal support is not disposed, for maintaining/repairing a reactor internal structure installed on a bottom of a reactor pressure vessel, the maintenance/repair device for reactor internal structure comprising: a device body configured to be fixed on the reactor internal structure; and a welding-part repair mechanism connected to the device body, the welding-part repair mechanism being configured to repair a welding part of the reactor internal structure; wherein a welding part of the reactor internal structure is repaired by the welding-part repair mechanism, while the device body is fixed on the reactor internal structure. The present invention is the maintenance/repair device for reactor internal structure, wherein: the reactor internal structure is formed of a control-rod drive mechanism housing; and the device body has: a frame to which the welding-part repair mechanism is connected; a guide connected to the frame, the guide being configured to be fitted in the control-rod drive mechanism housing; and a clamp mechanism disposed in the guide, the clamp mechanism being configured to fix the device body on the control-rod drive mechanism housing. The present invention is the maintenance/repair device for reactor internal structure, wherein: the reactor internal structure is formed of an in-core instrumentation cylinder; and the device body has: a frame to which the welding-part repair mechanism is connected; a guide connected to the frame, the guide being configured to be fitted to the in-core instrumentation cylinder; and a grip mechanism disposed in the guide, the grip mechanism being configured to fix the device body on the in-core instrumentation cylinder. The present invention is the maintenance/repair device for reactor internal structure, wherein the device body has an elevation mechanism connected to the welding-part repair mechanism, the elevation mechanism being capable of moving in an up and down direction with respect to the frame. The present invention is the maintenance/repair device for reactor internal structure, wherein an outer circumference of the frame of the device body and an outer circumference of the control-rod drive mechanism housing have substantially the same diameters, whereby the welding-part repair mechanism can be continuously moved, along the outer circumference of the frame and the outer circumference of the control-rod drive mechanism housing, with respect to the device body in the up and down direction, by the elevation mechanism. The present invention is the maintenance/repair device for reactor internal structure, wherein the welding-part repair mechanism has: a laser transmitter configured to send a pulse laser beam; an irradiation lens attachment body connected to the laser transmitter through a light guide cylinder and an irradiation head; and an irradiation lens disposed in the irradiation lens attachment body, the irradiation lens being configured to irradiate a pulse laser beam from the laser transmitter onto a welding part of the reactor internal structure so as to eliminate a residual stress of the welding part. The present invention is the maintenance/repair device for reactor internal structure, wherein the irradiation lens attachment body is provided with an underwater camera for confirming a position and a direction of the irradiation lens. The present invention is the maintenance/repair device for reactor internal structure, wherein the irradiation lens attachment body is provided with an apparatus configured to detect an ultrasonic wave that is generated from the welding part, when a pulse laser beam is irradiated from the irradiation lens onto the welding part. The present invention is a maintenance/repair device for reactor internal structure that is used, when there is disposed a reactor internal support including a shroud, an upper lattice plate positioned above the shroud, and a core support plate positioned below the shroud, for maintaining/repairing a reactor internal structure installed on a bottom of a reactor pressure vessel, the maintenance/repair device for reactor internal structure comprising: a device body configured to be fixed on the reactor internal structure; and a welding-part repair mechanism connected to the device body, the welding-part repair mechanism being configured to repair a welding part of the reactor internal structure; wherein: a cylindrical guide pipe is disposed between the upper lattice plate and the core support plate; and the device body and the welding-part repair mechanism are passed downward from above through the guide pipe, and the welding part of the reactor internal structure is repaired by the welding-part repair mechanism, while the device body is fixed on the reactor internal structure. According to the present invention, even when the reactor internal support is not disposed, the device body of the maintenance/repair device for reactor internal structure can be stably fixed on the reactor internal structure installed on the bottom of the reactor pressure vessel, without an upper part of the maintenance/repair device for reactor internal structure being held by the reactor internal support. Thus, the welding part of the reactor internal structure can be repaired by the welding-part repair mechanism of the maintenance/repair device for reactor internal structure. In addition, when the reactor internal support is disposed, the maintenance/repair device for reactor internal structure can be smoothly guided to the reactor internal structure installed on the bottom of the reactor pressure vessel and can be fixed on the reactor internal structure. Thus, the welding part of the reactor internal structure can be repaired by the welding-part repair mechanism of the maintenance/repair device for reactor internal structure. Embodiments of the present invention will be described herebelow with reference to the drawings. FIGS. 1 to 4, 7, 8, 13, and 14 show a first embodiment of a maintenance/repair device for reactor internal structure of the present invention. FIG. 1 is an overall schematic view showing an attachment state of the maintenance/repair device for reactor internal structure to a reactor pressure vessel of a boiling water reactor (BWR). FIG. 2 is a structural view showing a detailed structure of the maintenance/repair device for reactor internal structure. FIGS. 3 and 4 are sectional views showing a structure of an upper part of a control-rod drive mechanism housing and a structure of a lower part of the maintenance/repair device for reactor internal structure. FIGS. 7 and 8 are structural views showing an elevating operation of an elevation mechanism. FIGS. 13 and 14 are enlarged views of an end of the maintenance/repair device for reactor internal structure, showing an attachment state of an underwater camera. In the first place, a maintenance/repair device for reactor internal structure 6 in the first embodiment is described with reference to FIG. 1. The maintenance/repair device for reactor internal structure 6 in this embodiment is a device which is used, when a reactor internal support 48 is not disposed, for maintaining/repairing, e.g., laser-peening, a welding part 49a of a reactor internal structure 49 (hereinafter, reactor internal structure welding part 49a), such as a welding part 2a of a control-rod drive mechanism housing 2 (hereinafter control-rod drive mechanism housing welding part 2a), which is installed on a bottom of a reactor pressure vessel 1 in a boiling water reactor (BWR). An inner structure of the reactor pressure vessel 1 of the boiling water reactor (BWR) is described with reference to FIG. 1. Inside the reactor pressure vessel 1, there are disposed: the control-rod drive mechanism housing 2 welded to the bottom of the reactor pressure vessel 1; a neutron instrumentation tube 3 similarly welded to the bottom of the reactor pressure vessel 1; a core support plate differential pressure detection pipe 4 welded to the bottom of the reactor pressure vessel 1; and a shroud support ring 5 welded to the bottom of the reactor pressure vessel 1. The shroud support ring 5 is adapted to support a reactor internal support 48 including a shroud 42, an upper lattice plate positioned above the shroud 42, and a core support plate 43 positioned below the shroud 42 (see, FIG. 17). The aforementioned reactor internal support 48 is generally located on an upper side of the shroud support ring 5. However, in this embodiment, there is described the maintenance/repair device for reactor internal structure 6 which is used when the reactor internal support 48 is not disposed, e.g., during a work in which the reactor internal support 48 is replaced. As shown in FIGS. 1 to 4, the maintenance/repair device for reactor internal structure 6 includes: a device body 6a configured to be fixed on the control-rod drive mechanism housing 2; and a welding-part repair mechanism 6b connected to the device body 6a, the welding-part repair mechanism 6b being configured to repair the control-rod drive mechanism welding part 2a. As shown in FIGS. 2 to 4, the device body 6a has: a cylindrical frame 7 to which the welding-part repair mechanism 6b is connected; a seat base 8 disposed on a lower end of the frame 7; a guide 9 connected to the seat base 8, the guide 9 being configured to be fitted in the control-rode drive mechanism housing 2; and a clamp mechanism 46 disposed in the guide 9, the clamp mechanism 46 being configured to fix the device body 6a on the control-rod drive mechanism housing 2. The frame 7 of the device body 6a is provided with cutouts in a side surface thereof in which various components can be attached/accommodated. Next, the clamp mechanism 46 incorporated in the guide 9 is described with reference to FIGS. 3 and 4. The clamp mechanism 46 has an air cylinder 20 disposed on an upper central portion of the seat base 8, a rod 21 connected to the air cylinder 20, and a rack 22 connected to an end of the rod 21. Rotatably disposed on the guide 9 are a plurality of pinions 23 each having a shape in which a part of an arc is linearly cut off. A pad 24 is disposed on an end portion of an arcuate portion of each of the pinions 23. The rack 22 has gears 22a on a side surface thereof, and the pinion 23 has gears 23a on the arcuate portion. The gears 22a of the rack 22 and the gears 23a of the pinion 23 are engaged with each other. By a movement of the air cylinder 20 in an up and down direction, the rack 22 is moved through the rod 21 in the up and down direction, so as to rotate the pinions 23 having the gears 23a engaged with the gears 22a on the side surface of the rack 22. As shown in FIG. 2, the frame 7 of the device body 6a is connected to the welding-part repair mechanism 6b via a rotation mechanism 16 that makes rotatable the welding-part repair mechanism 6b with respect to a central axis of a laser transmitter 10. Connected to the frame 7 of the device body 6a is an extension mechanism 17 that makes horizontally movable the welding-part repair mechanism 6b and the rotation mechanism 16 with respect to the frame 7. In addition, disposed on the frame 7 is an elevation mechanism 18 that makes vertically movable the welding-part repair mechanism 6b, the rotation mechanism 16, and the extension mechanism 17 with respect to the frame 7. Disposed in the frame 7 is a turn mechanism 19 that makes rotatable the frame 7 with respect to a central axis of the control-rod drive mechanism housing 2. Next, an inner structure of the elevation mechanism 18 is described in detail below with reference to FIGS. 7 and 8. The extension mechanism 17 has an inner diameter corresponding to an outer diameter of an outer circumference of the frame 7. The frame 7 is inserted to an inside of the extension mechanism 17. Thus, the extension mechanism 17 can be vertically moved along the frame 7 with respect to the frame 7. The outer circumference of the frame 7 and an outer circumference of the control-rod drive mechanism housing 2 have substantially the same outer diameters. Next, an attachment state an underwater camera 37 is described with reference to FIGS. 2, 13, and 14. Attached to an irradiation lens attachment body 14 is the underwater camera 37 for confirming a position and a direction of an irradiation lens 14a. Next, the welding-part repair mechanism 6b is further described with reference to FIG. 2. The welding-part repair mechanism 6b has the laser transmitter 10 configured to send a pulse laser beam, a light guide cylinder 12 connected to the laser transmitter 10 via an outgoing opening 11 formed in an outgoing side of the laser transmitter 10, and an irradiation head 13 connected to an end of the light guide cylinder 12. Connected to an end of the irradiation head 13 is the irradiation lens attachment body 14 in which the irradiation lens 14a is disposed. The irradiation lens attachment body 14 can be swung with respect to the irradiation head 13 by a swing mechanism 15. Inside the irradiation head 13, there is disposed a mirror 13a that conforms an irradiation direction of a pulse laser beam emitted from the laser transmitter 10 to a swinging movement of the irradiation lens attachment body 14 by the swing mechanism 15. The irradiation lens 14a disposed in the irradiation lens attachment body 14 is formed of a condenser lens 14b for condensing a pulse laser beam emitted from the laser transmitter 10. Next, an operation of this embodiment as structured above is described. A procedure for the fixing maintenance/repair device for reactor internal structure 6 on the control-rod drive mechanism housing 2 is described in the first place. At first, the maintenance/repair device for reactor internal structure 6 is lowered from above into the reactor pressure vessel 1 such that the maintenance/repair device for reactor internal structure 6 is positioned above the control-rod drive mechanism housing 2. Then, as shown in FIG. 3, the guide 9 disposed on the end of the device body 6a of the maintenance/repair device for reactor internal structure 6 is inserted into a through-hole of the control-rod drive mechanism housing 2. In this case, by moving the air cylinder 20 upward, the rack 22 is moved upward through the rod 21, so that the pinions 23 having the gears 23a engaged with the gears 22a on the side surface of the rack 22 are rotated in a direction in which the pads 24 are received in the guide 9. Thus, the pads 24 disposed on the pinions 23 can be smoothly received into the guide 9. Accordingly, without contact between the pads 24 disposed on the pinions 23 and the control-rod drive mechanism housing 2, the guide 9 can be guided to the inside of the control-rod drive mechanism housing 2, and the device body 6a can be inserted thereinto until the seat base 8 of the device body 6a is seated on the upper surface of the control-rod drive mechanism housing 2. Then, as shown in FIG. 4, after the maintenance/repair device for reactor internal structure 6 has been seated on the control-rod drive mechanism housing 2, the air cylinder 20 is moved downward. Then, the rack 22 is moved downward through the rod 21, so that the pinions 23 having the gears 23a engaged with the gears 22a on the side surface of the rack 22 are rotated in a direction in which the pads 24 project outward the guide 9. Thus, the pads 24 disposed on the pinions 23 project outward the guide 9. Accordingly, the pads 24 disposed on the pinions 23 are pressed onto the inner surface of the control-rod drive mechanism housing 2, whereby the maintenance/repair device for reactor internal structure 6 can be securely fixed on the control-rod drive mechanism housing 2. Next, there is described a procedure for moving the irradiation lens 14a disposed on the end of the welding-part repair mechanism 6b to a precise position and a precise direction with respect to the control-rod drive mechanism housing welding part 2a. As described above (FIG. 2), the frame 7 of the device body 6a is connected to the welding-part repair mechanism 6b through the rotation mechanism 16, the extension mechanism 17, and the elevation mechanism 18. The turn mechanism 19 is disposed in the frame 7. The welding-part repair mechanism 6b has the swing mechanism 15. In FIG. 2, the welding-part repair mechanism 6b is rotated about the frame 7 by the turn mechanism 19, and the welding-part repair mechanism 6b is radially moved about the frame 7 by the extension mechanism 17. Thus, the irradiation lens 14a of the welding-part repair mechanism 6b can be brought to a predetermined position about the frame 7. Then, the extension mechanism 17 is moved along the frame 7 in the up and down direction by the elevation mechanism 18. Thus, the irradiation lens 14a of the welding-part repair mechanism 6b can be brought to a position near the control-rod drive mechanism housing welding part 2a with a high precision. Under a condition in which a distance between the upper surface of the control-rod drive mechanism housing 2 and the control-rod drive mechanism housing welding part 2a is about 1300 mm, there is a possibility that, when the outer diameter of the outer circumference of the control-rod drive mechanism housing 2 is larger than the outer diameter of the outer circumference of the frame 7, the extension mechanism 17 is caught by the upper end of the control-rod drive mechanism housing 2 and cannot be further moved downward, whereby the irradiation lens 14a cannot be moved to a position near the control-rod drive mechanism housing welding part 2a. In order to cope with this situation, the light guide cylinder 12 connected to the laser transmitter 10 has to be elongated (see, FIG. 9). However, when the light guide cylinder 12 is elongated, the setting condition of the irradiation head 13 connected to the end of the light guide cylinder 12 may become unstable, and thus a laser peening process cannot be precisely performed by the maintenance/repair device for reactor internal structure 6. On the other hand, according to the this embodiment, since the outer circumference of the frame 7 and the outer circumference of the control-rod drive mechanism housing 2 have substantially the same outer diameters, the extension mechanism 17, which has been lowered to the lower end of the frame 7 by the elevation mechanism 18, can be further continuously lowered along the outer circumference of the control-rod drive mechanism housing 2. Namely, without elongating the light guide cylinder 12 connected to the laser transmitter 10, the irradiation lens 14a can be reliably moved to a position near the control-rod drive mechanism housing welding part 2a. Owing to the stable setting condition of the irradiation head 13, a laser peening process can be precisely performed. Thereafter, with the use of the underwater camera 37 attached to the irradiation lens attachment body 14, a position and a direction of the irradiation lens 14a are confirmed. Based thereon, the position and the direction of the irradiation lens 14a can be adjusted to a precise position and a precise direction with respect to the control-rod drive mechanism housing welding part 2a. Since the bottom of the reactor pressure vessel 1 has an arcuate shape, the control-rod drive mechanism housing welding part 2a has a non-symmetric shape with respect to the central axis of the control-rod drive mechanism housing 2. Thus, in order to precisely perform a laser peening process, it is necessary to grasp a precise position and a precise direction of the maintenance/repair device for reactor internal structure 6 with respect to the control-rod drive mechanism housing welding part 2a. In a general case, in the reactor pressure vessel 1, there is disposed a pin near a through-hole of the core support plate 43 positioned below the shroud 42. In this case, a precise position and a precise direction of the maintenance/repair device for reactor internal structure 6 can be detected by using this pin. However, in this embodiment, there is supposed a case in which a laser peening process is performed without the reactor internal support 48 being disposed, e.g., during a work in which the reactor internal support 48 is replaced. Thus, there may exist no structure, such as the pin, near the through-hole of the core support plate 43, which could be used otherwise to detect a precise position and a precise direction. On the other hand, since the plurality of control-rod drive mechanism housings 2 are regularly installed on the bottom of the reactor pressure vessel 1, relative positions and directions between the control-rod drive mechanism housings 2 are apparent. Thus, in this embodiment, by using the underwater camera 37, a precise position and a precise direction of the maintenance/repair device for reactor internal structure 6 with respect to the control-rod drive mechanism housing welding part 2a are detected. That is to say, at first, an image taken by the underwater camera 37 has been displayed on a monitor screen, and the adjacent control-rod drive mechanism housing 2 is displayed on the monitor screen. At this time, the maintenance/repair device for reactor internal structure 6 is driven in rotation such that a center of the control-rod drive mechanism housing 2 to be laser-peened and a center of the monitor screen are conformed to each other. Alternatively, the maintenance/repair device for reactor internal structure 6 is driven in rotation such that a side end surface of the control-rod drive mechanism housing 2 is conformed to a marked position that is previously put on the monitor screen. Namely, it is possible to detect a precise position and a precise direction of the maintenance/repair device for reactor internal structure 6 by the use of the monitor screen. Accordingly, the irradiation lens 14a can be adjusted to a precise position and a precise direction with respect to the control-rod drive mechanism housing welding part 2a. Following thereto, there is performed a laser peening process to the control-rod drive mechanism housing welding part 2a by means of the welding-part repair mechanism 6b of the maintenance/repair device for reactor internal structure 6. At first, a pulse laser beam is emitted from the laser transmitter 10. The pulse laser beam is guided to the irradiation head 13 through the light guide cylinder 12 connected to the laser transmitter 10, and is then reflected by the mirror 13a disposed in the irradiation head 13 so as to be guided to the irradiation lens attachment body 14. Thereafter, the pulse laser beam is condensed by the condensing lens 14a disposed in the irradiation lens attachment body 14, and are irradiated to the control-rod drive mechanism housing welding part 2a. Thus, there can be eliminated a residual stress of the control-rod drive mechanism housing welding part 2a onto which the pulse laser beam has been irradiated. Accordingly, a stress corrosion cracking of the control-rod drive mechanism housing welding part 2a can be prevented. As shown in FIG. 14, after the laser peening process, the control-rod drive mechanism housing welding part 2a is visually confirmed by using the underwater camera 37 attached to the irradiation lens attachment body 14. Namely, the control-rod drive mechanism housing welding part 2a can be visually confirmed by the same underwater camera 37 attached to the maintenance/repair device for reactor internal structure 6, i.e., it is not necessary to again bring downward another underwater camera 37 close to the control-rod drive mechanism housing welding part 2a. As has been described above, according to this embodiment, even when the reactor internal support 48 is not disposed, the device body 6a of the maintenance/repair device for reactor internal structure 6 can be stably fixed on the control-rod drive mechanism housing 2 installed on the bottom of the reactor pressure vessel 1. In addition, since the irradiation lens 14a can be moved to a precise position and a precise direction with respect to the reactor internal structure welding part 49a, a laser peening process can be precisely performed. As a result, a stress corrosion cracking of the reactor internal structure welding part 49a can be effectively prevented. Next, a second embodiment of the maintenance/repair device for reactor internal structure of the present invention is described with reference to FIGS. 5 and 6. FIGS. 5 and 6 are sectional views showing a structure of an upper part of a control-rod drive mechanism housing and a structure of a lower part of the maintenance/repair device for reactor internal structure. The second embodiment of the present invention shown in FIGS. 5 and 6 differs from the first embodiment in a structure of the clamp mechanism 46. Other structures of the second embodiment are substantially the same as those of the first embodiment shown in FIGS. 1, 2, 7, 8, 13, and 14. In this embodiment, the same parts as those of the first embodiment shown in FIGS. 1, 2, 7, 8, 13, and 14 are shown by the same reference numbers, and a detailed description thereof is omitted. As shown in FIGS. 5 and 6, a clamp mechanism 50 has an air cylinder 20 disposed on an upper central portion of a seat base 8, a rod 21 connected to the air cylinder 20, and a plurality of pantograph mechanisms 25 connected to an end of the rod 21. A pad 26 is disposed on ends of the pantograph mechanisms 25. By a movement of the air cylinder 20 in an up and down direction, the pantograph mechanisms 25 are horizontally expanded and contracted through the rod 21. In FIG. 5, in order to fix the maintenance/repair device for reactor internal structure 6 on a control-rod drive mechanism housing 2, a guide 9 disposed on an end of a device body 6a of the maintenance/repair device for reactor internal structure 6 is firstly inserted into a through-hole of the control-rod drive mechanism housing 2. In this case, by moving the air cylinder 20 downward, the pantograph mechanisms 25 are horizontally contracted through the rod 21, so that the pads 26 disposed on the ends of the pantograph mechanisms 25 are smoothly received into the guide 9. Accordingly, without contact between the pads 26 and the control-rod drive mechanism housing 2, the guide 9 can be guided to the inside of the control-rod drive mechanism housing 2, and the device body 6a can be inserted thereinto until a seat base 8 of the device body 6a is seated on an upper surface of the control-rod drive mechanism housing 2. As shown in FIG. 6, after the maintenance/repair device for reactor internal structure 6 has been seated on the control-rod drive mechanism housing 2, the air cylinder 20 is moved upward. Then, the pantograph mechanisms 25 are horizontally expanded through the rod 21, so that the pads 26 disposed on the pantograph mechanisms 25 project outward the guide 9. Thus, the pads 26 disposed on the pantograph mechanisms 25 are pressed onto an inner surface of the control-rod drive mechanism housing 2. Accordingly, the maintenance/repair device for reactor internal structure 6 can be securely fixed on the control-rod drive mechanism housing 2. Next, a third embodiment of the maintenance/repair device for reactor internal structure of the present invention is described with reference to FIGS. 10 and 11. FIG. 10 is an overall schematic view showing an attachment state of the maintenance/repair device for reactor internal structure to a reactor pressure vessel of a pressurized water reactor (PWR). FIG. 11 is a sectional view showing a structure of an upper part of an in-core instrumentation cylinder and a structure of a lower part of the maintenance/repair device for reactor internal structure. The maintenance/repair device for reactor internal structure in the third embodiment shown in FIG. 10 is a device which is used, when a reactor internal support 48 is not disposed, for maintaining/repairing, e.g., laser-peening, a reactor internal structure welding part 49a of a reactor internal structure 49, such as a welding part 28a of an in-core instrumentation cylinder 28 (hereinafter in-core instrumentation cylinder welding part 28a), which is installed on a bottom of a reactor pressure vessel 1 in a pressurized water reactor (PWR). Other structures of the third embodiment are substantially the same as those of the first embodiment shown in FIGS. 2, 7, 8, 13, and 14. In this embodiment, the same parts as those of the first embodiment shown in FIGS. 2, 7, 8, 13, and 14 are shown by the same reference numbers, and a detailed description thereof is omitted. As shown in FIG. 10, disposed inside a reactor pressure vessel 27 are the in-core instrumentation cylinder 28 welded to a bottom of the reactor pressure vessel 27, and a core support hardware 29 welded to a side surface in the reactor pressure vessel 27. The core support hardware 29 holds a reactor internal support 48 including a shroud 42, an upper lattice plate 44 positioned above the shroud 42, and a core support plate 43 positioned below the shroud 42. Generally, the aforementioned reactor internal support 48 is located on an upper side of the core support hardware 29. However, in this embodiment, there is described the maintenance/repair device for reactor internal structure 6 which is used when the reactor internal support 48 is not disposed, e.g., during a work in which the reactor internal support 48 is replaced. As shown in FIG. 10, the maintenance/repair device for reactor internal structure 6 includes: a device body 6a configured to be fixed on the in-core instrumentation cylinder 28; and a welding-part repair mechanism 6b connected to the device body 6a, the welding-part repair mechanism 6b being configured to repair the in-core instrumentation cylinder welding part 28a. Since an outer diameter of the in-core instrumentation cylinder 28 is smaller than an outer diameter of a control-rod drive mechanism housing 2, it is difficult to use the clamp mechanism 46 in the first embodiment and the clamp mechanism 50 in the second embodiment. Thus, a grip mechanism 47 as described below is used to fix the maintenance/repair device for reactor internal structure 6 on the in-core instrumentation cylinder 28. As shown in FIG. 11, the device body 6a has: a cylindrical frame 7 to which the welding-part repair mechanism 6b is connected; a guide 33 connected to a lower end of the frame 7, the guide 33 being configured to be fitted to the in-core instrumentation cylinder 28; and the grip mechanism 47 disposed in the guide 33, the grip mechanism 37 being configured to fix the device body 6a on the in-core instrumentation cylinder 28. Next, the grip mechanism 47 incorporated in the guide 33 is described with reference to FIG. 11. The grip mechanism 47 has an air cylinder 20 disposed on an upper central portion inside the guide 33, and a rod 30 connected to the air cylinder 20. A lever 31 connected to an end of the rod 30 by a pin is disposed on the guide 33 such that the lever 31 can be rotated about a pin 32. A pad 34 is disposed on an end of the lever 31. By a movement of the air cylinder 20 in a right and left direction, the lever 31 is rotated about the pin 32 through the rod 30. In FIG. 11, in order to fix the maintenance/repair device for reactor internal structure 6 on the in-core instrumentation cylinder 28, the in-core instrumentation cylinder 28 is firstly inserted into the guide 33 disposed on the end of the device body 6a of the maintenance/repair device for reactor internal structure 6. In this case, by moving the air cylinder 20 rightward, the lever 31 is rotated through the rod 30 in a direction in which the pad 34 is received into the guide 33. Thus, the pad 34 disposed on the end of the lever 31 is smoothly received in the guide 33. Accordingly, without contact between the pad 34 disposed on the lever 31 and the in-core instrumentation cylinder 28, the in-core instrumentation cylinder 28 can be guided to the guide 33 and the in-core instrumentation cylinder 28 can be inserted thereinto until the guide 33 of the device body 6a is seated on an upper surface of the in-core instrumentation cylinder 28. After the maintenance/repair device for reactor internal structure 6 has been seated on the in-core instrumentation cylinder 28, the air cylinder 20 is moved leftward. Then, the lever 31 is rotated through the rod 30 in a direction in which the pad 34 projects outward the guide 33. Thus, the pad 34 disposed on the end of the lever 31 is pressed onto an outer surface of the in-core instrumentation cylinder 28. Since the in-core instrumentation cylinder 28 is sandwiched between the pad 34 and the guide 33, the maintenance/repair device for reactor internal structure 6 can be securely fixed on the in-core instrumentation cylinder 28. Next, a fourth embodiment of the maintenance/repair device for reactor internal structure of the present invention is described with reference to FIG. 12. FIG. 12 is a sectional view showing a structure of an upper part of an in-core instrumentation cylinder and a structure of a lower part of the maintenance/repair device for reactor internal structure. The fourth embodiment shown in FIG. 12 differs from the third embodiment in a structure of a grip mechanism 47. Other structures of the fourth embodiment are substantially the same as those of the third embodiment shown in FIG. 10. In this embodiment, the same parts as those of the third embodiment shown in FIG. 10 are shown by the same reference numbers, and a detailed description thereof is omitted. As shown in FIG. 12, the grip mechanism 47 has an air cylinder 20 connected to a lower side portion inside a guide 36, a rod 21 connected to the air cylinder 20, and a pad 35 disposed on an end of the rod 21. By a movement of the air cylinder 20 in a right and left direction, the rod 21 is moved in the right and left direction. In FIG. 12, in order to fix the maintenance/repair device for reactor internal structure 6 on an in-core instrumentation cylinder 28, the in-core instrumentation cylinder 28 is firstly inserted into the guide 36 disposed on an end of a device body 6a of the maintenance/repair device for reactor internal structure 6. In this case, by moving the air cylinder 20 rightward, the pad 35 disposed on the end of the rod 21 is smoothly received into the guide 36. Accordingly, without contact between the pad 35 and the in-core instrumentation cylinder 28, the in-core instrumentation cylinder 28 can be guided to an inside of the guide 36, and the in-core instrumentation cylinder 28 can be inserted thereinto until the guide 36 of the device body 6a is seated on an upper surface of the in-core instrumentation cylinder 28. After the maintenance/repair device for reactor internal structure 6 has been seated on the in-core instrumentation cylinder 28, the air cylinder 20 is moved leftward. Then, the pad 35 disposed on the end of the rod 21 is pressed onto an outer surface of the in-core instrumentation cylinder 28. Since the in-core instrumentation cylinder 28 is sandwiched between the pad 35 and the guide 36, the maintenance/repair device for reactor internal structure 6 can be securely fixed on the in-core instrumentation cylinder 28. Next, a fifth embodiment of the maintenance/repair device for reactor internal structure of the present invention is described with reference to FIG. 15. FIG. 15 is an enlarged view of an irradiation head, showing an attachment state of an ultrasonic microphone. In the fifth embodiment shown in FIG. 15, an ultrasonic microphone 38 is attached to an irradiation lens attachment body 14. Other structures of the fifth embodiment are substantially the same as those of the first embodiment shown in FIGS. 1 to 4, 7, and 8. In this embodiment, the same parts as those of the first embodiment shown in FIGS. 1 to 4, 7, and 8 are shown by the same reference numbers, and a detailed description thereof is omitted. As shown in FIG. 15, the ultrasonic microphone 38 is attached to the irradiation lens attachment body 14. When a pulse laser beam 39 is irradiated onto a control-rod drive mechanism housing welding part 2a, the ultrasonic microphone 38 is adapted to detect an ultrasonic wave generated on the control-rod drive mechanism housing welding part 2a. In this embodiment, the pulse laser beam 39 may be irradiated onto an in-core instrumentation cylinder welding part 28a. In FIG. 15, the pulse laser beam 39 is irradiated onto the control-rod drive mechanism housing welding part 2a, so as to generate an ultrasonic wave from an irradiation point 40 onto which the pulse laser beam 39 has been irradiated. The thus generated ultrasonic wave can be detected by the ultrasonic microphone 38. The ultrasonic wave generated from the irradiation point 40 propagate along an ultrasonic propagation channel 41 to reach the ultrasonic microphone 38. There is measured a time period from a time point when the pulse laser beam 39 has been irradiated from an irradiation lens 14a to a time point when the ultrasonic wave generated from the irradiation point 40 reaches the ultrasonic microphone 38. Since a velocity at which an ultrasonic wave travels in water at a given temperature is known, a distance from the irradiation point 40 to the ultrasonic microphone 38 can be calculated. Generally, in order to perform a laser peening process without fail, a distance from the irradiation lens 14a to the irradiation point 40 has to be held within a certain range. Thus, it is necessary that a shape of the control-rod drive mechanism housing welding part 2a to be laser-peened is supposed based on drawing dimensions and the like, and that the pulse laser beam 39 is irradiated while moving the irradiation lens 14a along the supposed shape. However, since the control-rod drive mechanism housing 2 is welded to a reactor pressure vessel 1, there is a possibility that the shape of the actual control-rod drive mechanism housing welding part 2a considerably differs from the shape supposed based on the drawing dimensions and the like. In this case, it is difficult to hold the distance from the irradiation lens 14a to the irradiation point 40 within a certain range. On the other hand, according to this embodiment, the pulse laser beam 39 has been previously irradiated onto a plurality of locations on the control-rod drive mechanism housing welding part 2a to be laser-peened, and a distance from the irradiation lens 14a to the irradiation point 40 is measured by the above-described method using the ultrasonic microphone 38. Based on the measurement, a difference between the supposed shape and the actual shape is calculated so as to correct the previously supposed shape, and the irradiation lens 14a is moved. Thus, it is possible to hold a distance from the irradiation lens 14a to the irradiation point 40 within a certain range. Next, a sixth embodiment of the maintenance/repair device for reactor internal structure of the present invention is described with reference to FIG. 16. FIG. 16 is an overall schematic view showing an attachment state of the maintenance/repair device for reactor internal structure. The maintenance/repair device for reactor internal structure in the sixth embodiment shown in FIG. 16 is a device which is used, when a reactor internal support 48 is disposed, for maintaining/repairing, e.g., laser-peening, a reactor internal structure welding part 49a, such as a control-rod drive mechanism housing welding part 2a. Other structures of the sixth embodiment are substantially the same as those of the first embodiment shown in FIGS. 2 to 4, 7, 8, 13, and 14. In this embodiment, the same parts as those of the first embodiment shown in FIGS. 2 to 4, 7, 8, 13, and 14 are shown by the same reference numbers, and a detailed description thereof is omitted. As shown in FIG. 16, disposed in a reactor pressure vessel 1 is the reactor internal support 48 including a shroud 42, an upper lattice plate 44 positioned above the shroud 42, and a core support plate 43 positioned below the shroud 42. Disposed between the upper lattice plate 44 and the core support plate 43 is a cylindrical guide pipe 45. The guide pipe 45 has an outer diameter that allows passage of the guide pipe 45 through through-holes formed in the upper lattice plate 44 and the core support plate 43, and an inner diameter that allows passage of the maintenance/repair device for reactor internal structure 6 through the guide pipe 45. In addition, the guide pipe 45 has a length ranging from an upper part of the upper lattice plate 44 to an upper part of the maintenance/repair device for reactor internal structure 6 which is fixed on a control-rod drive mechanism housing 2 through the core support plate 43. In this embodiment, the maintenance/repair device for reactor internal structure 6 may be fixed on an in-core instrumentation cylinder 28. In the aforementioned first to fifth embodiments, there is described the case in which the reactor internal support 48 is not disposed. On the other hand, in this embodiment, the rector internal support 48 is disposed in the reactor pressure vessel 1. In this case, when the maintenance/repair device for reactor internal structure 6 has a size that allows passage thereof through the through-holes formed in the upper lattice plate 44 and the core support plate 43, it is possible to pass the maintenance/repair device for reactor internal structure 6 through the reactor internal support 48 and to fix the maintenance/repair device for reactor internal structure 6 on the control-rod drive mechanism housing 2. Meanwhile, since the maintenance/repair device for reactor internal structure 6 has a rugged shape because of various components attached thereto, there is a fear that, while passing through the through-holes formed in the upper lattice plate 44 and the core support plate 43, the maintenance/repair device for reactor internal structure 6 contacts the reactor internal support 48 such as the upper lattice plate 44 and the core support plate 43. In this case, the maintenance/repair device for reactor internal structure 6 may be damaged. In this embodiment, before the maintenance/repair device for reactor internal structure 6 is passed through the reactor internal support 48 for fixation and removal, the guide pipe 45 has been disposed on the upper lattice plate 44 and the core support plate 43. Thus, the maintenance/repair device for reactor internal structure 6 can be passed downward from above through the guide pipe 45, whereby the maintenance/repair device for reactor internal structure 6 can be prevented from being damaged by contacting the upper lattice plate 44 and the core support plate 43. In FIG. 16, the plurality of guide pipes 45 may be previously disposed on a plurality of control-rod drive mechanism housing welding parts 2a to be laser-peened. In this case, after one control-rod drive mechanism housing welding part 2a has been subjected to a laser peening process, the maintenance/repair device for reactor internal structure 6 can be promptly moved to another control-rod drive mechanism housing welding part 2a to be laser-peened, so as to fix the maintenance/repair device for reactor internal structure 6 on a reactor internal structure 49. Therefore, a time period required for fixing the maintenance/repair device for reactor internal structure 6 on the reactor internal support 48 can be reduced.
051280680
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a method and apparatus for cleaning particulate materials such as soils which are contaminated with a variety of contaminants such as heavy metals, radioactive compounds and organics, often in combination, through a combination of leaching, washing, attrition scrubbing, countercurrent flow size separation and density separation. 2. Background Information Contaminated soil is becoming a more common environmental problem. The contaminants can include heavy metals, such as for instance, copper, lead and mercury; radioactive species such as radium, uranium and thorium; and organics such as for example, oils, polychlorinated biphenyls, flue soot and others. Various techniques have been developed to remove specific contaminants. For instance, heavy metals are known to be found predominantly in the silt, humic or clay fraction of soil. Hence, they can be removed by size separation such as tiltable tables, cocurrent flow in a mineral jig and chemical techniques such as the use of precipitants. The radioactive compounds are generally soluble and can be removed to a large extent by leaching. Since these compounds are often also present in the finer particles, the most severely contaminated fraction can also be removed by countercurrent flow size separation. Organics can be removed by washing with surfactants, thermal treatment or biological processes. Special problems develop when the different types of contaminants are present in soil. Generally, biological or thermal processes are more effective for removing organics than washing. However, toxic inorganics such as lead or chromium (+6), if present, deactivate biological systems due to their toxicity and cause air pollution problems for thermal processes. In addition, thermal processes may mobilize otherwise fixed contaminants in the treated soil. Radioactive contamination (e.g., uranium, thorium radium, etc.) can be removed by soil washing. Soil washing provides a means to process soils having multiple contaminants. The washed soil is accessible to further biological or thermal treatment. Inorganic and radioactive compounds may be separated from organics for separate sale or disposal. Many soil washing processes are available. Most use mining equipment to provide intimate soil/surfactant contact U.S. Pat. No. 4,783,253 discloses a process for separating radioactive contaminants from soil using a cocurrent flow of water to float away lighter uncontaminated particles from heavy contaminated particles. The slurry of lighter particles is dewatered using a spiral classifier, centrifuge, filter or the like. U.S. Pat. No. 4,783,263 is directed to a process for removing toxic or hazardous substances, in particular organics, from soils and the like by converting the material to a slurry adding surfactants and/or alkaline agents, and concentrating the toxic substance in the liquid phase preferably with a modifier in a froth flotation cell. Some of the limitations of the currently used processes are that they are optimized for removing only one type of contaminant or for cleaning only one type of soil, they are geared to cleaning the larger particles while concentrating the fines in a fraction for later disposal, and they often use filtration for water removal which is a capital intensive operation with high operating costs. There is a need therefore for an improved process and apparatus for treating particulate materials, such as soil and the like, contaminated with mixed wastes such as radioactive materials, organics and heavy metals. There is a further need for such a process and apparatus which separates organic and inorganic contaminants thereby allowing for optimum disposal routes or post treatment strategies to be used on the concentrated contaminated fractions. There is also a need for such a process and apparatus which produces a high solids content fines. There is yet another need for such a process and apparatus which is not capital intensive, is economical to operate and can be made portable for on-site treatment. SUMMARY OF THE INVENTION These and other needs are satisfied by the invention which is characterized by treating particulate materials such as soils, sludges, sediments, scrap yard dust and the like, contaminated with radioactive compounds, heavy metals, and organics, either singly or in combination, by first washing the particulate material with a contaminant mobilizing solution which can consist of leaching solutions, surfactants, and mixtures thereof to mobilize soluble and dispersible contaminants in a liquid phase of the contaminant mobilizing solution. Mechanical separation means are then used to separate large particles of a size substantially free of residual contamination, typically larger than 5 mm, from intermediate sized particles and fines in the contaminant mobilizing solution. These separated large particles are washed with a water based cleaning solution to produce recovered particulate material. Fines are size separated from the intermediate sized particles in the contaminant mobilizing solution with a countercurrent flow of the contaminant mobilizing solution to form a waste slurry. The size separation is preferably performed in a mineral jig. The intermediate sized particles from which the fines have been separated are attrition abraded to dislodge attached fines. These dislodged fines are then separated from the intermediate sized particles by a countercurrent flow of wash water to form additional waste slurry and an effluent of the intermediate sized particles and wash water. The intermediate sized particles are dewatered to produce additional recovered particulate material. If the particulate material has been contaminated with insoluble heavy metals, they can be separated from the effluent of intermediate sized particles and wash water emerging from the second size separation by density separation such as by a cross-current pulsed flow of wash water prior to dewatering. The scrubbing of the particles removes the mineral slimes or fine particles adhering to the intermediate sized particles. As is known, these dislodged fine particles have a very large surface area which is chemically active. Some solubilized contaminants have a high affinity for the surface area of these fine particles. Hence, in accordance with the broad process defined by the invention, the dissolved contaminants are drawn off in the first size separation before scrubbing to dislodge fines. These dislodged fines are then separated in a second sizing countercurrent flow using wash water. In applications in which contamination of dislodged fines with dissolved contaminants is not a problem, another attrition abrading step can be carried out prior to the initial size separation of fines using a countercurrent flow of the contaminant mobilizing solution. The invention is further characterized by using the water obtained by dewatering the intermediate sized particles remaining after the second size separation as the wash water for the second size separating countercurrent flow. The countercurrent flow rate in the size separating steps can be adjusted to adjust the size of the fines removed. The adjustment is made to balance the percentage of soil particles recovered and allowable levels of residual contamination. Typically, fines smaller than about 60 microns are removed in the waste slurry. The actual size removed will be dependent upon the contaminant distribution as a function of particle size, and can vary from less than 200 to 10 microns. Another novel aspect of the invention is the operation of a mineral jig in a countercurrent flow mode to effect size separation of fines from the slurry while simultaneously washing the particulate material. In one stage the mobilizing solution is used to produce the countercurrent flow, while wash water is used in the final size separating stage for removing fines. The waste slurry from the two countercurrent flow size separating steps containing the fines, dissolved metals including the radioactive contaminants, and the organics, is further treated to remove the fines and contaminants and to produce clean contaminant mobilizing solution which is recirculated. In one embodiment of the invention the dissolved metals are precipitated by a sulfide. The precipitates and fine soil particles are removed by dewatering and the decontaminant mobilizing solution can be further treated such as in an ion exchange bed to remove radioactive solution contaminants and passed through a carbon bed to remove the organic load before being recycled.
description
The present application claims priority to Japanese Patent Application No. 2017-021675, entitled “Production method of diffraction grating”, filed on Feb. 8, 2017, and invented by Takahiro Doki, Yukihisa Wada, Satoshi Tokuda, Nobukazu Hayashi, and Toshinori Yoshimuta, the contents of which are incorporated herein by reference in their entirety. The present invention relates to a method of producing a diffraction grating. Conventionally, a diffraction grating provided in an X-ray imaging apparatus is known. Such a diffraction grating is disclosed in, for example, International Publication No. 2009/104560. International Publication No. 2009/104560 discloses an X-ray imaging apparatus equipped with an X-ray source for generating an X-ray, a first grating for diffracting the X ray generated from the X-ray source, and a second grating for generating a moire pattern by further diffracting the X-ray diffracted by the first grating, and an X-ray image detector for detecting a moire pattern generated by the second grating. The X-ray imaging apparatus disclosed in International Publication No. 2009/104560 is configured to generate an X-ray image including an X-ray phase contrast image obtained by imaging the phase shift of the X-ray caused by passing through an object from a moire pattern obtained when the object is X-ray captured in a state in which the object is placed between the X-ray source and the first grating or between the first grating and the second grating and the moire pattern obtained when the object is X-ray captured in a state in which the object is not placed therebetween. In the X-ray imaging apparatus like International Publication No. 2009/104560, for the purpose of enlarging the field range that can be image captured at once, there is a need to increase the imaging range by forming a moire pattern in a wide range by increasing the area of the second grating arranged upstream and near the X-ray image detector. Therefore, as a method for increasing the imaging area, a method can be conceivable in which a plurality of diffraction gratings (unit diffraction gratings) is fixed to a common substrate to thereby increase the imaging area. However, in an X-ray imaging apparatus as disclosed in International Publication No. 2009/104560, in the case of configuring the second grating so that a plurality of diffraction gratings (unit diffraction gratings) is arranged on a common substrate, a moire pattern is generated by further diffracting the X-ray diffracted by the first grating with the second grating. However, in the X-ray imaging apparatus as disclosed in International Publication No. 2009/104560, in cases where it is not adjusted so that the extending directions of the gratings of a plurality of diffracting gratings of the second grating are aligned, it is considered that there is a problem that deterioration of image quality occurs due to distortion in the X-ray image due to a difference in moire pattern for each diffraction grating. The present invention was made to solve the aforementioned problems, and an object of the present invention is to provide a method of producing a diffraction grating capable of suppressing degradation of image quality due to a difference in shape of a moire in an X-ray image while enlarging an area. In order to achieve the aforementioned object, a method of producing a diffraction grating according to one aspect of the present invention includes: a step of generating a moire by a periodic pattern projected onto a plurality of unit diffraction gratings and a plurality of unit diffraction gratings; and a step of adjusting so that extending directions of gratings are aligned by relatively rotating at least one of a plurality of unit diffraction gratings with respect to at least one of the others of the plurality of unit diffraction gratings based on a shape of the moire generated. In a method of producing a diffraction grating according to one aspect of the present invention, as described above, it is configured to provide a step of adjusting so that the extending directions of the gratings are aligned by relatively rotating at least one of the plurality of unit diffraction gratings with respect to at least one of the others of the plurality of unit diffraction gratings based on a shape of the moire generated. By this step, it is possible to produce a diffraction grating in which at least two of the plurality of unit diffraction gratings are arranged so that the moire shapes are substantially equal. As a result, it is possible to increase the area of the diffraction grating while avoiding degradation of image quality due to the difference in shape of the moire. Also, unlike the case in which the extending directions of the gratings of a plurality of unit diffraction gratings are directly adjusted using a microscope and a marker, it is possible to adjust the extending directions of gratings of the plurality of unit diffraction gratings based on the shapes of actually formed moires. With this, it is possible to assuredly suppress occurrence of misalignment in extending directions of gratings of a plurality of unit diffraction gratings. In a method of producing a diffraction grating equipped with a step of relatively rotating at least one of a plurality of unit diffraction gratings, it is preferable that a plurality of unit diffraction gratings include a first unit diffraction grating and a second unit diffraction grating, and the step of relatively rotating the at least one of the plurality of unit diffraction gratings includes a step of adjusting so that the extending directions of gratings are aligned by relatively rotating the first unit diffraction grating with respect to the second unit diffraction grating based on a period of a first moire generated by the first unit diffraction grating and the periodic pattern and a period of the second moire generated by the second unit diffraction grating and the periodic pattern. With this configuration, it is possible to easily align the extending directions of the gratings of the first unit diffraction grating and the second unit diffraction grating by adjusting so that the extending directions of the gratings of the first unit diffraction grating and the second unit diffraction grating are aligned based on the period of the first moire and the period of the second moire which are larger than the period of the grating of the first unit diffraction grating and the period of the grating of the second unit diffraction grating. In the method of producing a diffraction grating including a step of relatively rotating the first unit diffraction grating with respect to the second unit diffraction grating, it is preferable that the method further includes a step of fixing the first unit diffraction grating with respect to the substrate. The step of relatively rotating the first unit diffraction grating with respect to the second unit diffraction grating includes a step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit diffraction grating with respect to the substrate and the first unit diffraction grating fixed to the substrate, and a step of fixing the second unit diffraction grating with respect to the substrate after the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit diffraction grating with respect to the first unit diffraction grating. By configuring as described above, since the first unit diffraction grating is fixed to the substrate before the step of relatively rotating the first unit diffraction grating with respect to the second unit diffraction grating, even if the first unit diffraction grating is relatively rotated with respect to the second unit diffraction grating, the position of the first unit diffraction grating with respect to the substrate does not change. As a result, it is possible to suppress occurrence of misalignment of the extending directions of the gratings of the first unit diffraction grating and the second unit diffraction grating. Further, the second unit diffraction grating is fixed to the substrate after the alignment of the extending directions of the gratings of the first unit diffraction grating and the second unit diffraction grating, and therefore it is possible to assuredly produce a diffraction grating in a state in which the extending directions of the gratings of the first unit diffraction grating and that of the second unit diffraction grating are aligned. In the method of producing a diffraction grating further including a step of fixing the second unit diffraction grating to the substrate with respect to the first unit diffraction grating, it is preferable that the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit diffraction grating with respect to the first unit diffraction grating fixed to the substrate includes a step of rotating the second unit diffraction grating in a state in which the substrate and the first unit diffraction grating are fixed. By configuring as described above, since it is only required to rotate the second unit diffraction grating which is not fixed based on the substrate to which the first unit diffraction grating is fixed, it is possible to easily perform a step of relatively rotating the substrate and the second unit diffraction grating. In the method of producing a diffraction grating further including a step of fixing the second unit diffraction grating to the substrate with respect to the first unit diffraction grating, it is preferable that the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit diffraction grating with respect to the first unit diffraction grating fixed to the substrate includes a step of rotating the substrate and the first unit diffraction grating in a state in which the second unit diffraction grating is fixed. By configuring as described above, since it is only required to rotate the substrate with reference to the second unit diffraction grating, by rotating the substrate with respect to the second unit diffraction grating in a state in which the second unit diffraction grating is held, it is possible to easily perform a step of relatively rotating the substrate and the second unit diffraction grating. Further, in cases where a device configured such that a diffraction grating is rotatable in a grating plane in order to change the shape of a moire is used for an X-ray phase imaging device of the present invention, it is possible to rotate the substrate in a grating plane. With this, by using the X-ray phase imaging device, in a state in which the second unit diffraction grating is held, it is possible to rotate the substrate and the first unit diffraction grating fixed to the substrate in a state in which the second unit diffraction grating is held without separately providing a first unit diffraction grating to a rotation mechanism. In the method of producing a diffraction grating further including a step of fixing the second unit diffraction grating to the substrate with respect to the first unit diffraction grating, it is preferable that the plurality of unit diffraction gratings further include a third unit diffraction grating other than the first unit diffraction grating and the second unit diffraction grating, and the method further includes a step of adjusting so that the extending directions are aligned by relatively rotating the third unit diffraction grating with respect to the first unit diffraction grating or the second unit diffraction grating fixed to the substrate after the step of fixing the second unit diffraction grating to the substrate, and a step of fixing the third unit diffraction grating with respect to the substrate after the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the third unit diffraction grating relative with respect to the first unit diffraction grating or the second unit diffraction grating. By configuring as described above, by repeating these steps, it is possible to sequentially fix the plurality of unit diffraction gratings other than the first unit diffraction grating with respect to the substrate to which the first unit diffraction grating is fixed in a state in which the extending directions of the gratings are aligned. As a result, it is possible to obtain a diffraction grating in which the extending directions of the gratings are aligned for all of the plurality (three or more) unit diffraction gratings. Further, in this process, even if an error occurs in the step of aligning the extending directions of gratings of the second unit diffraction grating and the first unit diffraction grating by rotating the third unit diffraction grating with reference to the first unit diffraction grating, it is possible to prevent the influence of the error from occurring in the extending direction of the grating of the third unit diffraction grating. As a result, it is possible to make the misalignment in the extending direction of the gratings of the unit diffraction gratings other than the first unit diffraction grating at the maximum only the misalignment from the first unit diffraction grating. Therefore, accumulation of misalignment in the extending direction of the grating can be suppressed. Hereinafter, embodiments embodying the present invention will be described with reference to drawings. With reference to FIG. 1, a configuration of an X-ray phase imaging device 100 equipped with an absorption grating G2 according to a first embodiment of the present invention will be described. Note that the absorption grating G2 is an example of the “diffraction grating” recited in claims. (Configuration of X-Ray Phase Imaging Device) As shown in FIG. 1, the X-ray phase imaging device 100 is provided with an X-ray source 1, a phase grating G1, an absorption grating G2, a detector 2, a control unit 3, a display unit 5, and a moving mechanism 6. In the X-ray phase imaging device 100, the X-ray source 1, the phase grating G1, the absorption grating G2, and the detector 2 are arranged in this order in the irradiation axis direction of the X-ray (optical axis direction, Z direction). The X-ray phase imaging device 100 is a device for capturing an image of an object (not shown) arranged between the X-ray source 1 and the phase grating G1 or between the phase grating G1 and the absorption grating G2. In this specification, the irradiation axis direction of the X-ray is defined as a Z direction, and directions orthogonal to each other in a plane orthogonal to the Z direction are set as an X direction and a Y direction, respectively. The X-ray source 1 is configured so as to generate an X-ray by applying a high voltage and irradiate the generated X-ray with a micro-focus. The phase grating G1 is a diffraction grating that changes the phase of the passing X-ray. The phase grating G1 has slits G1a and X-ray absorbers G1b arranged at a predetermined period (grating pitch) d1 in the X direction. Each of the slit G1a and the X-ray absorber G1b is formed so as to extend in the Y direction. The phase grating G1 is arranged between the X-ray source 1 and the absorption grating G2, and an X-ray is irradiated thereto. The phase grating G1 is provided to form a self-image 30 (see FIG. 3) by a Talbot effect. When an X-ray having coherence passes through a grating in which slits are formed, an image of the grating (self-image 30) is formed at a position away from the grating by a predetermined distance (Talbot distance). This is called a Talbot effect. The self-image 30 is an interference fringe caused by interference of an X-ray. The self-image 30 is an example of the “periodic pattern” recited in the claims. The absorption grating G2 is provided with a plurality of unit absorption gratings 20 (see FIG. 2) each having a plurality of X-ray low absorption portions G2a and X-ray high absorption portions G2b arranged at a predetermined grating pitch d2 in the X direction. Each of the X-ray low absorption portion G2a and the X-ray high absorption portion G2b is formed so as to extend in the Y direction. It should be noted that the X-ray low absorption portion G2a is shown like a slit of the unit absorption grating 20 in the figure. Note that each unit absorption grating 20 is an example of the “unit diffraction grating” recited in claims. The absorption grating G2 is arranged between the phase grating G1 and the detector 2, and an X-ray that passed through the phase grating G1 is irradiated to the absorption grating G2. The absorption grating G2 is arranged at a position away from the phase grating G1 by the Talbot distance. As a result, on the downstream side (in the Z direction) of the absorption grating G2, the self-image 30 of the phase grating G1 and the absorption grating G2 are superimposed, so that a moire pattern (also referred to herein simply as moire) 40 (see FIG. 3), which is an interference fringe having a period d4 larger than the period d2 of the absorption grating G2 is generated. The detector 2 is configured to detect an X-ray, convert the detected X-ray into an electric signal, and read the converted electric signal as an image signal. The detector 2 is, for example, an FPD (Flat Panel Detector). The detector 2 is composed of a plurality of conversion elements (not shown) and a plurality of pixel electrodes (not shown) arranged on the plurality of conversion elements. The plurality of conversion elements and pixel electrodes are arranged side by side in the X direction and the Y direction at a predetermined period (pixel pitch). The detection signal of the detector 2 is sent to the image processing unit 4 of the control unit 3. The control unit 3 is a computer configured so as to include a CPU (Central Processing Unit), a ROM (Read Only Memory), a RAM (Random Access Memory), and the like. The control unit 3 is equipped with an image processing unit 4 capable of generating an X-ray image including a moire image in which a moire 40 is imaged. In addition, the control unit 3 is configured such that the phase grating G1 and the absorption grating G2 can be rotated by a predetermined angle in a plane in the X direction and the Y direction plane (X-Y plane) using the moving mechanism 6. The image processing unit 4 is configured to generate a moire image in which a moire 40 is imaged based on the detection signal sent from the detector 2. And the image processing unit 4 is configured to generate an X-ray image such as, e.g., a reconstructed image (X-ray phase image) based on a phase shift of each image from a plurality of moire images obtained by scanning the absorption grating G2 in the Y direction at constant periodic intervals. The display unit 5 is configured by, for example, a liquid crystal display. The display unit 5 is configured to display a moire image generated by the image processing unit 4 and an X-ray image reconstructed from the moire image. The moving mechanism 6 is configured such that the held phase grating G1 and absorption grating G2 can be rotated by a predetermined angle in the X-Y plane via grating holding units (not shown) holding the phase grating G1 and the absorption grating G2 based on a signal sent from the control unit 3. The moving mechanism 6 is, for example, an electric positioning mechanism using a stepping motor or a piezo actuator. This makes it possible to easily change the relative angle of the phase grating G1 and the absorption grating G2. (Configuration of Absorption Grating) Next, the configuration of the absorption grating G2 will be described in detail with reference to FIGS. 2 to 5. The absorption grating G2 is composed of a rectangular substrate 10 and a plurality of unit absorption gratings 20 arranged on the surface of the substrate 10 with a predetermined space therebetween. For example, as shown in FIG. 2, in the absorption grating G2, four rectangular unit absorption gratings 20 (rectangular first unit absorption grating 21, second unit absorption grating 22, third unit absorption grating 23, and fourth unit absorption grating 24) are arranged on one surface of the substrate 10 so as not overlap each other. Note that the first unit absorption grating 21, the second unit absorption grating 22, and the third unit absorption grating 23 are examples of the “first unit diffraction grating”, “second unit absorption grating”, and “third unit absorption grating”, respectively, recited in claims. The substrate 10 is made of an X-ray low absorber such as a resin. In the unit absorption grating 20, the X-ray low absorption portion G2a made of a silicon or a resin and the X-ray high absorption portion G2b made of a heavy metal, such as gold, are arranged alternately in the X direction. Further, the X-ray low absorption portion G2a and the X-ray high absorption portion G2b are arranged at a predetermined period (grating pitch) d2 in the X direction and are arranged so as to extend substantially in parallel to the Y direction. In the absorption grating G2 shown in FIG. 2, a first unit absorption grating 21, a second unit absorption grating 22, a third unit absorption grating 23, and a fourth unit absorption grating 24 are arranged on the substrate 10 so that the X-ray low absorption portion G2a and the X-ray high absorption portion G2b are substantially parallel. That is, in the absorption grating G2, a plurality of unit absorption gratings 20 is arranged so that the extending directions of the gratings are aligned. For example, in FIG. 2 and FIG. 3, a plurality of unit absorption gratings 20 are arranged such that the extending directions of the gratings of the first unit absorption grating 21, the second unit absorption grating 22, the third unit absorption grating 23, and the fourth unit absorption grating 24 are aligned in the Y direction. The plurality of unit absorption gratings 20 are arranged on the substrate 10 and then arranged at predetermined positions of the X-ray phase imaging device 100 in a state in which the absorption grating G2 is configured by being joined to the substrate 10. As the method of joining the plurality of unit absorption gratings 20 and the substrate 10, any one of methods, such as, e.g., a vacuum bonding method, an optical contact method, an anodic oxidation bonding method, and an adhesion method, can be used. When a moire 40 is generated using an absorption grating G2 in a state in which a plurality of unit absorption gratings 20 are arranged so that the extending directions of gratings are aligned as shown in FIG. 2, a moire 40 having a period d4 larger than the period d2 is generated as shown in FIG. 3. More specifically, when an X-ray is irradiated from the X-ray source 1, the phase grating G1 having a period d1 irradiated with the X-ray generates a self-image 30 having a period d3 at the position of the Talbot distance (at the position where the absorption grating G2 is arranged in FIG. 1) on the downstream side (in the Z direction) from the phase grating G1. The self-image 30 and the absorption grating G2 arranged at the position where the self-image 30 is formed and having a period d2 are superimposed, so that a moire 40 which is an interference fringe having a period d4 is generated on the downstream side of the absorption grating G2. This moire 40 shows a difference in the signal strength of X-ray. As shown in FIG. 3, since the moire 40 has the period d4 that is larger than the period d2, it is easy to detect the magnitude of the signal strength of the X-ray even if a detector does not have a very small detection element. Based on this moire 40, an X-ray image such as, e.g., a reconstructed image based on the phase shift of each image is generated. However, when the X-ray phase imaging device 100 equipped with the absorption grating G2 is actually used, as shown in FIG. 4, a plurality of unit absorption gratings 20 is sometimes in a state in which the extending directions of gratings are misaligned. The cause is considered that in the case of adjusting so that the extending directions of gratings of a plurality of unit absorption gratings 20 are aligned, when it is adjusted so that the extending directions of gratings are directly aligned using a microscope and a marker, since the period d2 of the unit absorption grating 20 is extremely small, the precise adjustment cannot be performed. When the absorption grating G2 in a state in which the extending directions of gratings of a plurality of unit absorption gratings 20 are misaligned as shown in FIG. 4 is used, different shapes of moires 40 are generated for each unit absorption grating 20 by the self-image 30 and each unit absorption grating 20 as shown in FIG. 5. Specifically, a first moire 41 having a period d41 is generated by the self-image 30 and the first unit absorption grating 21, a second moire 42 having a period d42 is generated by the self-image 30 and the second unit absorption grating 22, a third moire 43 having a period d43 is generated by the self-image 30 and the third unit absorption grating 23, and a fourth moire 44 having a period d44 is generated by the self-image 30 and the fourth unit absorption grating 24. As shown in FIG. 4, although the misalignment of the extending directions (X directions) of the gratings of the plurality of unit absorption gratings 20 are slight, as shown in FIG. 5, the first moire 41, the second moire 42, the third moire 43, the fourth moire 44, the periods d41, d42, d43, and d44 greatly differ. As described above, in the absorption grating G2, it is not easy to adjust the extending directions of the gratings so that the extending directions of the gratings of the plurality of unit absorption gratings 20 are aligned, which is likely to cause a large difference in the shape of the generated moire 40 for each part. This results in deterioration of image quality of the X-ray image generated based on the moire image in which the moire 40 is imaged and the moire 40. Under the circumstances, in a method of producing an absorption grating G2 of the first embodiment according to the present invention which will be described, it is configured to adjust the absorption grating G2 so as to align the extending directions of the gratings of the plurality of unit absorption gratings 20 in order to suppress degradation of image quality in the moire image 40 and the X-ray image. (Method of Producing Absorption Grating) Next, with reference to FIG. 1, FIG. 2, and FIG. 6 to FIG. 9, a method of producing an absorption grating G2 of the first embodiment will be described. Note that in this production method, it is possible to adjust so that extending directions of gratings of a plurality of unit absorption gratings 20 are aligned by using the X-ray phase imaging device 100 shown in FIG. 1. First, a first unit absorption grating 21 is arranged at an appropriate position on one surface of a substrate 10 (the upper left part of the substrate 10 in FIG. 6), the substrate 10 on which the first unit absorption grating 21 at the Talbot distance (at the position where the absorption grating G2 is arranged in FIG. 1) on the downstream side (in the Z direction) from the phase grating G1, and an X-ray is irradiated from an X-ray source 1 to generate a moire 40. Then, after joining (fixing) the first unit absorption grating 21 to the substrate 10, as shown in FIG. 6, in a state in which a second unit absorption grating 22 is arranged at a position near the first unit absorption grating 21 on the substrate 10 (on the right side of the first unit absorption grating 21 in FIG. 6) with an appropriate distance, an X-ray is irradiated with the X-ray source 1 to generate a moire 40. As shown in FIG. 6, since the extending directions of gratings differ between the first unit absorption grating 21 and the second unit absorption grating 22, the period d41 of the first moire 41 generated by the self-image 30 and the first unit absorption grating 21 is significantly different from the period d42 of the second moire 42 generated by the self-image 30 and the second unit absorption grating 22. So, the second unit absorption grating 22 is rotated using a rotation mechanism (not shown) with respect to the substrate 10 and the first unit absorption grating 21 joined (fixed) to the substrate 10 so that the period d41 of the first moire 41 and the period d42 of the second moire 42 become substantially equal. That is, the second unit absorption grating 22, which is one of the plurality of unit absorption gratings 20, is relatively rotated with respect to the first unit absorption grating 21, which is one of the others of the plurality of unit absorption gratings 20. It should be noted that the first moire 41 shown in FIG. 6 is not a moire 40 generated in a state in which the first unit absorption grating 21 is joined (fixed) to the substrate 10, but a moire 40 generated when the first unit absorption grating 21 is arranged at an appropriate position on one surface of the substrate 10 (before joining the first unit absorption grating 21 to the substrate 10). This is because there is a possibility that the shape of the first moire 41 slightly changes due to a slight change in the optical distance from the phase grating G1 to the first unit absorption grating 21 before and after joining the first unit absorption grating 21 to the substrate 10. In the following description, when comparing moires 40 generated by a plurality of unit absorption gratings 20, it is assumed that each moire 40 image-captured before joining each unit absorption grating 20 to the substrate 10 is used. As shown in FIG. 7, in a state in which the period d41 of the first moire 41 and the period d42 of the second moire 42 are substantially equal to each other, the extending directions of the gratings are aligned in the first unit absorption grating 21 and the second unit absorption grating 22. This is because the period d41 of the first moire 41 and the period d42 of the second moire 42 are larger than the period d2 of the first unit absorption grating 21 and the second unit absorption grating 22, when adjustment is made using the first moire 41 and the second moire 42, the extending directions of gratings of the first unit absorption gratings 21 and the second unit absorption grating 22 can be adjusted with high degree of accuracy. After arranging the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22, the second unit absorption grating 22 is joined (fixed) to the substrate 10. By the steps up to here, it is possible to produce the absorption grating G2 in a state in which the extending directions of gratings of the first unit absorption grating 21 and the second unit absorption grating 22 are aligned. Next, as shown in FIG. 8, in a state in which a third unit absorption grating 23 is arranged at a position near the first unit absorption grating 21 on the substrate 10 (on the lower side of the first unit absorption grating 21 in FIG. 8) with an appropriate distance, an X-ray is irradiated with an X-ray source 1 to generate a moire 40. Since the extending directions of gratings are misaligned between the first unit absorption grating 21 and the third unit absorption grating 23, the period d41 of the first moire 41 generated by the self-image 30 and the first unit absorption grating 21 is significantly different from the period d43 of the third moire 43 generated by the self-image 30 and the third unit absorption grating 23. So, the third unit absorption grating 23 is rotated using a rotation mechanism (not shown) with respect to the substrate 10 and the first unit absorption grating 21 joined (fixed) to the substrate 10 so that the period d41 of the first moire 41 and the period d43 of the third moire 43 become substantially equal. At this time, by rotating the third unit absorption grating not with reference to the second unit absorption grating 22 but with reference to the first moire 41 generated by the first unit absorption grating 21, even if there is an error in the step of aligning the extending directions of the first unit absorption grating 21 and the second unit absorption grating 22, it is possible to prevent the influence of the error from occurring in the extending direction of the grating of the third unit absorption grating 23. After arranging the extending directions of the gratings of the first unit absorption grating 21 and the third unit absorption grating 23, the third unit absorption grating 23 is joined (fixed) to the substrate 10. As for the fourth unit absorption grating 24, by repeating the similar step as for the third unit absorption grating 23, it is possible to align the grating extending directions of the first unit absorption grating 21, the second unit absorption grating 22, the third unit absorption grating 23, and the fourth unit absorption grating 24. That is, as shown in FIG. 2, all of the unit absorption gratings 20 to be joined to the absorption grating G2 can be brought into a state in which the extending directions of gratings are aligned. Note that, in the first embodiment, since the unit absorption gratings 20 are joined to the same substrate 10, it is assumed that the unit absorption gratings 20 are not misaligned in directions other than the surface (X-Y plane) of the absorption grating G2. In the first embodiment, the following effects can be obtained. In the method of producing the absorption grating G2 of the first embodiment, as described above, it includes a step of adjusting so that the extending directions of the gratings are aligned by relatively rotating at least one of the plurality of unit absorption gratings 20 with respect to at least one of the others of the plurality of unit absorption gratings 20 based on the shape of the generated moire 40. By this step, it is possible to produce an absorption grating G2 in which at least two of the plurality of unit absorption gratings 20 are arranged so that the shapes of moires 40 are substantially equal. As a result, it is possible to increase the area of the absorption grating while avoiding degradation of image quality due to the difference in shape of the moire 40. Further, unlike the case in which extending directions of gratings of a plurality of unit absorption gratings 20 are directly adjusted using a microscope and a marker, it is possible to adjust extending directions of gratings of a plurality of unit absorption gratings 20 based on a shape of actually formed moire 40. With this, it is possible to assuredly suppress occurrence of misalignment in extending directions of gratings of a plurality of unit absorption gratings 20. Further, in the method of producing the absorption grating G2 of the first embodiment, as described above, the plurality of unit absorption gratings 20 includes the first unit absorption grating 21 and the second unit absorption grating 22. The step of relatively rotating at least one of the plurality of unit absorption gratings 20 includes a step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the first unit absorption grating 21 with respect to the second unit absorption grating 22 based on the period d41 of the first moire 41 to be generated by the first unit absorption grating 21 and the self-image 30 and the period d42 of the second moire 42 to be generated by the second unit absorption grating 22 and the self-image 30. With this, it is possible to align the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22 by adjusting so that the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22 are aligned based on the period d41 of the first moire 41 and the period d42 of the second moire 42 which are larger than the period d2 of the grating of the first unit absorption grating 21 and the period d2 of the grating of the second unit absorption grating 22. Further, in the method of producing an absorption grating G2 of the first embodiment, as described above, the method further includes a step of fixing the first unit absorption grating 21 with respect to the substrate 10. The step of relatively rotating the first unit absorption grating 21 with respect to the second unit absorption grating 22 includes a step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit absorption grating 22 with respect to the substrate 10 and the first unit absorption grating 21 fixed to the substrate 10, and a step of fixing the second unit absorption grating 22 with respect to the substrate 10 after the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit absorption grating 22 with respect to the first unit absorption grating 21. With these steps, since the first unit absorption grating 21 is fixed to the substrate 10 before the step of relatively rotating the first unit absorption grating 21 with respect to the second unit absorption grating 22, even if the first unit absorption grating 21 is relatively rotated with respect to the second unit absorption grating 22, the position of the first unit absorption grating 21 with respect to the substrate 10 does not change. As a result, it is possible to suppress occurrence of misalignment of the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22. Further, after aligning the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22, the second unit absorption grating 22 is fixed to the substrate 10. Therefore, it is possible to assuredly produce the absorption grating G2 in a state in which the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22 are aligned. Further, in the method of producing the absorption grating G2 of the first embodiment, the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit absorption grating 22 with respect to the first unit absorption grating 21 fixed to the substrate 10. With this, it is sufficient to rotate only the second unit absorption grating 22 which is not fixed with reference to the substrate 10 to which the first unit absorption grating 21 fixed. Therefore, it is possible to easily perform the step of relatively rotating the substrate 10 and the second unit absorption grating 22. Further, in the method of producing an absorption grating G2 of the first embodiment, as described above, the plurality of unit absorption gratings 20 further includes the third unit absorption grating 23 other than the first unit absorption grating 21 and the second unit absorption grating 22. The method further includes step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the third unit absorption grating 23 with respect to the first unit absorption grating 21 or the second unit absorption grating 22 fixed to the substrate 10 after the step of fixing the second unit absorption grating 22 to the substrate 10, and a step of fixing the third unit absorption grating 23 with respect to the substrate 10 after the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the third unit absorption grating 23 with respect to the first unit absorption grating 21 or the second unit absorption grating 22. By repeating these steps, it is possible to sequentially fix the plurality of unit absorption gratings 20 other than the first unit absorption grating 21 with respect to the substrate 10 to which the first unit absorption grating 21 is fixed in a state in which the extending directions of the gratings are aligned. As a result, it is possible to obtain an absorption grating G2 in which the extending directions of the gratings are aligned for all of the plurality (three or more) unit diffraction gratings 20. Further, in these steps, by rotating the third unit absorption grating not with reference to the second unit absorption grating 22 but with reference to the first unit absorption grating 21, even if there is an error in the step of aligning the extending directions of the gratings of the second unit absorption grating 22 and the first unit absorption grating 21, it is possible to prevent the influence of the error from occurring in the extending direction of the grating on the third unit absorption grating 23. As a result, misalignment in the extending directions of gratings of unit absorption gratings 20 other than first unit absorption grating 21 can be made to be a misalignment with the first unit absorption grating 21 at most. Therefore, accumulation of the misalignments in the extending directions of the gratings can be suppressed. Next, a second embodiment will be described with reference to FIGS. 10 and 11. In this second embodiment, unlike the production method of the first embodiment, the description will be directed to the case of producing an absorption grating by rotating a substrate and a unit absorption grating fixed to the substrate with respect to unit absorption gratings not fixed. Note that the same reference numerals are allotted to the same configurations as those of the first embodiment in the drawings, and the description thereof will be omitted. (Method of Producing Absorption Grating) First, in the same manner as in the first embodiment, a first unit absorption grating 21 is arranged at an appropriate position on one surface of the substrate 10 (upper left portion of the substrate 10 in FIG. 10), and the substrate 10 on which the first unit absorption grating 21 is arranged is arranged at the Talbot distance on the downstream side (in the Z direction) from the phase grating G1. Thereafter, an X-ray is irradiated with an X-ray source 1 to generate a moire 240. Then, after joining (fixing) the first unit absorption grating 21 to the substrate 10, as shown in FIG. 10, in a state in which a second unit absorption grating 22 is arranged at a position near the first unit absorption grating 21 on the substrate 10 (on the right side of the first unit absorption grating 21 in FIG. 10) with an appropriate distance, an X-ray is irradiated with the X-ray source 1 to generate a moire 240. Since the extending directions of gratings are misaligned between the first unit absorption grating 21 and the second unit absorption grating 22, the period d241 of the first moire 241 generated by the self-image 30 and the first unit absorption grating 21 is significantly different from the period d242 of the second moire 242 generated by the self-image 30 and the second unit absorption grating 22. Therefore, the substrate 10 and the first unit absorption grating 21 joined to the substrate 10 are rotated with respect to the second unit absorption grating 22 by rotating the substrate 10 itself in a state in which the second unit absorption grating 22 is held with a holding mechanism (not shown) so that the period d241 of the first moire 241 and the period d242 of the second moire 242 become substantially equal to each other. As shown in FIG. 11, in a state in which the period d241 of the first moire 241 and the period d242 of the second moire 242 are substantially equal to each other, the extending directions of the gratings are aligned in the first unit absorption grating 21 and the second unit absorption grating 22. After aligning the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22, the second unit absorption grating 22 is joined (fixed) to the substrate 10. By the steps up to here, in the same manner as in the first embodiment, it is possible to produce the absorption grating G2 in a state in which the extending directions of the gratings of the first unit absorption grating 21 and the second unit absorption grating 22 are aligned. For the same reason as in the first embodiment, the first moire 241 shown in FIG. 11 is a moire 240 generated when the first unit absorption grating 21 is arranged at an appropriate position on one surface of the substrate 10 (before joining the first unit absorption grating 21 to the substrate 10). Then, in the same manner as in the first embodiment, by repeating these steps for other unit absorption gratings 20, all of the unit absorption gratings 20 to be joined to the absorption grating G2 can be brought into a state in which the extending directions of gratings are aligned. Other configurations of the second embodiment are the same as those of the first embodiment. In the second embodiment, the following effects can be obtained. In the method of producing the absorption grating G2 of the second embodiment, the step of adjusting so that the extending directions of the gratings are aligned by relatively rotating the second unit absorption grating 22 with respect to the first unit absorption grating 21 fixed to the substrate 10 includes a step of rotating the substrate 10 and the first unit absorption grating 21 in a state in which the second unit absorption grating 22 is fixed. Accordingly, since it is only required to rotate the substrate 10 with reference to the second unit absorption grating 22, by rotating the substrate 10 with respect to the second unit absorption grating 22 in a state in which the second unit absorption grating 22 is held, it is possible to easily perform the step of relatively rotating the substrate 10 with respect to the second unit absorption grating 22. Further, in cases where a device configured such that an absorption grating G2 is rotatable in a grating plane in order to change the shape of moire 40 is used for an X-ray phase imaging device 100 of the present invention, it is possible to rotate the substrate 10 in a grating plane. With this, by using the X-ray phase imaging device 100, in a state in which the second unit absorption grating 22 is held, it is possible to rotate the substrate 10 and the first unit absorption grating 21 fixed to the substrate 10 without separately providing a rotation mechanism. Other effects of the second embodiment are the same as those of the first embodiment. It should be understood that the embodiments disclosed here are examples in all respects and are not restrictive. The scope of the present invention is shown by the scope of the claims rather than the descriptions of the embodiments described above, and includes all changes (modifications) within the meaning of equivalent and the scope of claims. In the first and second embodiments, an example is shown in which only the misalignment in the extending directions of gratings of the unit absorption gratings 20 on the surface of absorption grating G2 are adjusted on the assumption that the extending directions of gratings are not misaligned in the directions other than the directions on the plane of the absorption grating G2 (X-Y plane). However, the present invention is not limited thereto. Even in cases where the extending directions of the gratings of the plurality of unit absorption gratings 20 are misaligned in directions other than directions on the X-Y plane, it is possible to align the directions of the gratings of the plurality of unit absorption gratings 20 by relatively rotating an arbitrary unit absorption grating 20 with respect to at least one unit absorption grating 20 of the plurality of unit absorption gratings 20 (for example, rotating the unit absorption grating 20 in the direction of the rotation axis intersecting the X-Y plane) so that the shapes of the moires 40 and 240 generated by the plurality of unit absorption gratings 20 are substantially equal to each other. In the second embodiment, an example is shown in which the self-image 30 is not rotated in the step of relatively rotating the unit absorption grating 20 not fixed to the substrate 10 with respect to the substrate 10 and the unit absorption grating 20 fixed to the substrate 10, but the present invention is not limited thereto. In cases where the unit absorption grating 20 not fixed to the substrate 10 is relatively rotated with respect to the substrate 10 and the unit absorption grating 20 fixed to the substrate 10, the self-image 30 may be rotated together. In this case, the self-image 30 can be rotated by rotating the phase grating G1 in the X-Y plane. With this, for example, by rotating the self-image 30 so that the periods d4 and d24 of the moires 40 become large, it is possible to more assuredly align the extending directions of the gratings of the plurality of unit absorption gratings 20. Further, in the first and second embodiments, an example is shown in which when the third unit absorption grating 23 is relatively rotated with respect to the substrate 10 and the first unit absorption grating 21 and the second unit absorption grating 22 joined to the substrate 10, the first unit absorption grating 21 is rotated with reference to the first moire 41 and 241 generated by the first unit absorption grating 21. But, the present invention is not limited thereto. In the present invention, the third unit absorption grating 23 may be rotated with reference to the second moire 42 and 242 generated by the second unit absorption grating 22. Similarly, when the fourth unit absorption grating 24 is relatively rotated with respect to the substrate 10, the first unit absorption grating 21, the second unit absorption grating 22, and the third unit absorption grating 23, which are joined to the substrate 10, the fourth unit absorption grating 24 may be rotated with reference to the moire 40 and 240 corresponding to either the second unit absorption grating 22 or the third unit absorption grating 23. In the first and second embodiments, in the step of relatively rotating the second unit absorption grating 22 with respect to the substrate 10 and the first unit absorption grating 21 fixed to the substrate 10, an example is shown in which in a state in which the second unit absorption grating 22 or either the substrate 10 or the first unit absorption grating 21 is fixed, the other is rotated. But, the present invention is not limited thereto. In the present invention, the second unit absorption grating 22 and both the substrate 10 and the first unit absorption grating 21 may be simultaneously rotated. Further, it may be configured such that two or more unit absorption gratings 20 are simultaneously rotated with respect to one of the plurality of unit absorption gratings 20. Further, in the first and second embodiments, the absorption grating G2 includes four unit absorption gratings 20, but the present invention is not limited thereto. In the present invention, an arbitrary number of a plurality of sheets can be arranged according to the shape and size of the unit absorption grating 20 and the absorption grating G2. Further, in the first and second embodiments, when comparing the shape of the moire 41 to be generated by the first unit absorption grating 21 and the shape of the moire 40 to be generated by the unit absorption grating 20 other than the first unit absorption grating 21, before joining (fixing) the first unit absorption grating 21 to the substrate 10, the moire 41 generated by the self-image 30 and the first unit absorption grating 21 is used. But, the present invention is not limited thereto. In the present invention, in cases where the difference of the shapes of the moire 40 generated by the unit absorption grating 20 is small enough to be ignored before and after joining the unit absorption grating 20 to the substrate 10, a moire 41 generated by the self-image 30 and the first unit absorption grating 21 may be used after joining (fixing) the first unit absorption grating 21 to the substrate 10. Further, in the first and second embodiments, an example is shown in which the self-image 30 for generating a moire 40 and 240 by being superimposed with the absorption grating G2 produced according to the present invention is generated by the phase grating G1, the present invention is not limited thereto. In the present invention, since the self-image 30 only needs to be a stripe pattern, an absorption grating instead of the phase grating G1 may be used for a grating for generating a moire 40 and 240 by being superimposed with the absorption grating G2. Further, in the first and second embodiments, in the X-ray phase imaging device 100 for generating a moire 40 and 240 from the absorption grating G2 produced according to the present invention, an example is shown in which the X-ray source 1 irradiates with a micro-focus. However, the present invention is not limited to this example. In the present invention, as an X-ray phase imaging device for generating a moire 40 and 240 from the absorption grating G2, a multi slit which is a grating capable of micro-focusing the X-ray irradiated from the X-ray source 1 may be further provided between the X-ray source 1 and the phase grating G1. In this case, since the X-ray source need not narrow down the focus, an X-ray having higher X-ray intensity can be irradiated than the X-ray source 1 of the X-ray phase imaging device 100 of the first and second embodiments. Further, in the first and second embodiments, an example is shown in which the diffraction grating produced according to the present invention is used as the absorption grating G2 to be arranged between the phase grating G1 and the detector 2, but the present invention is not limited thereto. In the present invention, the diffraction grating may be used as the phase grating G1 disposed between the X-ray source 1 and the detector 2. Also, it may also be used for a multi slit to be arranged between the X-ray source 1 and the phase grating G1.
abstract
A cask includes a cask body, an outer cylinder, a plurality of fins, and a plurality of neutron shields. The cask body has a tubular shape around a central axis and is capable of housing fuel assemblies. The outer cylinder has a tubular shape surrounding the cask body. The fins are aligned in a circumferential direction in a tubular space formed between the cask body and the outer cylinder, and connect an outer peripheral surface of the cask body and an inner peripheral surface of the outer cylinder to divide the tubular space into a plurality of divided spaces. The neutron shields contain a neutron shielding material with which the divided spaces are filled. Each neutron shield includes a void portion extending in the axial direction along the central axis. Accordingly, it is possible to reduce stress that may be exerted on the outer cylinder or other components by thermal expansion of the neutron shielding material when the fuel assemblies are housed in the cask.
abstract
A method of controlling or containing radioactive contamination by providing a neutron absorbing material to a radioactive contamination site. Preferably the neutron absorbing material is present as a powder, granule, slurry or suspension, allowing the neutron absorbing material to blanket cover the radioactive contamination site. Suitable neutron absorbing materials include lanthanide elements having a cross section of 100 Barns or greater, as well as hafnium, zirconium, tantalum, silver, indium, and hydrogen.
claims
1. An X-ray shield device for use with an X-ray fluoroscopic apparatus for fluoroscopically visualizing a certain site of a subject, comprising an X-ray generator containing an X-ray source, an X-ray detector associated with the X-ray generator and including a projection plane disposed opposed to the X-ray source, and a support member disposed between the X-ray source and the projection plane for supporting the subject, the X-ray shield device being adapted to prevent a specified site of the subject from exposing to the X-ray from the X-ray source, said X-ray shield device comprising:at least one X-ray shielding plate positioned between the X-ray source and the support member;output means for outputting position data of said support member;a shielding plate driving mechanism including a supporting portion for supporting said at least one X-ray shielding plate, said shielding plate driving mechanism being operable to move the shielding plate supported by the supporting portion in a direction transverse to a path of X-ray irradiation;shielding position determining means for determining a shielding position where the irradiation from the X-ray should be shielded, wherein the shielding position determining means comprises:(a) a position of x-ray source measuring device for measuring the position of the x-ray source S relative to a common reference point;(b) a position of shielding plate driving mechanism measuring device for measuring the position of the shielding plate driving mechanism relative to said common reference point;(c) a position of non-irradiation site measuring device for measuring the position of said specified site relative to said common reference point based on the position data from the output means and a previously measured distance between the support member and the specified site; and(d) a computing unit for computing said shielding position based on data from said X-ray source position measuring device, data from said shielding plate driving mechanism position measuring device and data from said non-irradiation position measuring device; anda control unit for controlling operation of said shielding plate driving mechanism to cause movement of said shielding plate to said shielding position in a manner so as to shield said specified site of the subject from the X-ray from the X-ray source of the X-ray generator upon movement of the X-ray generator and the X-ray detector relative to the support member. 2. An X-ray shield device as defined in claim 1, wherein there are a plurality of said X-ray shielding plates, and wherein said supporting portion of the shielding plate driving mechanism is operable to support a selected one of said X-ray shielding plates of different sizes for exchange. 3. An X-ray shield device as defined in claim 2, wherein said shielding position is a position where said X-ray shielding plate is to be centered and at which a line extending centrally through the X-ray source and the specified site of the subject to be shielded from the X-ray irradiation from the X-ray source, intersects a plane in which said shielding plate is moved. 4. An X-ray shield device as defined in claim 3, further comprising a shielding plate size determining means for determining a size of said X-ray shielding plate to be placed at said shielding position that is suitable for said specified site of the subject to be shielded from the X-ray irradiation from the X-ray source. 5. An X-ray shield device as defined in claim 2, further comprising a shielding plate size determining means for determining a size of said X-ray shielding plate to be placed at said shielding position that is suitable for said specified site of the subject to be shielded from the X-ray irradiation from the X-ray source. 6. An X-ray shield device as defined in claim 1, further comprising shielding plate size determining means for determining a size of said X-ray shielding plate to be placed at said shielding position that is suitable for said specified site of the subject to be shielded from the X-ray irradiation from the X-ray source. 7. An X-ray shield device as defined in claim 6, wherein said shielding plate size determining means comprises:said shielding position determining means, a size of non-irradiation site storing device for storing data relating to the size of a non-irradiation site of the subject projected onto a plane perpendicular to the center line which passes through the X-ray source and the center of the non-irradiation site, and a computing unit for computing the size of the X-ray shielding plate suitable for the non-irradiation site of the subject based on data provided from said shielding position determining means and data provided from said non-irradiation site's size storing device. 8. An X-ray shield device as defined in claim 7, wherein said shielding plate driving mechanism is adapted to move the X-ray shielding plate along said path of X-ray irradiation. 9. An X-ray shield device as defined in claim 6, further comprising an X-ray shielding plate exchanging means for exchanging said X-ray shielding plate supported by said supporting portion of the X-ray shielding plate driving mechanism for another X-ray shielding plate of different size. 10. An X-ray shield device as defined in claim 6, wherein said shielding plate exchanging means comprises a shielding plate rack for releasably holding more than one X-ray shielding plates of different sizes;said supporting portion of the X-ray shielding plate driving mechanism is configured to releasably support said X-ray shielding plate;and said X-ray shielding plate driving mechanism is constructed to move the supporting portion thereof in such a manner that the supporting portion is caused to pass the X-ray shielding plate supported thereby onto said shielding plate rack which can hold that X-ray shielding plate and to receive thereon selected one of X-ray shielding plates held by the shielding plate rack. 11. An X-ray shield plate as defined in claim 10, wherein said control unit is operable to control said X-ray shielding plate exchanging means in such a manner that said supporting portion of the X-ray shielding plate driving mechanism is caused to pass the X-ray shielding plate supported thereby onto said shielding plate rack which can hold the X-ray shielding plate and to receive thereon at least one X-ray shielding plate held by the shielding plate rack whose size is determined by said shielding plate size determining means. 12. An X-ray shield device as defined in claim 1, further comprising a command input unit operatively connected to said control unit. 13. An X-ray shield device as defined in claim 1, wherein said X-ray shield device comprising at least two X-ray shielding plates positioned between the X-ray source and the support member in order to prevent a plurality of specified sites of the subject from exposing to the X-ray from the X-ray source, and at least two shielding plate driving mechanism each operable to move the respective X-ray shielding plate, each of said shielding plate driving mechanisms being adapted to move the respective X-ray shielding plate in a direction transverse to a respective path of X-ray irradiation at a different position on said X-ray irradiation path.
summary
061907251
description
DETAILED DESCRIPTION OF THE INVENTION In order to attain the objective of this invention, the present invention provides the coating method for nuclear fuel surface with more than two layers of carbides, borides, nitrides or their mixture comprising deposition or permeation steps of i) elements or compounds that can form carbides, borides or nitrides by reacting with pyrolytic carbon, boron or nitrogen; and ii) a layer of pyrolytic carbon or boron prepared by chemical vapor deposition (CVD) or sputtering in sequence or in reverse sequence, or nitrogen prepared by gas permeation in sequence, on the nuclear fuel surface. The coated layers are formed with carbides, borides, nitrides or their mixture at high temperature and pressure by combustion synthesis. Hereinafter, the coating method of nuclear fuel surface of this invention, comprising two steps, is explained in detail: Step of depositing or permeating compound layers on the nuclear fuel surface (Step I); and step of reacting the compound layers formed in Step I at a high temperature and pressure by a combustion synthesis (Step II). First, i) elements or compounds that can form carbides, borides or nitrides by reacting with pyrolytic carbon, boron or nitrogen and ii) a layer of pyrolytic carbon or boron are separately deposited on the surface of nuclear fuel by CVD, gas permeation or sputtering (Step I). The pyrolytic carbon source is hydrocarbon compound such as methane(CH.sub.4), acetylene (C.sub.2 H.sub.2), ethylene(C.sub.2 H.sub.4) or propane (C.sub.3 H.sub.8); the boron source is boron(B), boron oxide(B.sub.2 O.sub.3) or metal-boron compounds(MoB, MoB.sub.2, ZrB.sub.2, etc.); and the nitrogen source is nitrogen gas. Elements or compounds that can form carbides, borides or nitrides by reacting with pyrolytic carbon, boron or nitrogen is selected from the group comprising silicon(Si), zirconium(Zr), boron, or their compounds. The silicon compound is chemical reagent decomposed at low temperature(200.about.300.degree. C.) such as silane(SiH.sub.4), tetramethylsilane ((CH.sub.3).sub.4 Si) or hexamethylsilane (C.sub.6 H.sub.18 Si.sub.2); the zirconium compound is zirconium oxide(ZrO.sub.2) or zirconium chloride(ZrCl.sub.4); and the boron compound is boron carbide (B.sub.4 C) or boron nitride (BN). The above-mentioned compounds are characterized by depositing and permeating at relatively low temperature compared with the conventional methods, the compounds are deposited or permeated on the surface of nuclear fuel at 500.about.1200.degree. C. controlling the surrounding pressure of 10.sup.-5.about.1 atm. The aforementioned compounds are coated on the nuclear fuel with separate devices: A modified fluidized bed type chemical vapor deposition(FBT CVD) device or plasma-enhanced chemical vapor deposition(PE CVD) device is preferably used as deposition device which prepares pyrolytic carbon or boron; and silicon, zirconium or boron layers on the nuclear fuel surface. PECVD device is more preferable in silicon deposition. Next, the aforementioned compound layers on the coated surface of nuclear fuel are irradiated by a heat source such as laser beam, arc or microwave instantaneously for a short time, and high temperature combustion synthesis reaction occurs between i) elements or compounds that can form carbides, borides or nitrides by reacting with pyrolytic carbon, boron or nitrogen and ii) a layer of pyrolytic carbon or boron(Step II). High temperature(1700.about.4000.degree. C.) heating in this step II is carried out by using a heat source such as laser beam, arc or microwave for 1.about.2 seconds, controlling the surrounding prssure of 10.sup.-3.about.10.sup.-2 atm. Pyrolytic carbon or boron layer; and carbide, boride or nitride layer are formed on the surface of nuclear fuel as a result of step II. That is, i) elements or compounds that can form carbides, borides or nitrides by reacting with pyrolytic carbon, boron or nitrogen and ii) a layer of pyrolytic carbon or boron in the coated layers on the surface of nuclear fuel are co-diffused through combustion reaction, then a carbide, boride or nitride layer is formed at outer part of the surface of nuclear fuel and an unreacted pyrolytic carbon or boron remains in inner part. For example, silicon carbide, zirconium carbide or boron carbide layer is formed at outer part of the surface of nuclear fuel respectively, and pyrolytic carbon remains in inner part when i) silicon, zirconium, boron, or each of their compounds is reacted with ii) pyrolytic carbon. Thickness of carbide, boride or nitride layer is controlled from several microns to hundreds of microns by the extent of combustion reaction. Besides above process, heat treatment of nuclear fuel coated with pyrolytic carbon or boron; and silicon, zirconium, boron, or each of their compounds prepared by various CVD devices is carried out in a reduction furnace or in high temperature of nitrogen atmosphere to obtain the formation of pyrolytic carbon or boron layer; and carbide, boride or nitride layer. Hereinafter, the invention has been illustrated for reference by giving specific examples. The following examples are only for showing the application of the present invention, but the claim of the present invention is not limited within these examples. EXAMPLE 1 Pyrolytic carbon formed by thermal decomposition of high-purity propane as a carbon source is deposited on the free surface of nuclear fuel by FBT CVD device, at 1200.degree. C. and 1 atm. FIG. 1 shows the cross sectional view of particle type nuclear fuel coated with pyrolytic carbon; light layer is observed around the particle. FIG. 2 is magnified view of the surface of the pyrolytic carbon layer in FIG. 1, and FIG. 3 shows the cross sectional view of this pyrolytic carbon layer. It is verified that pyrolytic carbon layer is formed in the first half of the step I from these figures (FIGS. 1.about.3). Next, silicon, that can form carbide by reacting with pyrolytic carbon, formed by the decomposition of high-purity silane(SiH.sub.4), is deposited on the carbon coated surface of the fuel by PE CVD device, at 500.degree. C. and 10.sup.-5 atm. The resultant coated layer comprises pyrolytic carbon sub-layer in inner part and silicon sub-layer in outer part as shown in X-ray diffraction spectra in FIG. 4a and FIG. 4b. The above resultant coated layer was then heated for 1.about.2 seconds at a pressure of 10.sup.-3 atm by using high temperature(1700.degree. C.) arc and cooled. As a result, coarse surface of silicon coated layer before high temperature treatment becomes dense surface of silicon carbide layer after high temperature treatment as shown in FIG. 5a and FIG. 5b. This dense surface layer contains silicon carbide as a major component and a very small amount of silicon, as shown in X-ray diffraction spectra in FIG. 6. In FIG. 7, white particles represent dummy nuclear fuel particles before carbide coating, black particles represent coated dummy nuclear fuel particles after carbide coating. It can be concluded from the above SEM micographs and X-ray diffraction spectra that deposited structure, pyrolytic carbon in inner layer and silicon carbide in outer layer, was formed at the surface of dummy nuclear fuel particle. The silicon carbide layer includes more than 99% of .beta.-silicon carbide (.beta.-SiC) with small amount of impurities such as .alpha.-silicon carbide(.ltoreq.0.5%), silicon oxide(.ltoreq.0.3%) or silicon(.ltoreq.0.2%). The hardness and density of the silicon carbide (SiC) layer were measured by using Vickers hardness tester and water immersion method, respectively. As a result, the hardness is higher than 30 GPa and the density is higher than 2.6 g/cm.sup.3. EXAMPLE 2 Using the same raw materials and devices as the above example 1 except for low grade industrial propane instead of high-purity propane, coated layers of pyrolytic carbon and silicon were deposited on the free surface of dummy nuclear fuel particle in sequence, the deposited layers were then heated in a reduction furnace for 1 hour at 1500.degree. C. and 10.sup.-3 atm and cooled to room temperature. Resultant coated layer also comprises pyrolytic carbon sub-layer in inner part and silicon carbide sub-layer in outer part. The silicon carbide layer includes more than 99% of .beta.-silicon carbide(.beta.-SiC) with small amount of impurities such as .alpha.-silicon carbide(.ltoreq.0.5%), silicon oxide(.ltoreq.0.3%) or silicon(.ltoreq.0.2%). The hardness of the silicon carbide (SiC) layer is measured higher than 30 GPa and the density of the silicon carbide is measured higher than 2.6 g/cm.sup.3. EXAMPLE 3 Using the same raw materials and devices as the above example 1 except for dummy nuclear fuel pellet instead of particle type nuclear fuel, coated layers of pyrolytic carbon and silicon were deposited on the free surface of dummy nuclear fuel pellet in sequence. The deposited layers were then heated in a reduction furnace for 1 hour at 1500.degree. C. and 10.sup.-3 atm and cooled to room temperature. Resultant coated layer also comprises pyrolytic carbon sub-layer in inner part and silicon carbide sub-layer in outer part. Lighter cylinder in the left of FIG. 8, represents dummy nuclear fuel pellet before carbide coating, the other two represent dummy nuclear fuel pellet after carbide coating. Additionally, pyrolytic carbon and silicon were deposited on the free surface of UO.sub.2 nuclear fuel pellet, followed by heating for 1.about.2 seconds at 10.sup.-3 atm by using high temperature arc(.gtoreq.1700.degree. C.) and cooled. Then pyrolytic carbon was deposited on the coated layer above by the same method as example 1. FIG. 9 shows the cross sectional view of these layers, revealing that, in this case, the deposited structure, pyrolytic carbon in inner and outer layers and silicon carbide between the two pyrolytic carbon. The silicon carbide layer includes more than 99% of .beta.-silicon carbide(.beta.-SiC) with small amount of impurities such as .alpha.-silicon carbide(.ltoreq.0.5%), silicon oxide(.ltoreq.0.3%) or silicon(.ltoreq.0.2%). The hardness of the silicon carbide (SiC) layer is measured higher than 30 GPa and the density of the silicon carbide is measured higher than 2.6 g/cm.sup.3. Following table 1 represents the raw materials used and the properties of resultant coated layer. TABLE 1 EXAMPLE 1 EXAMPLE 2 EXAMPLE 3 Step I raw material nuclear particle type particle type pellet type fuel carbon high-purity industrial high-purity source propane propane propane silicon silane(SiH.sub.4) silane(SiH.sub.4) silane(SiH.sub.4) source CVD device deposition FBT CVD device of pyrolytic carbon deposition PE CVD device of silicon high tempera- ture reduction high tempera- furnace/ high Step II (combustion high tempera- ture reduction temperature synthesis) ture arc furnace arc properties of coated layer density .gtoreq.2.6 .gtoreq.2.6 .gtoreq.2.6 (g/cm.sup.3) Vickers .gtoreq.30 .gtoreq.30 .gtoreq.30 hardness (GPa) composition .beta.-SiC .gtoreq.99% .gtoreq.99% .gtoreq.99% .alpha.-SiC .ltoreq.0.5% .ltoreq.0.5% .ltoreq.0.5% silicon .ltoreq.0.3% .ltoreq.0.3% .ltoreq.0.3% oxide silicon .ltoreq.0.2% .ltoreq.0.2% .ltoreq.0.2% EFFECT OF THE INVENTION Compared with the conventional CVD methods in which the compounds, being decomposed at relatively high temperature(>1570.degree. C.) and producing toxic hydrochloric acid and chlorine gas after reaction such as methylchlorosilane (CH.sub.3 SiCl.sub.3), dimethylchlorosilane ((CH.sub.3).sub.2 SiCl.sub.2) and trimethylchlorosilane ((CH.sub.3).sub.3 SiCl), are used, the coating method of this invention has an effect that silicon carbide layer can be prepared economically in a short time without influencing properties of nuclear fuel and safely because the compounds, which can be decomposed at low temperature(200.about.300.degree. C.) and do not produce any toxic by-product, such as silane(SiH.sub.4), tetramethylsilane ((CH.sub.3).sub.4 Si) and hexamethyldisilane(C.sub.6 H.sub.18 Si.sub.2), are used. Moreover, the coating method of this invention can be applied to various types of nuclear fuels either in particle or in pellet form and control and preserve fine crystal structure without phase transition, since the surface of nuclear fuel coated with pyrolytic carbon and silicon is heated only for several seconds by heat source such as laser beam, arc or microwave. Thus, the present invention is excellent method of coating nuclear fuel surface not only for particle type fuel which is used in High Temperature Gas-cooled Reactor(HTGR) but also for pellet type fuel used for Water-cooled Reactors. Moreover, in this invention, boron carbide or zirconium carbide can be formed by reacting pyrolitic carbon with zirconium, boron, or their compounds instead of silicon or silicon compound; and boride or nitride can be formed by reacting silicon, zirconium, boron, or their compounds with boron or nitrogen instead of pyrolytic carbon.
claims
1. A method of calculating rod peak criteria, comprising:calculating pin nodal exposures and pin nodal powers of a plurality of rods in a plurality of fuel assemblies using a pre-defined process;calculating, for each of the plurality of rods, a rod average exposure using a weight factor associated with the rod, each weight factor based on one of a nodal weight and a pin weight of the rod;calculating a plurality of rod average powers of the plurality of rods in all of the fuel assemblies of the plurality of fuel assemblies;inputting a rod average exposure limit and a power limit for an alternative source term;developing core maps from the plurality of calculated rod average exposures and powers using the inputted rod average exposure limit and the inputted power limit;outputting the developed maps; andediting the developed maps. 2. The method of claim 1, wherein the core maps are two-dimensional (2D). 3. The method of claim 2, wherein determining the rod average exposures develops the 2D core maps. 4. The method of claim 2, wherein determining the rod average power (kW/ft) develops the 2D core maps. 5. The method of claim 2, wherein determining a peak rod average exposure develops the 2D core maps. 6. The method of claim 2, wherein determining a peak rod average power (kW/ft) develops the 2D core maps. 7. The method of claim 2, wherein a ratio of a peak rod average power (kW/ft) to a limit develops the 2D core maps. 8. The method of claim 1, wherein the calculation of the rod average exposures and the rod average powers are in a selected fuel assembly. 9. A method of calculating rod peak criteria for fuel rods in a nuclear reactor, comprising:calculating pin nodal exposures and pin nodal powers;calculating rod average exposures and rod average powers in each fuel assembly based on the calculated pin nodal exposures and pin nodal powers and using a weight factor based on one of a nodal weight and a pin weight;inputting a rod average exposure limit and a power limit for an alternative source term;developing two dimensional (2D) core maps from the calculated rod average exposures and powers based on the inputted rod average exposure limit and the inputted power limit;outputting the developed 2D maps; andediting the outputted generation 2D maps. 10. The method of claim 9, wherein the calculation of the rod average exposures and powers are performed by calculating pin nodal exposures in each axial fuel node. 11. The method of claim 9, wherein the calculation of the rod average exposures and powers (kW/ft) are performed by calculating pin nodal powers in each axial fuel node. 12. The method of claim 9, wherein determining the rod average exposure develops the 2D core maps. 13. The method of claim 9, wherein determining the rod average power (kW/ft) develops the 2D core maps. 14. The method of claim 9, wherein determining a peak rod average exposure develops the 2D core maps. 15. The method of claim 9, wherein determining a peak rod average power (kW/ft) develops the 2D core maps. 16. The method of claim 9, wherein a ratio of a peak rod average power (kW/ft) to a limit develops the 2D core maps. 17. The method of claim 9, wherein the calculation of the rod average exposures and the rod average powers are in a selected fuel assembly. 18. The method of claim 1, wherein calculating the pin nodal exposures and the pin nodal powers of the plurality of rods in the plurality of fuel assemblies using a pre-defined process includes partitioning the plurality of rods into a number of nodes and calculating a pin nodal exposure and a pin nodal power for each node. 19. The method of claim 18, further comprising:calculating weight factor for each node of the number of nodes, wherein calculating, for each of the plurality of rods, a rod average exposure using a weight factor associated with the rod includes multiplying each of the calculated pin nodal exposures with their respective calculated weight factor, summing the products of the calculated pin nodal exposures with their respective weight factors to form a first sum and dividing the first sum by a total weight of the rod.
abstract
Fission reactor has a shell encompassing a reactor space within which are a central longitudinal channel, a plurality of axially extending rings with adjacent rings defining an annular cylindrical space in which a first plurality of primary axial tubes are circumferential located. Circumferentially adjacent primary axial tubes are separated by one of the plurality of secondary channels and a plurality of webbings connects at least a portion of the plurality of primary axial tubes to adjacent structure. A fissionable nuclear fuel composition is located in at least some of the plurality of secondary channels and a primary coolant passes thorough at least some of the primary axial tubes. Additive and/or subtractive manufacturing techniques produce an integral and unitary structure for the fuel loaded reactor space. During manufacturing and as-built, the reactor design can be analyzed using a computational platform that integrates and analyzes data from in-situ monitoring during manufacturing.
abstract
A radiation image conversion panel which can improve its optical output and resolution is provided. A radiation image conversion panel 1 comprises a FOP 2, a heat-resistant resin layer 3 formed on a main face 2a of the FOP 2, and a scintillator 4 formed by vapor deposition on a main face 3a of the heat-resistant layer 3 on a side opposite from the FOP 2 and made of a columnar crystal. In this radiation image conversion panel 1, the main face 3a of the heat-resistant resin layer 3 has a surface energy of at least 20 [mN/m] but less than 35 [mN/m]. This can make the crystallinity of the root part of the scintillator 4 favorable, so as to inhibit the root part of the scintillator 4 from becoming harder to transmit and easier to scatter the output light.
description
The present invention relates to an X-ray imaging apparatus. X-ray phase imaging is a method for detecting phase changes of X-rays by a sample and obtaining an image of the sample on the basis of the detection result. As a method of the X-ray phase imaging, PTL 1 proposes a method for obtaining information related to a phase change of X-rays by detecting the amount of refraction of X-rays by a sample using a fact that X-rays are refracted by a phase change of the X-rays. The principle of X-ray phase imaging method will be briefly described. In this method, first, X-rays are spatially divided by a grating which includes opaque objects (shield portions) that shield the X-rays and transparent objects (transmission portions) that transmit the X-rays. The divided X-rays become a discrete X-ray beam, the discrete X-ray beams are incident on a sample, and a discrete X-ray beams that are transmitted through the sample are detected by an X-ray detector. Thereby, how much a position of the discrete X-ray beam formed on the X-ray detector is shifted by the sample is known, and the amount of refraction of the X-rays can be obtained from the amount of the shift of the position (hereinafter referred to as “position shift amount”). Hereinafter, unless otherwise described, the position shift amount of the discrete X-ray beam in this description means the amount of positional shift of the discrete X-ray beam on the detector. When the X-ray phase imaging is performed by the above-described method, generally, the smaller the width of the discrete X-ray beam to be used is, the higher the sensitivity of X-ray phase detection is. The reason of this will be briefly described. The smaller the width of the discrete X-ray beam to be injected into the detector is, the smaller the intensity of the X-rays detected by each pixel of the detector is. On the other hand, the amount of refraction of X-rays generated by a certain sample does not depend on the width of the discrete X-ray beam, so that the position shift amount of the discrete X-ray beam does not depend on the width of the discrete X-ray beam. Thereby, the smaller the width of the discrete X-ray beam is, the larger the X-ray intensity change which is generated by the positional shift of the discrete X-ray beam and detected by each pixel with respect to the X-ray intensity detected by each pixel of the detector (that is, the X-ray intensity change which is generated by the presence or absence of the sample and detected by each pixel) is. Generally, the larger the X-ray intensity detected by the detector is, the larger the magnitude of the noise is, so that the larger the X-ray intensity change which is generated by the positional shift amount of the discrete X-ray beam and detected by each pixel with respect to the X-ray intensity, the smaller the probability that the X-ray intensity change is buried in the noise. As a result, the phase detection sensitivity of the X-ray imaging apparatus improves. To reduce the width of the discrete X-ray beam, the width of the transparent objects of the grating is reduced. However, generally, it is difficult to manufacture a grating in which the width of the transparent objects is small. PTL 1 describes an X-ray imaging apparatus which includes two masks and in which the aperture size of masks can be adjusted by adjusting relative positions of the two masks. When the X-ray imaging apparatus is used, it is possible to obtain a discrete X-ray beam having a smaller width by using grating that is conventionally used. PTL 1 PCT Japanese Translation Patent Publication No. 2010-502977 However, if the width of the discrete X-ray beam passing through a plurality of grating is adjusted by using the grating, a mechanism for adjusting the positional relationship between all the gratings and the detector are required, so that the configuration of the apparatus becomes complicated. Further, generally, when pluralities of gratings are used, the thickness of the transparent objects through which the X-rays pass increases. Even when the transparent objects of the gratings are made of a material with a high X-ray transmittance, such as silicon and aluminum, there is a problem that the intensity of the X-rays passing through the gratings attenuates due to an increase of the thickness of the transparent objects. Therefore, the present invention provides an X-ray imaging apparatus which uses a grating including transparent objects having a certain width and which can form discrete X-ray beams having substantially the same width as that of discrete X-ray beams formed by using a grating including transparent objects having a width smaller than the certain width of the transparent objects of the grating. As a result, it is possible to obtain substantially the same phase detection sensitivity as that obtained by using a grating including transparent objects having a smaller width. An X-ray imaging apparatus as an aspect of the present invention includes an X-ray source, a grating that divides diverging X-rays irradiated from the X-ray source, and a detector that detects X-rays which are divided by the grating and pass through a sample. The grating includes a plurality of transparent objects through which the cone beam X-rays pass and a plurality of opaque objects that shield the X-rays. A focused position at which a plurality of extended lines intersect each other and the X-ray source are located at positions different from each other. The extended lines are formed by extending center lines which connect a center of each side of the plurality of opaque objects facing the X-ray source with a center of each side of the plurality of opaque objects facing the detector in a direction toward the X-ray source. Other aspects of the present invention will be apparent from the embodiments described below. According to the present invention, it is possible to provide an X-ray imaging apparatus which uses a grating including transparent objects having a certain width and which can form discrete X-ray beams having substantially the same width as that of discrete X-ray beams formed by using a grating including transparent objects having a width smaller than the certain width of the transparent objects of the grating. As a result, it is possible to obtain substantially the same phase detection sensitivity as that obtained by using a grating including transparent objects having a smaller width. Hereinafter, embodiments of the present invention will be described with reference to the attached drawings. In the drawings, the same components are denoted by the same reference signs, and redundant description will be omitted. Embodiments described in this description can form an X-ray beam having a width smaller than a width of transparent objects of a grating by setting an incident angle of X-rays irradiated from an X-ray source to opaque objects of the grating to an angle greater than 0 degrees in an X-ray imaging apparatus. In this description, an angle between a center line of the opaque objects and the X-rays entering the opaque objects is referred to as an incident angle of the X-rays with respect to the opaque objects and represented by θ. The center line of the opaque objects indicates a line connecting the center of the opaque objects facing the X-ray source with the center of the opaque objects facing a detector. The width of the transparent objects indicates a width of the transparent objects on a surface of the grating facing the X-ray source and the width is represented by Ga. In this description, calculations and models are simplified on two points described below to explain the embodiments. (1) Luminance in an irradiation direction of the X-rays irradiated from the X-ray source is constant. (2) Fresnel diffraction when the X-rays pass through the grating is not considered.First Embodiment FIG. 1 shows a schematic diagram of an X-ray imaging apparatus according to a first embodiment. The X-ray imaging apparatus shown in FIG. 1 includes an X-ray source 101, a grating 103a which divides cone beam X-rays 102 irradiated from the X-ray source 101 and forms discrete X-ray beams 105a, a detector 106 which detects the discrete X-ray beams 105a, and a calculation device 107 which performs calculation on the basis of a detection result of the detector 106. The X-ray imaging apparatus also includes a moving/rotating unit 108 of the grating 103a. A sample 104 may be placed between the grating 103a and the detector 106 as shown in FIG. 1, or may be placed between the X-ray source 101 and the grating 103a. As described above, the X-ray source which generates the cone beam X-rays 102 is used in the X-ray imaging apparatus of the present embodiment. Here, the X-ray source may generate diverging X-rays other than the cone beam X-rays. For example, an X-ray source that generates fan beam X-rays can be used in the present embodiment. In this description, the shortest axis connecting the X-ray source 101 with the detector 106 is referred to as an optical axis 111. The grating 103a has transparent objects 114a that transmit the X-rays and opaque objects 115a that shield the X-rays, so that the grating 103a spatially divides the cone beam X-rays 102 and forms the discrete X-ray beams 105a. FIG. 2 is an enlarged diagram of a portion from the X-ray source 101 to the grating 103a in FIG. 1 and shows a state in which the cone beam X-rays 102 are divided by the grating 103a and the discrete X-ray beams 105a are formed. The grating 103a used in the present embodiment has the same structure as that of a focused grid (bucky grid) used to eliminate scattered X-rays generated while a phantom is being imaged in a medical X-ray imaging machine. In this structure, the transparent objects 114a made of light elements having a high X-ray transmittance and the opaque objects 115a made of heavy elements having a low X-ray transmittance are alternately arranged. As a material forming the transparent objects 114a, for example, aluminum, paper, or synthetic resin is used. As a material forming the opaque objects 115a, for example, platinum, gold, lead, tantalum, or tungsten is used. The transparent objects may be holes if intervals between the opaque objects can be maintained. The transparent objects 114a and the opaque objects 115a of the grating 103a are arranged so that a focused position 116a is present in the same way as in the focused grid. However, in this description, an aggregate of positions at which at least two extended lines 113a cross, which are obtained by extending the center line connecting the center of the opaque objects facing the X-ray source with the center of the opaque objects facing the detector in a direction toward the X-ray source, is defined as the focused position 116a. In this description, a perpendicular line drawn from the surface of the grating 103a facing the X-ray source to the focused position 116a is referred to as a central axis 112a of the grating, and a length of a line segment of the central axis 112a from the surface of the grating 103a facing the X-ray source to the focused position 116a is referred to as a focus distance. The width of the transparent objects of the grating is represented as Ga, the width of the opaque objects of the grating is represented as Gb, and the thickness of the grating is represented as t. In particular, in the present embodiment, the width of the transparent objects of the grating 103a is represented as Ga1, the width of the opaque objects of the grating 103a is represented as Gb1, and the thickness of the grating 103a is represented as t1. In the grating 103a used in the present embodiment, it is preferred that Ga1 is 10 μm to 180 μm, Gb1 is 20 μm to 180 μm, Ga1+Gb1 is 50 μm to 200 μm, and t1 is 100 μm to 1 mm. However, the widths of the transparent objects and the opaque objects indicate widths of the transparent objects and the opaque objects on the surface of the grating facing the X-ray source. The widths are widths in a direction perpendicular to the central axis of the grating. The thickness of the grating indicates a thickness of the grating in a direction of the optical axis. If the grating 103a is disposed so that the position at which the X-ray source (X-ray focal point) 101 is disposed corresponds to the focused position 116a, the position of the optical axis 111 corresponds to the position of the central axis 112a. At this time, the cone beam X-rays 102 enter substantially in parallel with the opaque objects 115a, so that the width of the discrete X-ray beam 105a immediately after passing through the grating 103a is substantially the same as the width Ga1 of the transparent objects. In the present embodiment, as shown in FIG. 2, the grating 103a is moved vertically with respect to the optical axis 111 and disposed. Then, the focused position 116a of the grating is also moved vertically with respect to the optical axis 111. As a result, the cone beam X-rays 102 is incident on the grating at an angle θ1 with respect to the center line of the opaque objects 115a. Then, a part of the cone beam X-rays 102 is shielded by a side surface of the opaque objects 115a, so that the width of the discrete X-ray beam 105a immediately after passing through the grating 103a (the width of the X-ray beam formed by the grating on the surface facing the detector) becomes smaller than the width Ga1 of the transparent objects. The side surface of the opaque objects indicates a surface of the opaque objects in contact with the transparent objects. As shown in FIG. 3A, an arrangement direction of the transparent objects and the opaque objects of the one-dimensional grating 103a is defined as an x axis and a direction perpendicular to the arrangement direction of the transparent objects and the opaque objects and perpendicular the optical axis 111 is defined as a Y axis. At this time, if the grating 103a is moved in the x axis direction, it is possible to reduce the width of the discrete X-ray beam 105a by a small amount of movement. At this time, an incident angle θ1 of the cone beam X-rays to the opaque objects located at a position x on the x axis shown in FIG. 2 depends on a parallel movement amount dx of the grating and a distance L1 from the X-ray source 101 to the grating 103a. The incident angle θ1 can be represented by the formula 1. Here, the distance from the X-ray source to the grating indicates a distance from the center of the X-ray source to the surface of the grating facing the X-ray source.θ1(x)=arctan(x/L1)−arctan((x−dx)/L1)  (Formula 1) An actual aperture ratio D of the grating obtained from the incident angle θ of the cone beam X-rays 102 to the opaque objects can be represented by the formula 2.D=(Ga−t×tanθ)/(Ga+Gb)  (Formula 2) The actual aperture ratio D is affected by an angle between the X-rays entering the grating and the center line of the opaque objects 115a. The width of the discrete X-ray beam formed on the detector by the discrete X-ray beam formed by the grating is represented as Gd, an effective focus size of the cone beam X-rays generated by the X-ray source is represented as f, and a distance from the grating to the detector is represented as L2. Then, the width Gd of the discrete X-ray beam on the detector is represented by the formula 3.Gd=(Ga−t×tanθ)×(L1+L2)/L1+f×L2/L1  (Formula 3) As described above, in the X-ray imaging apparatus according to the present embodiment, the smaller the width (Gd1) of the discrete X-ray beam 105a formed on the detector 106 is, the higher the phase detection sensitivity is. It is known from the formulas 1 and 3 that the width Gd1 of the discrete X-ray beam 105a formed on the detector 106 can be reduced by moving the grating 103a by dx. If the widths Gd of the discrete X-ray beams formed by the grating are not uniform, it may affect imaging of the sample, so that variation of θ of each opaque object should be small. In the X-ray imaging apparatus according to the present embodiment, the nearer to the center of the grating, the larger the θ1 of each opaque object is, and the nearer to an end portion, the smaller the θ1 is. However, when the values of θ1 of each opaque object are within a range of a desired value ±10%, it hardly affects the imaging. The center of the grating here indicates a position at which the x coordinate on the xy plane in FIG. 3A is dx/2. However, even when the variation of θ1 of each opaque object is greater than or equal to ±10%, if the width Gd of each discrete X-ray beam is known in advance, it is possible to correct the variation of the widths of the discrete X-ray beams when the calculation device calculates the phase of the sample. The amount of movement of the grating can be arbitrarily determined depending on the width Ga1 of the transparent objects 114a of the grating to be used, the width Gb1 of the opaque objects 115a of the grating, the thickness t1 of the grating, a desired width Gd1 of the discrete X-ray beam, and the like. The larger the θ1 is, the smaller the width of the discrete X-ray beam can be. However, if the θ1 is too large, the actual aperture ratio becomes small and a loss of the X-rays increases. Considering the loss of the X-rays, it is preferred that the actual aperture ratio is 5% or more. Therefore, in the present embodiment, considering the widths of the transparent objects and the opaque objects of the grating, the thickness of the grating, and the desired width of the discrete X-ray beam, it is preferred that the θ1 is smaller than 20 degrees, and it is more preferred that the θ1 is smaller than 15 degrees. To reduce the width of the discrete X-ray beam to substantially the same width as that obtained by using a grating having transparent objects with a smaller width, the θ1 is required to be greater than 0 degree. Further, it is preferred that the θ1 is greater than or equal to 1 degree. The actual aperture ratio can be arbitrarily determined depending on a distance between transparent objects adjacent to each other and a desired width of the discrete X-ray beam. Although it is conventionally difficult to manufacture a grating having an aperture ratio of 50% or less, it is possible to manufacture a grating having an actual aperture ratio of smaller than 50% by using the present embodiment. However, the present invention is effective even when the actual aperture ratio of the grating is desired to be set to 50% or more. The X-ray imaging apparatus of the present embodiment has a structure capable of moving the grating 103a by a moving unit 108 of the grating 103a. Thereby, the amount of movement dx can be changed according to the desired width Gd1 of the discrete X-ray beam. If the X-ray source and the grating are arranged as described above, it is not necessary to provide the moving unit 108. Although, in the present embodiment, the grating 103a is moved, the incident angle θ1 of the cone beam X-rays 102 to the opaque objects may be adjusted by moving the X-ray source 101. Although the grating 103a of the present embodiment is a one-dimensional grating in which the transparent objects 114a and the opaque objects 115a are one-dimensionally arranged, a two-dimensional grating can also be used. When a two-dimensional grating is used, as shown in FIG. 3B, if a grating 203 is moved in a direction forming an angle of 45° with both the x axis and the y axis on the xy plane, the width of the discrete X-ray beam can be reduced by a small amount of movement. The discrete X-ray beam passing through the sample 104 is detected by the detector 106. In the present embodiment, the detector 106 is a two-dimensional detector in which image pickup devices which can capture an image of X-rays are two-dimensionally arranged. For example, an FPD (Flat Panel Detector) or a CCD (Charge Coupled Device) that can convert the X-rays into digital signals can be used. The detection result of the detector 106 is transmitted to the calculation device 107, and an image related to the phase of the sample can be obtained. A calculation to obtain an image related the phase of the sample may be performed by preparing the calculation device 107 separately from the X-ray imaging apparatus and connecting the calculation device 107 to the detector. If necessary, the X-ray imaging apparatus may include a display apparatus (not shown in the drawings) for displaying the image obtained by the calculation of the calculation device 107. Second Embodiment FIG. 4 shows a configuration example of an X-ray imaging apparatus according to a second embodiment. The X-ray imaging apparatus of the second embodiment has the same configuration as that of the X-ray imaging apparatus of the first embodiment except for an arrangement of the grating 103a. FIG. 5 is an enlarged diagram of a portion from the X-ray source 101 to the grating 103a in FIG. 4 and shows a state in which the cone beam X-rays 102 are divided by the grating 103a and the discrete X-ray beams 105b are formed. The grating 103a is the same as the grating 103a used in the X-ray imaging apparatus of the first embodiment and there is the focused position 116a. The arrangement of the grating 103a of the X-ray imaging apparatus of the second embodiment will be described. First, the grating 103a is arranged so that the focused position 116a of the grating corresponds to the arrangement position of the X-ray source 101. Then, the grating 103a is rotated. Although the grating 103a shown in FIG. 5 rotates around one point on the optical axis, the rotation center of the grating 103a is not necessary to be on the optical axis. An angle α1 is formed between the optical axis 111 and the central axis 112b of the grating 103a. At this time, the focused position 116a of the grating corresponds to a position obtained by rotating the position at which the X-ray source is arranged around the point on the optical axis (the rotation center of the grating). When the grating is arranged in this way, the cone beam X-rays 102 enter the grating at an angle θ2 with respect to the center line of each opaque object 115a, and the width of the discrete X-ray beam 105b immediately after passing through the grating 103a becomes smaller than the width Ga1 of the transparent objects. As shown in FIG. 3A, when the one-dimensional grating 103a is rotated around a rotation axis αy, it is possible to reduce the width of the discrete X-ray beam 105b by a small rotation angle. At this time, an incident angle θ2 of the cone beam X-rays 102 with respect to the center line of each opaque object 115a depends on a rotation angle α of the grating 103a, and the incident angle θ2 can be represented by the formula 4.θ2=α  (Formula 4) Different from θ1, θ2 at each opaque object does not vary (when ignoring manufacturing errors). The actual aperture ratio of the grating 103a obtained from the incident angle of the formula 4 can be represented by the formula 2 in the same way as in the first embodiment. The formula 3 indicates that the width of discrete X-ray beam (Gd2) formed on the detector 106 by the discrete X-ray beam 105b formed by the grating 103a depends on a magnification ((L1+L2)/L1). When the grating is rotated as described in the present embodiment, variation occurs in a distance L1 from the X-ray source to each transparent object 114a and a distance L2 from each transparent object 114a to the detector 106, so that a magnification varies for each discrete X-ray beam. Then, variation occurs in the width formed by each discrete X-ray beam on the detector 106. When θ2 is small, the variation can be ignored. However, when θ2 is large, it is necessary to know the width of each discrete X-ray beam 105b in advance. If the width of each discrete X-ray beam 105b is known in advance, it is possible to correct the variation of the widths of the discrete X-ray beams when the calculation device calculates the phase of the sample. To make the widths of the discrete X-ray beams 105b on the detector 106 constant, the detector 106 may be rotated by the same angle in the same direction as the grating 103a. Although the grating 103a of the present embodiment is a one-dimensional grating, a two-dimensional grating may be used. When a two-dimensional grating 203 is used, as shown in FIG. 3B, if the grating 203 is rotated around a rotation axis αxy, it is possible to reduce the width of the discrete X-ray beam 105b by a small rotation angle. The rotation axis αxy is on the xy plane and forms an angle of 45° with both the x axis and the y axis. The grating 103a may be moved and rotated at the same time to adjust the width of the discrete X-ray beam 105b by combining the first embodiment and the second embodiment. As another method, the grating 103a is rotated around one point on the optical axis, and then the grating 103a is moved in the optical axis direction to shorten or lengthen the distance L1 from the X-ray source to the grating 103a, so that the L1 may be different from the focus distance. However, as a result, variation occurs in θ2 at the opaque objects, so that, when the L1 is largely different from the focus distance (for example, when the focus distance is out of ±1% range of the L1), it is necessary to know the width of each discrete X-ray beam and calculate the phase of the sample by correcting the widths even when θ2 is small. If the X-ray source and the grating are arranged as described above in the same manner as in the first embodiment, it is not necessary to provide the moving unit 108. Third Embodiment FIG. 6 shows a configuration example of an X-ray imaging apparatus according to a third embodiment. The X-ray imaging apparatus of the third embodiment has the same configuration as that of the X-ray imaging apparatus of the first embodiment except for a grating 103c and an arrangement of the grating 103c. FIG. 7 is an enlarged diagram of a portion from the X-ray source 101 to the grating 103c in FIG. 6 and shows a state in which the cone beam X-rays 102 are divided by the grating 103c and the discrete X-ray beams 105c are formed. As shown in FIG. 7, the grating 103c used in the present embodiment includes opaque objects 115c and transparent objects 114c so that all the incident angles of the cone beam X-rays 102 to the opaque objects 115c are the same angle θ3 when a focused position 116c of the grating and the X-ray source are located at specific positions. In the X-ray imaging apparatus shown in FIG. 7, the incident angles of the cone beam X-rays 102 to the opaque objects 115c are θ3 when the focused position is located at a position moved from the position at which the X-ray source is located by a predetermined distance in a direction perpendicular to the optical axis. Thereby, the widths of the discrete X-ray beams 105c immediately after passing through the grating 103c are smaller than the width Ga3 of the transparent objects. The incident angles of the cone beam X-rays 102 to the opaque objects 115c are constant, so that the widths of the discrete X-ray beams 105c immediately after passing through the grating 103c can be constant. In the present embodiment, every two extended lines 113c of the center lines of the opaque objects intersect each other, and the focused position 116c which is an aggregate of the intersection points of the extended lines 113c has a linear shape with a specific width dz in the optical axis direction perpendicular to the x axis and the y axis shown in FIG. 3A. Although the dz depends on the size of the grating and the focus distance, generally, the dz is 2 cm or less including a manufacturing error of the grating 103c. The method of obtaining the amount of refraction by the sample is the same as that in the first embodiment. Although the one-dimensional grating 103a is used in the present embodiment, a two-dimensional grating can also be used in the present embodiment. If the X-ray source and the grating are arranged as described above in the same manner as in the first embodiment, it is not necessary to provide the moving unit 108. In the X-ray imaging apparatus of the present embodiment, all the incident angles of the cone beam X-rays 102 to the opaque objects 115c are the same angle θ3 (when ignoring manufacturing errors) and there is no variation in the enlargement ratio of the discrete X-ray beams, so that it is possible to eliminate variation in the widths of the discrete X-ray beams. Fourth Embodiment FIG. 8 shows a configuration example of an X-ray imaging apparatus according to a fourth embodiment. In the fourth embodiment, an X-ray imaging apparatus using an X-ray source that generates parallel X-rays will be described. The configuration of the X-ray imaging apparatus is the same as that of the first embodiment except for the X-ray source and the grating. The X-ray imaging apparatus shown in FIG. 8 includes an X-ray source 201, a grating 103d which divides parallel X-rays 202 irradiated from the X-ray source 201 and forms discrete X-ray beams 105d, a detector 106 which detects the discrete X-ray beams, and a calculation device 107 which performs calculation on the basis of a detection result of the detector 106. The X-ray imaging apparatus also includes a moving/rotating unit 108 of the grating 103d. The grating 103d which spatially divides the parallel X-rays 202 has the same structure as that of a parallel grid used to eliminate scattered X-rays generated while a sample is being imaged in a medical X-ray imaging machine. As shown in FIG. 8, in this structure, the center lines of the opaque objects 115d of the grating 103d are in parallel with each other, and, different from the focused grid, there is no focused position. The grating 103d shown in FIG. 8 has a structure in which the transparent objects 114a and the opaque objects 115a are vertically provided on the surface of the grating 103d. Thereby, when the parallel X-rays 202 enter the grating 103d, the parallel X-rays 202 enter in parallel with the center lines of the opaque objects 115d and the widths of the discrete X-ray beams 105d immediately after passing through the grating 103d are substantially the same as the widths Ga4 of the transparent objects of the grating 103d. From the state described above, the grating 103d is rotated around a certain point. Although the grating 103d shown in FIG. 8 rotates around the point on the optical axis 211, the rotation center of the grating 103d is not necessary to be on the optical axis. An angle θ4 is formed between the parallel X-rays 202 and the center lines of the opaque objects. The θ4 depends on a rotation angle α2 of the grating 103d and can be represented by the formula 4. The actual aperture ratio of the grating 103d can be represented by the formula 2 in the same way as in the first embodiment. Instead of rotating the grating around the optical axis, as shown in FIG. 9, a grating 103e may be used in which the opaque objects 115e are provided so that the center lines of the opaque objects 115e form a specific angle (90 degrees—θ5) with the surface of the grating 103e. When the grating 103e is used, the incident angle of the parallel X-rays 202 to the opaque objects 115e is θ5, so that the width of the discrete X-ray beam 105e immediately after passing through the grating 103e becomes smaller than the width Ga5 of the transparent objects 114e. In this grating, the opaque objects 115e are in parallel with each other. Although the present embodiment is described on the basis of a one-dimensional grating, a two-dimensional grating may be used. If the X-ray source and the grating are arranged as described above in the same manner as in the first embodiment, it is not necessary to provide the moving unit 108. More specific examples of the embodiments will be described. In the example 1, a more specific example of the first and the second embodiments will be described. In the present example, a rotating anticathode X-ray generator of molybdenum, silver, or tungsten target is used as the X-ray source. The cone beam X-rays are generated from the X-ray source and irradiated to the grating. The grating has aluminum with a width of 70 μm and a thickness of 500 μm as the transparent objects and lead with a width of 30 μm and a thickness of 500 μm as the opaque objects. The focused position is located at a position apart from the grating by 80 cm. The aperture ratio of this grating is 70%. The aperture ratio in this description indicates a ratio of an area of the transparent objects to an area of the grating. The aperture ratio is represented by Ga/(Ga+Gb)×100 and is not affected by the incident angle of the X-rays to the opaque objects of the grating. When the grating is arranged so that the focused position corresponds to the arrangement position of the X-ray source, the actual aperture ratio is the same as the aperture ratio of 70%. When the focused position is moved by 2.8 cm in a direction perpendicular to the optical axis by moving the grating, the actual aperture ratio is reduced to 52%, when the focused position is moved by 5.6 cm, the actual aperture ratio is reduced to 35%, and when the focused position is moved by 8.4 cm, the actual aperture ratio is reduced to 17%. Accordingly, the width of the discrete X-ray beam formed by the grating decreases. Similarly, from a state in which the grating is arranged so that the arrangement position of the X-ray source corresponds to the focused position, if the grating is arranged so that the focused position is located at a position at which the X-ray source is located when the X-ray source is rotated by 4 degrees around an intersection point of the surface of the grating and the optical axis, the actual aperture ratio becomes 35%. At this time, an angle between the central axis of the grating and the optical axis is 4 degrees. The discrete X-ray beams divided by the grating are irradiated to the sample arranged immediately behind the grating. Further, the amount of refraction of the discrete X-ray beams passing through the sample is detected by arranging a two-dimensional flat panel detector at a position 80 cm behind the grating. The detector is arranged so that each discrete X-ray beam irradiates a plurality of pixels on the detector, and the amount of refraction of the discrete X-ray beams are obtained from a distribution of intensity of the pixels of the detector. In the present example, the discrete X-ray beams are irradiated to the sample, so that the discrete X-ray beams are irradiated to only a part of the sample and there is a portion of the sample on which the discrete X-ray beams are not irradiated. Information of the portion on which the discrete X-ray beams are not irradiated is not obtained, so that information of the entire sample cannot be obtained by one shot imaging. However, the amount of information of the sample can be increased by moving the discrete X-ray beams or the sample and scanning the sample by the X-rays. When the grating is scanned by the above-described imaging method, it is desired that the detector is scanned by a distance obtained by multiplying the distance scanned on the grating by the enlargement ratio ((L1+L2)/L1). In the example 2, the third embodiment will be described more specifically. The configuration of the X-ray imaging apparatus of the present example is the same as that of the example 1 except for the grating. The grating has aluminum with a width of 70 μm and a thickness of 500 μm as the transparent objects and lead with a width of 30 μm and a thickness of 500 μm as the opaque objects. The grating is located 80 cm apart from the X-ray source in the same manner as in the example 1. When the grating is arranged so that the optical axis of the X-ray imaging apparatus passes through the center of the grating, the opaque objects are arranged so that the center lines of all the opaque objects form an angle of 4.2 degrees with the cone beam X-rays entering the grating. In the X-ray imaging apparatus of the present example, the optical axis passes through the center of the grating as described above. As a result, the center lines of the opaque objects form an angle of 4.2 degrees with the cone beam X-rays, so that the actual aperture ratio is 33%. The method of obtaining the amount of refraction of the sample is the same as that in the example 1. In the example 3, a method for measuring an X-ray position change in a two-dimensional direction at the same time by using the first embodiment will be described more specifically. The X-ray imaging apparatus will be described with reference to FIG. 10. In FIG. 10, reference numeral 101 denotes an X-ray source that generates the cone beam X-rays, reference numerals 103f and 103g denote one-dimensional gratings, reference numeral 104 denotes a sample, and reference numeral 106 denotes a flat panel detector. Reference numerals 108 and 208 respectively denote moving/rotating unit of the gratings 103f and 103g. The X-ray source of the present example is the same as that of the example 1. The distance from the X-ray source to the center position between the two gratings is 80 cm. Both the gratings 103f and 103g of the present example have transparent objects made of aluminum with a width of 70 μm and a thickness of 500 μm and opaque objects made of lead with a width of 30 μm and a thickness of 500 μm. The focused position of each grating is located 80 cm apart from the surface of the each grating. The gratings 103f and 103g are arranged close to each other so that the arrangement directions of the opaque objects and the transparent objects of the grating 103f are perpendicular to those of the grating 103g and the two focused positions of the two gratings are located close to each other as much as possible. Actually, the focused positions of the two gratings are shifted from each other by 500 μm, which is the thickness of the grating. However, such a shift can be ignored as an allowable error. The cone beam X-rays generated from the X-ray source 101 can pass through only portions in which the transparent objects of the grating 103f and the transparent objects of the grating 103g are spatially overlapped with each other, so that the discrete X-ray beams formed by the gratings 103f and 103g have a shape of two-dimensional dot array. Although the actual aperture ratio is 49% when the X-ray source 101 is located at the focused position of the gratings 103f and 103g, when the grating 103f is moved by 2.8 cm in an x1 axis direction and the grating 103g is moved by 2.8 cm in an y2 axis direction, the actual aperture ratio becomes 27%. When the gratings are moved by 5.6 cm, the actual aperture ratio becomes 12%. Similarly, from a state in which the X-ray source 101 is located at the focused position of the gratings 103f and 103g, if the grating 103f is rotated by 4 degrees around the rotation axis αy and the grating 103g is rotated by 4 degrees around the rotation axis βx, the actual aperture ratio becomes 12%. Although, in the X-ray imaging apparatus shown in FIG. 10, the cone beam X-rays are divided into two-dimensional X-rays by using two gratings, the cone beam X-rays may be divided into two-dimensional X-rays by using one grating in which the opaque objects and the transparent objects are arranged in a two dimensional direction. The X-ray imaging apparatus shown in FIG. 11 divides the cone beam X-rays into two-dimensional X-rays by using one two-dimensional grating 203b. The two-dimensional grating 203b has a structure in which the gratings 103f and 103g in FIG. 10 are attached to each other in a state in which the arrangement directions of the opaque objects and the transparent objects of the grating 103f are perpendicular to those of the grating 103g. When the two-dimensional grating 203b is moved by 4 cm in a direction forming an angle of 45 degrees with both the x axis and the y axis on the xy plane (a direction corresponding to the moving direction in FIG. 3B), the actual aperture ratio can be reduced to 27%. When the two-dimensional grating 203b is moved by 8 cm in the direction, the actual aperture ratio can be reduced to 12%. Further, the actual aperture ratio can be changed by rotating the rotation axis αxy of the two-dimensional grating 203b. To adjust the actual aperture ratio of the gratings 103f, 103g, and 203b, the gratings 103f, 103g, and 203b may be moved and rotated at the same time. Instead of the gratings 103f, 103g, and 203b, the X-ray source 101 may be moved/rotated. The method of obtaining the amount of refraction of the sample 104 is the same as that in the example 1. In the example 4, a specific example of the fourth embodiment will be described. The X-rays used in the present example are parallel X-rays. In the present example, the grating has transparent objects made of aluminum with a width of 70 μm and a thickness of 500 μm and opaque objects made of lead with a width of 30 μm and a thickness of 500 μm. The opaque objects are provided so that the surface of the grating and the center lines of the opaque objects form an angle of 86 degrees, so that an angle between the center lines of the opaque objects and the parallel X-rays is 4 degrees, and the actual aperture ratio is 35%. Further, the grating may be rotated to adjust the actual aperture ratio of the grating from the state described above. The method of obtaining the amount of refraction of the sample in the present example is the same as that in the example 1. In the example 5, an intensity distribution of the X-ray beams considering the refraction of the X-rays generated by a refractive index difference between the transparent objects and the opaque objects of the grating and the diffraction generated in the transparent objects of the grating will be specifically described. In the present example, a result of calculation of the intensity distribution of the discrete X-ray beam when using the X-ray imaging apparatus of the first embodiment will be described. The formula 3 does not consider the refraction of the X-rays generated by a refractive index difference between the transparent objects and the opaque objects of the grating and the diffraction generated in the transparent objects of the grating. Actually, as shown in FIG. 12, the cone beam X-rays 102 entering the grating 103h are refracted at an interface between the transparent objects 114h and the opaque objects 115h (refraction angle φ). Thereby, the grating 103h has a light collecting effect due to refraction. FIG. 13 shows a calculation result of an intensity distribution of the discrete X-ray beams considering the refraction of the X-rays generated by a refractive index difference between the transparent objects 114h and the opaque objects 115h of the grating 113h, the diffraction generated in the transparent objects, and blur due to the focus size of the X-ray source 101. The calculation is performed on the X-rays having a width of a sum of the width Ga8 of the transparent objects and the width Gb8 of the opaque objects. In the present example, the calculation is performed on an X-ray imaging apparatus which uses the grating 103h having aluminum with a width of 75 μm and a thickness of 400 μm as the transparent objects and lead with a width of 25 μm and a thickness of 400 μm as the opaque objects and an X-ray source that has a focus size of 50 μm and generates cone beam X-rays. In the X-ray imaging apparatus of the present example, the X-ray source is arranged at a position at which the focused position of the grating is located when the focused position is rotated by 8 degrees around an intersection point of the surface of the grating and the optical axis, L1 is 1 m, and L2 is 80 cm. FIG. 13 shows an intensity distribution of one discrete X-ray beam using a solid line. As a comparative example, the calculation is similarly performed on an X-ray imaging apparatus which uses a grating having aluminum with a width of 34 μm and a thickness of 400 μm as the transparent objects and lead with a width of 66 μm and a thickness of 400 μm as the opaque objects and an X-ray source that has a focus size of 50 μm and generates cone beam X-rays. In the X-ray imaging apparatus of the comparative example, the X-ray source is arranged at the focused position of the grating, L1 is 1 m, and L2 is 80 cm. The calculation result is shown by a dashed line in FIG. 13. FIG. 13 shows that the solid line and the dashed line substantially correspond to each other, so that it is known that a discrete X-ray beam having a width smaller than that of the transparent objects can actually be formed by using the present invention. Although the embodiments of the present invention have been described, the present invention is not limited to these embodiments, and various changes and modifications are possible within the scope of the invention. While the present invention has been described with reference to exemplary embodiments, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. The scope of the following claims is to be accorded the broadest interpretation so as to encompass all such modifications and equivalent structures and functions. This application claims the benefit of Japanese Patent Application No. 2010-282233, filed Dec. 17, 2010, which is hereby incorporated by reference herein in its entirety. The present invention can be used in an imaging apparatus of a sample, which uses a phase change generated when X-rays pass through the sample. 101 X-ray source generating cone beam X-rays 102 cone beam X-rays 103 (a to h) grating 104 sample 105 (a to h) discrete X-ray beam 106 detector 107 calculation device 108 unit for moving/rotating grating 111 optical axis 112 center line of grating 113 extended line of center line of opaque objects 114 (a to h) transparent objects 115 (a to h) opaque objects 116 (a to c) focused position of grating
053717686
claims
1. In a nuclear fuel bundle for a boiling water reactor comprising in combination: a plurality of upstanding, parallel fuel rods; a lower tie plate supporting said fuel rods and permitting the entrance of water moderator for the generation of steam; an upper tie plate for securement to at least some of said fuel rods and permitting the outflow of water and steam moderator; a channel surrounding said fuel rods from said lower tie plate to at least the vicinity of said upper tie plate for confining a fluid flow path from said lower tie plate to the vicinity of said upper tie plate; and a plurality of spacers at spaced apart vertical locations extending between said tie plates; the improvement to said spacers below said upper tie plate including a spacer comprising: a ferrule array at each said spacer with each said spacer having a vertical height no greater than 0.9 of an inch, each ferrule having a generally annular wall and a wall thickness no greater than 0.020 inches; and a swirl vane layer overlying subchannel regions between said ferrules and fuel rods for separating water from steam in said region overlying said subchannels and centrifugally impelling water from said subchannel regions upon said fuel rods above said ferrule spacer onto said fuel rods, said swirler vane layer overlying the subchannel regions comprising swirler vanes twisted substantially a complete 180.degree. to overlie substantially the entirety of the subchannel regions, respectively, between the underlying ferrules and fuel rods. an improved spacer at second and third spaced locations below said upper tie plate. placing said swirl vanes at less than all of said subchannel region overlying said ferrule spacer. placing said swirl vanes immediate overlying said ferrules in the subchannel region of said ferrule spacer. an improved spacer at second and third spacer locations below said upper tie plate; said swirl vanes being located at less than all of said subchannel regions overlying said ferrule spacer; said fuel rods being arranged in a matrix of rows and columns, said swirl vanes lying between interior rows and columns of said fuel rods relative to outermost rows and columns of said fuel rods in said bundle whereby improved critical power performance is obtained among interior fuel rods of the bundle. 2. The invention of claim 1 and wherein said improvement to at least some of said spacers includes: 3. The invention of claim 1 and wherein said improvement to at least some of said spacers includes: 4. The invention of claim 1 and wherein said improvement to at least some of said spacers includes: 5. The invention of claim 2 and wherein said improved spacer is added at the fourth location below said upper tie plate. 6. A spacer according to claim 1 wherein said wall thickness is about 0.015 inches. 7. A spacer according to claim 1 wherein substantially the entirety of the projected flow areas through said spacer and between said ferrules and fuel rods being blocked by the twisted vanes. 8. A spacer according to claim 1 wherein said swirl vane layer is comprised of a plurality of continuous bands of metal arranged between adjacent rows of fuel rods with each band having a plurality of generally I-shaped sections with central tabs projecting laterally and lying in a common plane with the I-shaped sections, the I-shaped sections being twisted 180.degree. from top to bottom such that top and bottom portions of the I-shaped sections lie in the common plane and the tabs project substantially perpendicular of said plane, and means for securing the projecting tabs of one band row and the projecting tabs of an adjacent band row to one another. 9. A spacer according to claim 8 wherein one of the upper and lower portions of each I-shaped section is a one-piece unitary construction with adjacent I-shaped sections, and means for connecting another of the upper and lower portion of each I-shaped section to next-adjacent I-shaped sections subsequent to twisting thereof. 10. A spacer according to claim 8 wherein said wall thickness is about 0.015 inches. 11. A spacer according to claim 1 wherein said improvement to at least some of said spacers includes:
abstract
An intensifying screen for exposing X-ray film includes a screen support backing, a luminescent layer having a luminescent material that emits light in the presence of X-rays, and a reflective layer disposed between the luminescent layer and the screen support backing, the reflective layer including a plurality of micro-prisms that reflect light emitted by the luminescent material. An X-ray film cassette includes at least one intensifying screen and a housing surrounding the at least one intensifying screen.
061012319
description
DETAILED DESCRIPTION OF THE INVENTION Referring now to FIG. 1, there is illustrated a nuclear storage pool, generally designated 10, having side walls 12, a bottom wall 14 and an upper opening along which a platform 16 is mounted. A fuel bundle 18 is illustrated in FIG. 1 in pool 10, it being appreciated that the fuel bundle comprises a plurality of nuclear fuel rods 19 in an ordered array with spacers S at axially spaced positions therealong maintaining the fuel rods in the array. The spacers at different elevations are indicated S1 . . . S8 starting adjacent the lower end of the bundle. Each spacer has a plurality of cells C, each cell containing a spring 23 (FIG. 3A) whose spring force is to be measured. The fuel bundle 18 is conventionally transported in the pool 10 and a suitable mechanism 22 may be coupled to the fuel bundle 18 for raising and lowering the bundle within pool 10. Referring to FIGS. 2 and 2A, the in-bundle spacer spring force measurement system of the present invention includes a draw rod standard 24. Standard 24 includes an elongated rod having a series of carefully calibrated fixed diameter segments along the length of the standard separated by transition areas having gradual tapers from smaller to larger outside diameters. For example, segment 26 has a diameter corresponding to the nominal diameter of the fuel rod. The next segment 28 has a diameter incrementally increased relative to the nominal diameter of segment 26, i.e., an increase of x beyond the nominal diameter. The third illustrated segment 30 has a nominal diameter 2x plus the nominal diameter of the segment 26, x being a fraction of the nominal diameter of segment 26. Additional segments may be provided as desirable. The segments are separated by transition sections 32 and 34 which have gradual tapers from the smaller to the larger diameter segments. The larger diameter segments are selected to permit slight compression of the spacer springs as the standard 24 is withdrawn through the cell in which the spring to be measured is located. The presence of different diameter segments enables comparison of the changes in the measured spring forces and an estimation of the spring constant. The upper end of the draw rod standard 24 has a key slot 37 for receiving a ball 39 at the end of a cable 36 whereby the standard 24 may be displaced upwardly and drawn through the spacer openings in sequence, as described below. The overall length of the draw rod standard is designed such that the draw rod standard can be withdrawn through a single spacer cell at a time without entering another spacer. Also, the lower end of the draw rod standard has a bullet nose to minimize or eliminate any damage to the spacer upon insertion or withdrawal of the draw rod standard relative to the fuel bundle. Referring back to FIG. 1, the cable 36 passes through a rigid insertion tube 38 which, in turn, is coupled at its upper end to a standard rigid extension tube 40. The cable 36 continues through tube 40 and is coupled to a load cell 42. The cable is wound around a constant speed drum cable winch 44. The load cell 42 is connected to a computer data acquisition system 46 having an operating system and data acquisition software for receiving signals from the load cell 42. The loading on the cable as described below is measured by the load cell 42 and typically thousands of data loading measurements per second are taken as the draw rod standard is drawn through each spacer opening. Those measurements are statistically analyzed to give the withdrawal forces applicable to each segment of the draw rod standard and the different results from the different segments of the standard are analyzed to determine the spring rate, i.e., the spring force constant. The measured spring rate and withdrawal forces are used to determine the spacer cell spring force at the bundle design's nominal fuel rod diameter. The insertion tube 38 is a hollow tube having the same outside diameter as the nominal diameter of the fuel rod for the bundle design being measured. A different insertion tube is therefore required for each different fuel design. As best illustrated in FIG. 2, the upper end of the standard 24 has a bullet nose 48 and the lower end of the insertion tube 38 is received over the bullet-shaped end 48 of the standard 24, as illustrated by the dashed lines. This enables the insertion tube and draw rod standard to be attached together for alignment and insertion into and withdrawal from the fuel bundle. However, the fit between the insertion tube 38 and standard 24 is not sufficient to lock the two components together, for reasons which will become apparent from the ensuing description. The extension tube 40 is a standard hollow aluminum stainless steel or Zircaloy tube which has threaded fittings for securement to the upper end of the insertion tube 38. A single design for the extension tube may be used for all bundle types since the extension tube does not enter the fuel bundle. At the top of the extension tube 40 is a clamping mechanism which enables the tube to be locked in place relative to the cable 36. This enables the insertion tube 38 and spring force draw rod standard 24 to be held together while they are moved up and down in the bundle. That is, the clamping device holds the insertion tube and draw rod together since the upper end of the insertion tube 38 is provided with a threaded end plug which screws into the threaded coupling on the lower end of the extension tube 40. As noted previously, the spring force of the spring on the spacer in a particular opening is measured by measuring the tension in the cable employed to withdraw the standard through the spacer cell. The spring force can be computed from the following equation: EQU Withdrawal Force=T.sub.o +.mu..times.Spring Force, where T.sub.o is the tension in the cable connected to the spring force draw rod standard needed to support the mass of the draw rod and cable, and PA1 .mu. is the coefficient of friction between the spacer spring projection and the draw rod standard. Thus, with the withdrawal force being measured by the load cell 42, the weight of the cable and standard being known and the coefficient of friction likewise being known, the spring force can be ascertained. To employ the in-bundle spacer spring force measurement system hereof, the bundle 18 is moved into the pool 10 and a fuel rod at the location of the cells whose spring forces are to be measured is removed. As illustrated in FIG. 1, the elongated extension tube, insertion tube and draw rod standard combination are located over the cells vacated by the fuel rod and in which cells the spring forces of the springs are to be measured. The fuel bundle is then elevated relative to the force measurement system such that the draw rod standard is received within the bundle with the first segment 26 located within the lowermost spacer S1 having a spring whose spring force is to be measured as illustrated in FIGS. 3 and 3A. Suitable mechanisms, not shown, maintain the assembly of extension and insertion tubes and draw rod standard substantially fixed against vertical and lateral movement to enable reception of the insertion tube and draw rod standard within the bundle. Alternatively, the relative movements of the bundle and the assembly can be accomplished by moving one or the other of the assembly or bundle or both. As illustrated in FIGS. 4 and 4A, the extension tube and insertion tube are then drawn back leaving segment 26 of the standard located within the cell. The insertion tube 38 and extension tube 40 are drawn back to locate the lower end of the insertion tube 38 adjacent the next higher spacer to avoid interference with the standard as the standard is drawn through the lower spacer. The drum 44 is then activated at constant speed and the draw rod standard is slowly raised through the cell of the lowermost spacer into the space between spacers S1 and S2 as illustrated in FIGS. 5 and 5A. Withdrawal force data from the load cell is accumulated and analyzed by the system 46 and the computed spring force and spring rate may be displayed upon completion of the measurement. After the first measurement is taken, the spring force of the spring of the next higher spacer cell in the bundle can be measured. This is accomplished by again engaging the bullet nose 48 of the draw rod standard within the lower end of the insertion tube 38. The fuel bundle and the force measurement system are relatively displaced, i.e., the fuel bundle is preferably lowered and the draw rod standard, insertion tube and extension tube combination is lowered to a lesser extent, to locate the upper end of the standard in the cell of the next higher spacer S2. The insertion tube and extension tube are then raised to the further higher spacer S3 to permit the draw rod to be withdrawn through the cell of spacer S2 with measurements being taken during withdrawal as described with respect to spacer S1. It will be appreciated that for additional measurements of the spring forces for springs in higher spacers, the method steps noted above are repeated with the bundle being indexed downwardly by the handling machine 22 so that each new spacer is disposed at essentially the same vertical location in the pool 12 as the lowermost spacer S1 was during the initial force measurements. When all of the measurements for the same fuel rod cell lattice location have been made, FIGS. 6 and 6A illustrating the final measurements being taken for spacer S8, the fuel rod originally removed can be reinstalled. The above-mentioned steps may then be repeated to determine spring forces in other cell lattice locations as desired. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiment, it is to be understood that the invention is not to be limited to the disclosed embodiment, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.
description
The present application is a continuation of U.S. patent application Ser. No. 14/886,844 filed Oct. 19, 2015, which in turn is a continuation of U.S. patent application Ser. No. 14/060,384, filed Oct. 22, 2013, now U.S. Pat. No. 9,165,690, which in turn is a divisional of U.S. Non-provisional patent application Ser. No. 12/342,022, filed Dec. 22, 2008, now U.S. Pat. No. 8,561,318, which in turn claims the benefit of U.S. Provisional Patent Application Ser. No. 61/016,151, filed Dec. 21, 2007, the entireties of which are hereby incorporated by reference. The present invention relates generally to systems and methods of preparing a container loaded with wet radioactive elements, such as a multi-purpose canister or a thermally conductive cask, for dry storage, and specifically to a closed-loop system and method of drying a multi-purpose canister for dry storage using a forced gas flow. In the operation of nuclear reactors, hollow zircaloy tubes filled with enriched uranium, known as fuel assemblies, are burned up inside the nuclear reactor core. It is customary to remove these fuel assemblies from the reactor after their energy has been depleted down to a predetermined level. Upon depletion and subsequent removal, this spent nuclear fuel (“SNF”) is still highly radioactive and produces considerable heat, requiring that great care be taken in its subsequent packaging, transporting, and storing. Specifically, the SNF emits extremely dangerous neutrons and gamma photons. It is imperative that these neutrons and gamma photons be contained at all times subsequent to removal from the reactor core. In defueling a nuclear reactor, it is common place to remove the SNF from the reactor and place the SNF under water, in what is generally known as a spent fuel pool or pond storage. The pool water facilitates cooling the SNF and provides adequate radiation shielding. The SNF is stored in the pool for a period long enough to allow the decay of heat and radiation to a sufficiently low level to allow the SNF to be transported with safety. However, because of safety, space, and economic concerns, use of the pool alone is not satisfactory where the SNF needs to be stored for any considerable length of time. Thus, when long-term storage of SNF is required, it is standard practice in the nuclear industry to store the SNF in a dry state subsequent to a brief storage period in the spent fuel pool, i.e., storing the SNF in a dry inert gas atmosphere encased within a structure that provides adequate radiation shielding. One typical structure that is used to store SNF for long periods of time in the dry state is a storage cask. Storage casks have a cavity adapted to receive a canister of SNF and are designed to be large, heavy structures made of steel, lead, concrete and an environmentally suitable hydrogenous material. However, because the focus in designing a storage cask is to provide adequate radiation shielding for the long-term storage of SNF, size and weight are often secondary considerations (if considered at all). As a result, the weight and size of storage casks often cause problems associated with lifting and handling. Typically, storage casks weigh more than 100 tons and have a height greater than 15 ft. A common problem associated with storage casks is that they are too heavy to be lifted by most nuclear power plant cranes. Another common problems is that storage casks are generally too large to be placed in spent fuel pools. Thus, in order to store SNF in a storage cask subsequent to being cooled in the pool, the SNF is transferred to a cask, removed from the pool, placed in a staging area, dewatered, dried, and transported to a storage facility. Adequate radiation shielding is needed throughout all stages of this transfer procedure. As a result of the SNF's need for removal from the spent fuel pool and additional transportation to a storage cask, an open canister is typically submerged in the spent fuel pool. The SNF rods are then placed directly into the open canister while submerged in the water. However, even after sealing, the canister alone does not provide adequate containment of the SNF's radiation. A loaded canister cannot be removed or transported from the spent fuel pool without additional radiation shielding. Thus, apparatus that provide additional radiation shielding during the transport of the SNF is necessary. This additional radiation shielding is achieved by placing the SNF-loaded canisters in large cylindrical containers called transfer casks while still within the pool. Similar to storage casks, transfer casks have a cavity adapted to receive the canister of SNF and are designed to shield the environment from the radiation emitted by the SNF within. In facilities utilizing transfer casks to transport loaded canisters, an empty canister is first placed into the cavity of an open transfer cask. The canister and transfer cask are then submerged in the spent fuel pool. Prior to cask storage, the SNF is removed from the reactor and placed in wet storage racks arrayed on the bottom of spent fuel pools. For dry storage, the SNF is transferred in the submerged canister that is flooded with water and within the transfer cask. The loaded canister is then fitted with its lid, enclosing the SNF and the water from the pool within. The loaded canister and transfer cask are then removed from the pool by a crane and set down in a staging area to prepare the SNF-loaded canister for long-term dry storage. In order for an SNF-loaded canister to be properly prepared for dry storage, the United States Nuclear Regulatory Commission (“N.R.C.”) requires that the SNF and interior of the canister be adequately dried before the canister is sealed and transferred to the storage cask. Specifically, N.R.C. regulations mandate that the vapor pressure (“vP”) within the canister be below 3 Torrs (1 Torr=1 mm Hg) before the canister is backfilled with an inert and sealed. Vapor pressure is the pressure of the vapor over a liquid at equilibrium, wherein equilibrium is defined as that condition where an equal number of molecules are transforming from the liquid phase to gas phase as there are molecules transforming from the gas phase to liquid phase. Requiring a low vP of 3 Torrs or less assures that an adequately low amount of moisture exists in the interior of the canister and on the SNF so that the SNF is sufficiently dry for long-term storage. Currently, nuclear facilities comply with the N.R.C.'s 3 Torr or less vP requirement by performing a vacuum drying process. In performing this process, the bulk water that is within the canister is first drained from the canister. Once the bulk of the liquid water is drained, a vacuum system is coupled to the canister and activated so as to create a sub-atmospheric pressure condition within the canister. The sub-atmospheric condition within the canister facilities evaporation of the remaining liquid water while the vacuum helps remove the water vapor. The vP within the canister is then measured by placing appropriate measuring instruments, such as vacuum gages, into the canister and taking direct measurements of the gaseous contents present therein. If necessary, this vacuum procedure is repeated until a vP of 3 Torrs or less is obtained. Once an acceptable vP is reached, the canister is backfilled with an inert gas and the canister is sealed. The transfer cask (with the canister therein) is then transported to a position above a storage cask and the SNF-loaded canister is lowered into the low storage for long-term storage. Current methods of satisfying the N.R.C.'s 3 Torrs or less vP requirement are potentially dangerous, operationally time consuming, prone to error, subjects the SNF rods to high temperatures, and costly. First, the intrusive nature of the direct vP measurement is dangerous because the canister contains highly radioactive SNF. Any time the canister must be physically breached, there is the danger of exposing the surrounding an environment and the work personnel to radiation. Moreover, the prolonged creation of sub-atmospheric conditions in the canister can cause complicated equipment problems. Finally, the operational durations for vacuum drying are unacceptably long as vacuum drying times on the order of days is quite common. The vacuum operation is prone to line freeze ups and ice formation inside canister which can give false readings to the instruments. Lowering of the canister pressure causes a progressive loss of the heat transfer medium (gas filling the gaps and open spaces in the canisters) resulting in substantial elevation of temperature of heat producing SNF rods. One of the major disadvantages of existing vacuum drying systems and methods is that the SNF cladding heats up to unacceptable temperatures that may compromise the fuel cladding integrity. In order for liquid water to be removed from the SNF canister using the existing vacuum drying process, the canister must be held at a low vacuum level for an extended period while the liquid water boils off. The extended period of time when the fuel is surrounded by a near vacuum impedes removal of the decay heat from the fuel itself. Recently, the assignee of the present application, Holtec International, Inc., has developed new and improved methods, apparatus and systems for preparing canisters of spent nuclear fuel for dry storage utilizing forced gas dehydration (“FGD”). These inventions are fully described and disclosed in U.S. Pat. No. 7,210,247, issued May 1, 2007, Krishna Singh and United States Patent Application Publication 2006/0272175A1, published Dec. 7, 2006, Krishna Singh, the entireties of which are incorporated herein by reference. It has been discovered that the FGD drying methods, apparatus and systems disclosed in U.S. Pat. No. 7,210,247 and United States Patent Application Publication 2006/0272175A1 can be improved and/or simplified in a novel and non-obvious manner Referring to FIG. 3, the FGD technologies disclosed in the aforementioned references consist of an air or liquid cooled condenser module, a freeze drying module, a circulator module, and a pre-heater module to continuously circulate an inert gas through a spent nuclear fuel (“SNF”) canister in order to remove liquid moisture and dehumidify the gas that is ultimately sealed within the canister for transportation and storage. These systems operate to first remove the liquid moisture in the canister and then to dehumidify the circulating gas stream prior to sealing the SNF canister. The FGD system uses a low temperature refrigerant system and heat exchanger to cool the circulating gas stream to the point where the water vapor in it freezes onto the heat exchanger surface. The freezing of the water vapor on the exchanger surface acts to dehumidify the circulating gas stream. It is proposed that the following modification can be used as alternatives to the freeze dryer module. It is therefore an object of the present invention to provide a method and system for drying a canister loaded with a high level radioactive waste (“HLW”), such as SNF. Another object of the present invention is to provide a method and system for drying a canister loaded with HLW without physically accessing the contents of the canister to ensure that an acceptably level of dryness has reached within the canister. Yet another object of the present invention is to provide a method and system for drying a canister loaded with HLW without subjecting the interior of the canister to prolonged sub-atmospheric conditions. A further object of the present invention is to provide a method and system for preparing an SNF-loaded canister for dry storage that is easy to implement and/or time efficient. A yet further object of the present invention is to provide a method and system for preparing a canister loaded with HLW for dry storage in a more cost effective and safer manner. In one aspect, the invention is a method of preparing a canister having a cavity loaded with wet radioactive elements for dry storage, the method comprising: (a) providing a gas circulation system comprising a condensing module, a desiccant module, a gas circulator module; (b) connecting the gas circulation system to the canister so as to form a hermetically sealed closed-loop path that includes the cavity; (c) filling the hermetically sealed closed-loop path with a non-reactive gas; (d) circulating the non-reactive gas through the hermetically sealed closed-loop path until the condensing module is no longer removing substantial amounts of water from the circulating non-reactive gas, wherein the desiccant module is sealed off from the hermetically sealed closed-loop path during step (d); and (e) adding the desiccant module to the hermetically sealed closed-loop path and continuing to circulate the non-reactive gas through the hermetically sealed closed-loop path, the desiccant module dehumidifying the circulating the non-reactive gas. In another aspect, the invention can be a system for preparing a canister having a cavity loaded with radioactive elements for dry storage, the apparatus comprising: a gas circulation system comprising a source of a condenser module, a desiccant module, a gas circulator module; the gas circulation system adapted to form a hermetically sealed closed-loop path when operably connected to the cavity of the canister to be prepared for dry storage; and means for adding and removing the desiccant module as part of the hermetically sealed closed-loop path. In yet another aspect, the invention can be a method of preparing a canister having a cavity loaded with wet radioactive elements for dry storage, the method comprising: (a) providing a gas circulation system comprising a condensing module, a vacuum module, a gas circulator module; (b) connecting the gas circulation system to the canister so as to form a hermetically sealed closed-loop path that includes the cavity; (c) filling the hermetically sealed closed-loop path with a non-reactive gas; (d) circulating the non-reactive gas through the hermetically sealed closed-loop path until the condensing module is no longer removing substantial amounts of water from the circulating non-reactive gas, wherein the vacuum module is sealed off from the hermetically sealed closed-loop path during step (d); (e) discontinuing the circulation of the non-reactive gas through the hermetically sealed closed-loop path; (f) fluidly coupling the vacuum module to the cavity and fluidly isolating the cavity and the vacuum module; and (g) applying a vacuum pressure to the cavity via the vacuum module so as to create a sub-atmospheric pressure within the cavity until a desired vapor pressure is achieved in the cavity of the canister The present invention is an improvement over the drying methods, apparatus and systems disclosed in U.S. Pat. No. 7,210,247 and United States Patent Application Publication 2006/0272175A1. The following enhancements are proposed for the FGD drying systems for use in drying containers designed for dry storage of high level radioactive waste, such MPSc loaded with SNF. FIG. 1 illustrates a canister 20 that is suitable for use with the present invention. The present invention is not limited to specific canister geometries, structures, or dimensions and is applicable to any type of enclosure vessel used to transport, store, or hold radioactive elements. While the exemplified embodiment of the invention will be described in terms of its use to dry a canister of spent nuclear fuel (“SNF”), it will be appreciated by those skilled in the art that the systems and methods described herein can be used to dry radioactive waste in other forms and in a variety of different containment structures as desired. The canister 20 comprises a bottom plate 22 and a cylindrical wall 24 which forms a cavity 21. As used herein, the end 25 of the canister 20 that is closest to the bottom plate 22 will be referred to as the bottom of the canister 20 while the end 26 of the canister 20 that is furthest from the bottom plate 22 will be referred to as the top of the canister 20. The cavity 21 has a honeycomb grid 23 positioned therein. The honeycomb grid 23 comprises a plurality of rectangular boxes adapted to receive spent nuclear fuel (“SNF”) rods. The invention is not limited by the presence of the honeycomb grid. The canister 20 further comprises a drain pipe with an open bottom (not illustrated) located at or near the bottom of the canister 20 that provides a sealable passageway from outside of the canister 20 to the interior of the cavity 21. If desired, the drain opening can be located in the bottom plate 22 or near the bottom of the canister wall. The drain pipe can be opened or hermetically sealed using conventional plugs, drain valves, or welding procedures. As illustrated in FIG. 2, the canister 20 is empty (i.e. the cavity 21 does not have SNF rods placed in the honeycomb grid 23) and the top 26 of the canister 20 is open. In utilizing the canister 20 to transport and store SNF rods, the canister 20 is placed inside a transfer cask 10 (FIG. 2) while the canister 20 is open and empty. The open transfer cask 10, which is holding the open canister 20, is then submerged into a spent fuel pool which causes the volume of the cavity 21 to become filled with water. SNF rods that are removed from the nuclear reactor are then moved under water from the spent fuel pool and placed inside the cavity 21 of the canister 20. Preferably, a single bundle of SNF rods is placed in each rectangular box of the honeycomb grid 23. Once the cavity 21 is fully loaded with the SNF rods, the canister lid 27 (FIG. 3) is positioned atop the canister 20. The canister lid 27 has a plurality of sealable lid holes 28 that form a passageway into the cavity 21 from outside of the canister 20 when open. The transfer cask 10 (having the loaded canister 20 therein) is then lifted from the spent fuel pool by a crane and placed uprightly in a staging area (as shown in FIG. 3) so that the canister 20 can be properly prepared for dry-storage. This dry-storage preparation includes drying the interior of the canister 20 and sealing the lid 27 thereto. Referring now to FIG. 3 exclusively, when in the staging area, the canister 20 (containing the SNF rods and pool water) is within the transfer cask 10. Both the canister 20 and the transfer cask 10 are in an upright position. Once in the staging area, the drain pipe attached to the canister lid 27 (not illustrated) with a bottom opening at or near the bottom 25 of the canister 20 is used to expel the bulk water that is trapped in the cavity 21 of the canister 20 using a blowdown gas (usually helium or nitrogen). Despite draining the bulk water from the cavity 21, residual moisture remains in the cavity 21 and on the SNF rods. However, before the canister 20 can be permanently sealed and transported to a storage cask for long-term dry storage or transportation, it must be assured that that cavity 21 and the SNF rods contained therein are adequately dried. Because a low vapor pressure (“vP”) within a container indicates that a low level of moisture is present, the United States Nuclear Regulatory Commission (“NRC”) requires compliance to the 3 Torr or less vapor pressure (“vP”) specification within the cavity 21 of HLW containing casks. FIG. 4 is a schematic of an embodiment of an FGD system 300 capable of drying the cavity 21 to acceptable NRC levels without the need to intrusively measure the resulting vP within the cavity 21. Once the transfer cask 10, which is holding the canister 20, is positioned in the staging area and the bulk water is drained form the cavity 21, the drying system 300 is connected to the inlet 28 and outlet 29 of the canister 20 so as to form a closed-loop system. The closed-loop may or may not include the desiccant module 370 depending on the status of the three-way valves 421,422. The gas supply line 325 is fluidly connected to the inlet 28 of the canister 20 while the gas exhaust line 326 is fluidly connected to the outlet 29 of the canister 20. The inlet 28 and outlet 29 of the canister are mere holes in the canister 20. If desired, proper port connections, seals, and/or valves can be incorporated into the inlet and outlet 28, 29. The drying system 300 generally comprises a non-reactive gas reservoir 310, a gas circulator module 320, a plurality of two-way valves 321-323, a plurality of three-way valves 421-422, a dew point temperature hygrometer 330, a condensing module 340, a pre-heater module 380, a desiccant module 370, and a control system 350, which includes a suitably programmed microprocessor 351, a computer memory medium 352, a timer 353, and an alarm 354. While the illustrated embodiment of the drying system 300 is automated via the control system 350, neither the method nor system of the present invention is so limited. If desired, the functions carried out by the control system 350 can be carried out manually and/or omitted in some instances. The helium reservoir 320, the pre-heater module 380, the gas circulator module 320, the canister 20, the hygrometer 330, condensing module 340, and the desiccant module 370 are fluidly connected so that a non-reactive gas, such as helium, can flow through the drying system 300 without escaping into the external environment. All of the gas lines connecting the aforementioned component can be formed of suitable tubing or piping. The piping and tubing can be constructed of flexible or non-flexible conduits. The conduits can be formed of any suitable material, such as metals, alloys, plastics, rubber, etc. All hermetic connections can be formed through the use of threaded connections, seals, ring clamps, and/or gaskets. The helium gas reservoir 310 is used to store pressurized helium gas and feed helium gas to the loop for circulation by opening the valve 323. While helium gas is the preferred non-reactive gas for use in the present invention, any non-reactive gas can be used in conjunction with the system 300 and the operation thereof. For example, other suitable non-reactive gases include, without limitation, nitrogen, carbon-dioxide, light hydrocarbon gases such as methane, or any inert gas, including but not limited to noble gases (helium, argon, neon, radon, krypton and xenon). When valve 323 is opened, the helium reservoir fills the closed loop with helium. The gas circulator 320 is operably coupled to the gas supply line 325. The position of the gas circulator 320 in the loop can be varied as desired. When activated, the gas circulator 320, which can be a blower, forces helium gas through the closed-loop (which includes the canister 20) at the desired flow rate. While a single gas circulator 320 is illustrated as being incorporated into the drying system 300, the invention is not so limited and any number of circulator or pumps can be used. The exact number of pumps will be dictated on a case-by case design basis, considering such factors as flow rate requirements, pressure drops in the system, size of the system, and/or number of components in the system. The direction of the helium gas flow through system 300 is indicated by the arrows on the fluid lines. Valve 321, 322 are operably coupled to the gas supply line 325 and the gas exit line 326 respectively. The valves 321, 322 are used to control the flow to the cavity 21 of the canister 20. Specifically, the valves 321, 322 can be used to isolate the canister 20 from the rest of the loop when desired, such as during connection and disconnection. All valves used herein can be adjustable flow rate valves or simple on/off valves. In other embodiments of the invention, mass flow rate controllers can be sued. As with the circulators, any number of valves can be incorporated throughout the system 300 as desired. Only those valves considered important to the principles of the present invention have been illustrated. Moreover, the invention is not limited by any specific placement of the valve(s) or pump(s) along the closed-loop flow circuit so long as the claimed methods can be performed. The dew-point temperature hygrometer 330 is operably coupled to the gas exhaust line 326 so that the dew-point temperature of the helium gas exiting the cavity of the canister 20 can be measured. Suitable means for dew point temperature measurement include direct moisture sensing devices, such as hygrometers, and other means, such as gas chromatography or mass spectroscopy. The hygrometer 330 preferably includes a digital signal in some embodiments. The dew point temperature hygrometer 330 repetitively measures the dew point temperature of the helium gas exiting the cavity 21. There is no requirement as to the sampling rate for repetitive measurements. For example, the dew point temperature hygrometer 330 can measure the dew point temperature of the helium gas multiple times per second or only once every few minutes. In some embodiments, the time intervals between repetitive measurements will be so small that the measurements will appear to be essentially continuous in nature (i.e., in real-time). The time intervals will be determined on case-by case design basis, considering such factors as functionality requirements of the system and the flow rate of the helium gas. The inlet 342 of the condenser module 340 is coupled to the gas exhaust line 326 while the outlet 343 is fluidly coupled to the recirculation line 345. The condenser module 340 is provided to adequately de-moisturize the wet helium gas that exits the cavity 21 of the canister 20 during the liquid removal stage (Phase I) of drying the canister 20. The helium gas leaving the condenser module 340 can be re-circulated back into the canister 20 after passing through the preheater module 380 so that it can absorb more moisture. The condenser module 340 is connected via drain 341 to a moisture accumulator 344 (see, e.g. FIG. 4). The moisture accumulator can be monitored to determine when Phase 1 is complete and the system 300 is ready for Phase II drying (Phase II drying is the dehumidification of the circulating gas stream prior to sealing the SNF canister). When monitoring the moisture accumulator 344, the end of Phase I is detected by no more moisture/liquid accumulating in the reservoir of the moisture accumulator, Phase I drying is complete. Alternatively, the hygrometer 330 can be used to determine when Phase 1 is complete. When using the hygrometer 330, the end of Phase I is detected by the hygrometer 330 obtaining a steady dew point measurement. The desiccant module 370 is a pressure vessel or vessels containing a single use or regenerative desiccant material. Candidate desiccant materials include Silica gel, Activated alumina, Molecular Sieve and similar hygroscopic type materials that would adsorb or absorb the water vapor from the gas stream. During Phase 1 drying, the desiccant module 370 is valved out from the circulating gas stream by closing valves 421, 422 so that the inlet line 371 and outlet line 372 of the desiccant module 370 is sealed from the recirculation line 345. This avoids overloading the desiccant materials with water. After the liquid water has been removed from the canister 20 and stripped from the circulating gas by the condenser module 340 (i.e., Phase I drying is complete), the circulating gas stream would be routed through the desiccant module 370 by opening the valves 421, 422 so that the inlet and outlet lines 421, 422 are in fluid communication with the recirculation line 345. The desiccant module 370 dehumidifies the circulating gas stream to the appropriate mass density prior to sealing the canister, thereby completing Phase II drying. The desiccant module 370 can be sized to dehumidify one or more SNF canisters before the desiccant would need to be disposed of or regenerated. Water can be removed from the desiccant through a regenerative process, which consists of heating the desiccant material to a known temperature and passing a dry gas such as air, nitrogen, or other inert gas over the desiccant bed. The desiccant can also be dried as necessary through heating, UV exposure, or other conventional drying process and subsequently reused. The drying system 300 further comprises an automation system 350. This is optional. The automation system 350 comprises a CPU 351, a computer memory medium 352, a timer 353, and an alarm 354. The CPU 351 is a suitable microprocessor based programmable logic controller, personal computer, or the like. The computer memory medium 352 can be a hard drive that comprises sufficient memory to store all of the necessary computer code, algorithms, and data necessary for the operation and functioning of the drying system 300, such as predetermined time, predetermined dew-point temperature, flow rates, and the like. The timer 353 is a standard digitalized or internal computer timing mechanism. The alarm 354 can be a siren, a light, an LED, a display module, a speaker, or other device capable of generating audio and/or visual stimulus. While an alarm 354 is illustrated and described, any instrumentation, device, or apparatus that inform an operator that the drying system 300 has completed a drying process can be used. For example, a computer screen can simply indicate that the canister is dry via text or visuals. The CPU 351 includes various input/output ports used to provide connections to the various components of the drying system 300 that need to be controlled and/or communicated with. The CPU 351 is operably coupled to these components via electrical wires, fiber-optic lines, co-axial cables, or other data transmission lines. Wireless communication can also be used. These connections are indicated by the dotted lines in FIG. 4. The CPU 351 can communicate with any and all of the various components of the drying system 300 to which it is operably connected in order to control the drying system 300, such as: (1) activating or deactivating the gas circulator 320; (2) opening, closing, and/or adjusting the valves 321-323, 421-422; (3) activating or deactivating the condenser module 340 and the pre-heater 380; and (4) activating or deactivating the alarm 354. The CPU 351 (and/or the memory 352) is also programmed with the proper algorithms to receive data signals from the dew-point hygrometer 330, analyze the incoming data signals, compare the values represented by the incoming data signals to stored values and ranges, and track the time at which the values represented by the incoming data signals are at or below the stored values. The type of CPU used depends on the exact needs of the system in which it is incorporated. A method of preparing an MPC 20 loaded with wet SNF will now be described according to an embodiment of the present invention is illustrated. The method will be described in relation to the drying system 300 of FIG. 4 for ease of description and understanding. However, the method is not limited to any specific structure or system, and can be carried out by other systems and/or apparatuses. A cask 10 containing the SNF loaded canister 20 is positioned in a staging area after being removed from the cooling pool/pond. As discussed above, the cavity 21 of the canister 20 is filled with water from the pool at this time. The bulk water is drained from the cavity 21 of the canister 20 via a properly positioned drain. Despite the bulk water being drained from the cavity 21 of the canister 20, the interior of the cavity 21 and the SNF are still moisture bearing and need further de-moisturization for long-term dry storage. In order to further dry the cavity 21 and the SNF, the drying system 300 is utilized. The canister 20 remains in the cask 10 during the drying operation. The gas supply line 325 is fluidly coupled to the inlet 28 of the canister 20 while the gas exhaust line 326 is fluidly coupled to the outlet 29 of the canister 20. As a result, a closed-loop fluid circuit is formed in which the cavity 21 of the canister 20 forms a portion of the fluid circuit when valves 321, 322 are opened. At this time, the valves 421, 422 are in a position that seals the inlet and outlet lines 371, 372 from the line 345, thereby removing the desiccant module 370 from the main fluid circuit. Valve 323 is also closed at this time to avoid the wasted release of helium. Once the drying system 300 is properly hooked up to the canister 20 the operator activates the drying system 300. The drying system 300 can be activated manually by switching on the equipment or in an automated fashion by the CPU 351. When activated in an automated fashion, an operator will activate the drying system 300 by entering a system activation command into a user input device (not illustrated), such as a keyboard, computer, switch, button, or the like, which is operably coupled to the CPU 351. Upon receiving the associated system activation signal from the user input device, the CPU 351 sends the appropriate activation signals to the components of the system 300. Valves 321, 322 are opened first. The valve 323 is then opened, thereby releasing pressurized helium from the helium reservoir 30 that floods the closed-loop fluid circuit (which includes the gas supply line 325, the pre-heater module 380, the canister 20, the gas exhaust line 326, the condensing module 340, and the recirculation line 345). The desiccant module 370 is not part of the closed-loop fluid circuit at this time. However, in an alternative embodiment, the desiccant module 370 may be part of the closed-loop fluid circuit at this time to avoid a pressure drop later when it is added to circuit after Phase I drying. In this scenario, the desiccant module 370 would be removed from the circuit after it is filled with helium and before continuing with the gas circulation for Phase I drying. Once the desired closed-loop circuit is filled with helium, valve 323 is closed. The gas circulator 320 is then activated, along with the pre-heater module 280 and the condenser module 340, thereby circulating the helium gas through the fluid circuit. As a result, Phase I drying begins. The pre-heater 380 heats the helium before the entering the canister 20 and the condenser module 340 removes moisture from the helium that exits the canister 20. The flow rate of the helium gas through the drying system 300 is controlled by either the gas circulator 320 or a flow rate valve. In one embodiment to the present invention, the CPU 351 flows helium gas through the canister 20 at a flow rate of approximately 400 lb/hr. However, the invention is not so limited and other flow rates can be used. The exact flow rate to be used in any particular drying operation will be determined on a case-by-case design basis, considering such factors as the open volume of the canister's cavity, the target dryness level within the canister's cavity, the initial moisture content within the canister's cavity, the moisture content of the helium gas maintained within the reservoir, desired number of hourly volume turnovers for the canister etc. Upon being activated, the dry helium gas flows into the wet cavity 21 of the canister 20 via the inlet 28. Upon entering the cavity 21, the dry helium gas absorbs water from the SNF and internal surfaces of the cavity 21 in the form of water vapor. The moisture laden helium gas then exits the cavity 21 via the outlet 29. If the Phase I drying is being monitored by the hygrometer 330, the wet helium gas that exits the cavity 21 is repetitively measured by the hygrometer 330. As the hygrometer 330 measures the dew point temperature of the wetted helium gas, it generates data signals indicative of the measured dew point temperature values and transmits these data signals to the CPU 351. Alternatively, if the Phase I drying is being monitored via an accumulator coupled to the condenser, the hygrometer is not necessary at this time and can be shut off. As the wetted helium gas exits the canister 20 it enters the condenser module 340, which has been activated by the CPU 351. The wetted helium gas exiting the canister 20 is de-moisturized within the condenser 340 prior to being re-circulated back to the pre-heater 380 via the line 345. The liquid water condensed out of the helium gas within the condenser module 340 drains out via the line 341 and into a moisture accumulator where it is monitored to detect the end of Phase I drying. The flow of helium through the circuit is continued until no more liquid is being condensed out by the condenser 340 (which is detected by either no more liquid accumulating in the moisture accumulator or a steady state reading by the hygrometer 330), Phase I drying is determined to be complete. At this time, valves 421, 422 are open so that the inlet and outlet lines 371, 372 are in fluid communication with the line 345, thereby adding the desiccant module to the loop/circuit. This begins Phase II drying, the dehumidification of the circulating helium gas stream prior to sealing the SNF canister. Once the desiccant module 370 has been added to the gas-circulation loop, the helium continues to be circulated as in Phase I. However, the hygrometer 330 now becomes active (if not active before) to determine the end of Phase II drying. During Phase II, the hygrometer 330 is repetitively measuring the dew point of the wet helium gas that exits the cavity 21. As the hygrometer 330 measures the dew point temperature of the wetted helium gas, it generates data signals indicative of the measured dew point temperature values and transmits these data signals to the CPU 351. Upon receiving the data signals indicative of the measured dew point temperature values, the CPU 351 compares the measured values to a predetermined dew point temperature value that is stored in the memory medium 352. The predetermined dew point temperature is selected so as to be indicative that the inside of the cavity 21 and the SNF is sufficiently dry for long term storage. In one embodiment, the predetermined dew point temperature is selected so as to correspond to a vapor pressure in the cavity 21 that is indicative of an acceptable level of dryness, such as for example 3 Torr or less. In such embodiments, the predetermined dew point temperature can be selected using either experimental or simulated correlations. An exemplary embodiment of how one selects the predetermined dew point temperature is described in United States Application Publication 2006/0272175A1, published Dec. 7, 2006 to Krishna P. Singh. These teachings are incorporated by reference. After the CPU 351 compares the measured dew point temperature to the predetermined dew point temperature, the CPU 351 then determines whether the measured dew point temperature is less than or equal to the predetermined dew point temperature. This comparison is performed for each signal received by the CPU 351. If the measured dew point temperature of the wetted helium gas exiting the canister is determined to be above the predetermined dew point temperature, the CPU 351 will continue to determine whether the timer 353 has been activated. If the timer 353 is activated, the CPU 351 deactivates the timer 353 and returns to receiving data signals for analysis. If the timer 353 is not activated, the CPU 351 returns to receiving data. Either way, if the measured dew point temperature of the wetted helium gas exiting the canister is determined to be above the predetermined dew point temperature, the drying system 300 continues to circulate the dry helium gas into and through the cavity 21 of the canister 20, thereby continuing Phase II drying. However, if the measured dew point temperature of the wetted helium gas exiting the canister is determined to be at or below the predetermined dew point temperature, the CPU 351 will activate/start the timer 353. The timer 470 is programmed to run for a predetermined time. The selection and purpose of the predetermined time will be discussed in greater detail below. Once the timer is activated, the CPU 351 proceeds to determine whether the timer 353 has expired (i.e., whether the predetermined time has passed) without receiving a data signal indicative of a measured dew point temperature above the predetermined dew point temperature. If this answer is NO, the CPU 351 returns to the beginning and the drying system 300 continues to circulate helium gas through the cavity 21 of the canister 20 and repeat the operations of steps discussed above until the predetermined time expires. In other words, the drying process continues until the measured dew point temperature of the wetted helium gas exiting the canister falls below (or equal to) the predetermined dew point temperature, and remains so for the predetermined time (without subsequently rising above the predetermined dew point temperature). By requiring that the measured dew point temperature of the wetted helium gas exiting the canister not only reach, but remain at or below the predetermined dew point temperature for the predetermined time, it is ensured that the cavity 21 and the SNF therein are sufficiently dried within an acceptable safety factor. This, along with the means for selecting the predetermined time, are described fully in United States Application Publication 2006/0272175A1, published Dec. 7, 2006 to Krishna P. Singh. These teachings are incorporated by reference. Once the predetermined time expires, and the measured dew point temperature remains at or below the predetermined dew point temperature for the entire predetermined time, the CPU 351 generates shut down signals that are transmitted to the system 300. Upon receiving the shutdown signals, the circulator 320 is deactivated and the flow of helium gas through the drying system is ceased. The valves 321, 322 are closed. The CPU 351 generates and transmits an activation signal to the alarm 354. Upon receiving the activation signal, the alarm 354 is activated. Depending on the type of device that is used as the alarm 354, the response of the alarm 354 to the activation signal can vary greatly. However, it is preferred that the alarm's 354 response be some type of audio and/or visual stimuli that will inform the operator that the canister 20 is dry. For example, activation of the alarm 354 can generate a sound, display a visual representation on a computer screen, illuminate an LED or other light source, etc. Upon being informed by the alarm 354 that the cavity 21 of the canister 20 and the SNF is sufficiently dried, the operator disconnects the drying system from the canister 20 and seals the canister 20 for storage. Referring now to FIG. 5, an FGD system, 500 according to second embodiment of the present invention is disclosed. The FGD drying system 500 is similar to the FGD drying system 100 discussed above in both structure and functioning. In order to avoid redundancy, only those aspects of FGD system 500 (and its functioning) that differ from the FGD system 100 will be discussed. The FGD system 500 essentially replaces the desiccant module 370 of FGD system 100 with a vacuum module 400, which can be a conventional vacuum pump. The vacuum module 400 is downstream of valve 321 and upstream of the canister 20. The vacuum module 400 is operably coupled to the fluid circuit and connected and disconnected through the valve 423. When using the FGD system 500, the Phase I drying of the canister 200 is performed in an essentially identical manner as described above for FGD system 100, wherein the vacuum module is isolated from the gas-circulation loop rather than the desiccant module. While the FGD system 500 utilizes vacuum pressure to perform the Phase II drying, it prevents the SNF cladding from heating up to unacceptable temperatures that may compromise the fuel cladding integrity. In prior art vacuum systems, in order for liquid water to be removed from the SNF canister, the canister must be held at a low vacuum level for an extended period while the liquid water boils off. The extended period of time when the fuel is surrounded by a near vacuum impedes removal of the decay heat from the fuel itself. However, in the FGD system 500 (and its method) the time in which the canister 20 is subject to vacuum pressure is very short compared to conventional methods. The FGD system 500 runs through the Phase 1 drying until all liquid water is removed as discussed above. The circulating helium gas keeps the SNF assemblies at a relatively low temperature during this process. The hygrometer 330 of the FGD system 500 is purely optional as it is only used for the determination of the completion of Phase I drying. It is not used in the Phase II operation. Once Phase I is complete with the FGD system 500, the valves 321, 322 are closed. The valve 423 is opened and the vacuum module 400 is activated, thereby creating a sub-atmospheric condition within the cavity 21. The vacuum module 400 preferably evacuates the cavity 21, and holds the cavity 21 at less than 3 torr for 30 minutes to verify cavity dryness. Once the time is completed, the cavity is backfilled with an inert gas by proper manipulation of the valves. Because there is no residual liquid water in the canister 20 after Phase I, the canister cavity 21 is rapidly evacuated (in 30 minutes or less) to a vapor pressure level below 3 torr without concerns about excessive water vapor flooding the vacuum system. Thus the time at low vacuum can be held to a period of less than 2 hours and therefore prevent unacceptably high fuel cladding temperatures. The foregoing discussion discloses and describes merely exemplary embodiments of the present invention. As will be understood by those skilled in this art, the invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. Specifically, in some embodiments, the drying method of the invention can be carried out manually. In such an embodiment, the pumps and all other equipment will be activated/controlled manually. The readings by the hygrometer and the accumulator can be visually observed by the operator and the timing sequence operations can be performed manually.
claims
1. A radiation therapy apparatus, comprising:an inner layer comprising:a radiation delivery device configured to emit primary radiation, anda primary radiation shielding device configured to receive the primary radiation; andone or more rails mounted on an inner surface of the inner layer, wherein the radiation delivery device and the primary radiation shielding device are disposed on the one or more rails; anda treatment table disposed inside the one or more rails, wherein the treatment table is configured to rotate 360 degrees around a vertical axis and the one or more rails are configured to rotate around the treatment table to deliver 4π steradians of radiation coverage to a patient positioned on the treatment table; andan outer layer comprising a secondary radiation shielding device integrated into the radiation therapy apparatus and configured to block secondary radiation, the inner layer movable in relation to the outer layer, the outer layer comprising a cylinder-shaped section. 2. The apparatus of claim 1, wherein the one or more rails are disposed in a circular shape around a horizontal axis. 3. The apparatus of claim 2, wherein, the primary radiation shielding device is disposed opposite the radiation delivery device. 4. The apparatus of claim 3, wherein the apparatus is configured so that the radiation delivery device and the primary radiation shielding device rotate around the horizontal axis in synchrony with each other. 5. The apparatus of claim 1, wherein the treatment table is configured to slide in a longitudinal direction inside the cylinder-shaped section. 6. The apparatus of claim 1, further comprising telescoping legs coupled to the treatment table, the telescoping legs attaching the treatment table to an inner surface of the outer layer. 7. The apparatus of claim 1, wherein the treatment table is configured to move up and down in a vertical direction inside the cylinder-shaped section via the telescoping legs. 8. The apparatus of claim 1, wherein the one or more rails are configured to slide in a longitudinal direction inside the cylinder-shaped section. 9. The apparatus of claim 1, wherein the outer layer further comprises one or more doors coupled to the cylinder-shaped section. 10. The apparatus of claim 1, wherein the primary radiation shielding device is configured to block at least 99.9% of radiation incident upon the primary radiation shielding device. 11. The apparatus of claim 1, wherein the secondary radiation shielding device is configured to block at least 99.9% of radiation incident upon the secondary radiation shielding device. 12. The apparatus of claim 1, wherein the cylinder-shaped section of the outer layer comprises a cylindrical tube having a closable opening at one end of the cylindrical tube. 13. The apparatus of claim 12, wherein the cylindrical tube comprises one or more doors coupled to the cylindrical tube for covering and opening the closable opening. 14. The apparatus of claim 13, wherein the one or more doors coupled to the cylindrical tube are configured to enable entry into the radiation therapy apparatus through the closable opening in preparation for radiation therapy. 15. The apparatus of claim 13, further comprising a table system disposed inside the radiation therapy apparatus on a horizontal axis at a longitudinal center of the outer layer. 16. The apparatus of claim 15, wherein the cylindrical tube further comprises a second closeable opening and one or more doors coupled to the cylindrical tube for covering and opening the second closable opening. 17. The apparatus of claim 16, wherein a first door covers and opens the closable opening and a second door covers and opens the second closable opening, the first door and the second door configured to enable entry into the cylindrical tube through the first door and exit from the cylindrical tube through the second door. 18. The apparatus of claim 17, wherein the table system comprises a treatment table guide along which the treatment table may slide within the cylindrical tube. 19. The apparatus of claim 18, wherein the treatment table moves longitudinally over the treatment table guide along a horizontal axis inside the one or more rails as the radiation delivery device and the primary shielding device rotate azimuthally on the one or more rails. 20. The apparatus of claim 1, wherein the steradians are solid angle units describing a direction of primary radiation applied to the patient disposed on the treatment table.
047633441
summary
TECHNICAL FIELD This invention relates to an apparatus for the production of X-rays for technological, scientific and medical purposes. BACKGROUND OF THE INVENTION For nearly a century X-rays for medical and technological use have been generated using bremsstrahlung and characteristic line emission. The intensity of this radiation is relatively weak for many commercial and medical applications. This is especially true for moving mechanical systems (e.g. gear trains) and biological tissue (e.g. arteries of the heart). In the past twenty years a brighter more collimated X-ray source from synchrotron emission has been used to generate both hard X-rays and soft X-rays for scientific and technological research. For example, very recent work using X-ray synchrotron emission from electron storage rigs offers the prospect of a new method of non-invasive coronary angiography (medical imaging of the arteries of the heart, see Hughes et al., "The application of synchrotron radiation to non-invasive angiography," Nuc. Instrum. Meth., vol. 208, p. 665, 1983). The high intensity and collimation of the synchrotron radiation permit the X-rays to be Bragg-diffracted so that only a narrow band of energies remain. The selected energy of the X-rays are subject to fine adjustment by small changes in the Bragg angle allowing digital subtraction of the X-ray images acquired at energies slightly above and below that of the iodine k-shell-photoabsorption edge at 33.16 keV, the iodine having been injected into the bloodstream intraveniously. This digital subtraction, called dichromography, substantially eliminates all image contrast due to other body structures and thereby achieves maximum contrast between the iodinated arteries and the surrounding tissue. Furthermore, when using the scanning method, the intensity of the synchronotron X-ray beams is such that the pairs of one-dimensional images, above and below the k-edge, can be recorded in a very short time. In this way, the prospect of visualizing the coronary arteries without motion artifacts is achieved. A conventional X-ray tube is generally not bright enough or collimated enough to achieve this kind of imaging in such a short time. Unfortunately, the large storage rings with periodic magnetic fields for the generation of synchrotron radiation are presently extremely expensive. Estimated costs for such facilities are between 10 and 25 million dollars. A cheaper source is clearly needed. Another source of X-rays is transition radiation from thin foils using electrons from high-current linear accelerators. Transition radiation occurs when charged particles encounter a sudden change in dielectric constant at the interface between dissimilar media (e.g. between a vacuum and a solid). Conservation of energy and momentum requires that a cone of X-rays be emitted. In the prior art transition radiation has only been applied to high-energy-particle detection. Previously only low-density foils were used (densities<2.25 gm/cm.sub.3), and, in order to raise the output photon frequency, the electron-beam energy was raised. For example, electron energies of 2 GeV or more were used with low-density foils such as mylar, lithium and beryllium. (see M. L. Cherry et al. "Transition radiation from relativistic electrons in periodic radiators," Phys. Rev. D vol. 10, pp. 3594-3607, December 1974.) Transition radiation has also been considered as a source of soft X-rays (photon energy<2 keV) using low density (.rho.<3 gm/cm.sup.3 ) foils for lithography (see M. A. Piestrup et al. "Measurement of transition radiation from medium energy electrons", Phys. Rev. A, vol. 32. pp. 917-927, August 1985). SUMMARY OF THE INVENTION In accordance with the preferred embodiments of the invention, an intense, well-collimated-X-ray source is provided which uses thin high-density foils and in some applications relatively moderate electron-beam energies to generate X-ray radiation. The radiation is achieved through transition radiation. The source produces X-rays having an energy greater than 2 keV corresponding to a frequency of maximum photon flux, hereafter the peak frequency .omega., and uses a number of foils M arranged as a succession of parallel elements to form a stack. The foils are constructed of a material having an atomic weight A, a atomic number Z, and a density .rho..gtoreq.3 gm/cm.sup.3, with each foil having a minimum thickness l.sub.2. The foils are held together by a holding device which maintains a spacing l.sub.1 between adjacent foils in the stack. An electron accelerator directs an electron beam towards the stack to create transition radiation, the electron beam having an energy ##EQU1## but less than 500 MeV, where E.sub.o is the electron rest energy, m.sub.e is the mass of the electron, N.sub.o is Avogadro's number, and e is the electron charge. All units are in the cgs system. A housing provides a controlled environment for the electron beam and the foil stack. To produce the desired characteristics of the transition radiation, the number of foils M.ltoreq.(0.5)2/.mu.l.sub.2, where .mu. is the absorption coefficient of the foil material at the frequency .omega.. Also, ##EQU2## where .lambda. is the wavelength of the X-rays at the peak frequency .omega., and where .gamma.=(1-.beta..sup.2).sup.1/2 where .beta. is the velocity of the electrons in the electron beam relative to the speed of light, and .omega..sub.p is the plasma frequency of the foil material. The spacing between the foils l.sub.1 is ##EQU3## if the housing provides a vacuum environment; and ##EQU4## if the housing provides a gas environment, where .omega..sub.pg is the plasma frequency of the gas. An objective of the invention is to make an economical X-ray source, as compared to a synchrotron emitter, in order to produce photon energies greater than 2 keV. To minimize the cost of construction and operation, the electron-beam energy is kept as low as possible. This is achieved by increasing the density of the foils. The photon emission falls off at the "cutoff" frequency, .omega..sub.c =E.omega..sub.p /E.sub.o (where E.sub.o is the electron rest mass, 0.511 MeV, .omega..sub.p is the plasma frequency of the foil material, and E is the energy of the electron beam). To keep .omega..sub.c as large as possible, while not increasing E, .omega..sub.p should be increased relative to the prior art values by going to high density materials since .omega..sub.p is proportional to the square root of the density. However, selection of higher density materials typically results in materials of higher atomic number Z. Since bremsstrahllung is also emitted by the foils and is proportional to the square of the atomic number, bremsstrahlung can be large if Z is chosen to be too high. Hence, in some embodiments it is important to minimize the bremsstrahlung since it has a flat spectrum from very long wavelengths to photon energies equal to that of the electron-beam energy. Otherwise, extremely hard X-rays would be produced at high Z which are not desired and are detrimental to the X-ray optics and other experimental apparatus directly in line with the X-ray flux. Thus for some applications it is important to select foil materials with thicknesses and densities that minimize the bremsstrahlung and maximize the transition radiation. Selection of materials of high density and moderate Z is therefore desirable in these situations. For example, iron (stainless steel) and copper foils are excellent candidates since they have comparatively high densities and moderate atomic numbers. High density foils which also have high Z such as gold or tungsten can be used in other embodiments if it is desirable to lower the electron beam energy further and if extremely hard bremsstrahlung contamination of the transition radiation spectrum does not matter. This would depend upon the X-ray optics and other experimental apparatus that might be effected by the extremely hard-X-ray emission. Also the photon flux from the transition radiation source can be further increased by designing on the low-frequency side of the k-shell-absorption edge of the foil material. In this frequency band, there is a dramatic decrease in absorption of the X-rays in the foils themselves, thereby allowing the passage of the X-rays through a greater number of foils. This is accomplished by choosing the thickness of the foils l.sub.2 to be: ##EQU5## where .omega..sub.k is the k-shell photoabsorption-edge frequency of the foil material.
summary
claims
1. A scintillator material comprising a single crystal having a composition of the formulaA3MBr6(1-x)Cl6x, x being greater than or equal to 0 and less than or equal to 1,wherein A consists essentially of Cs; andM consists essentially of Ce. 2. The scintillator material of claim 1, having a light output of at least about four times that of BGO when excited with a gamma-ray of 662 keV. 3. A radiation detector, comprising:a scintillator material of claim 1 adapted to generate photons in response to an impinging radiation; anda photon detector optically coupled to the scintillator material, arranged to receive the photons generated by the scintillator material and adapted to generate an electrical signal indicative of the photon generation. 4. An imaging method, comprising:using at least one radiation detector of claim 3 to receive radiation from a plurality of radiation sources distributed in an object to be imaged and generate a plurality of signals indicative of the received radiation; andbased on the plurality of signals, deriving a special distribution of an attribute of the object. 5. The scintillator material of claim 1, wherein x is greater than 0 and less than 1. 6. A scintillator material comprising a single crystal having a composition of the formulaAM2Br7(1-x)Cl7x, x being greater than or equal to 0 and less than orwherein A consists essentially of Cs, andM consists essentially of Ce. 7. The scintillator material of claim 6, having a light output of at least about four times that of BGO when excited with a gamma-ray of 662 keV. 8. A radiation detector, comprising:a scintillator material of claim 6 adapted to generate photons in response to an impinging radiation; anda photon detector optically coupled to the scintillator material, arranged to receive the photons generated by the scintillator material and adapted to generate an electrical signal indicative of the photon generation. 9. An imaging method, comprising:using at least one radiation detector of claim 8 to receive radiation from a plurality of radiation sources distributed in an object to be imaged and generate a plurality of signals indicative of the received radiation; andbased on the plurality of signals, deriving a special distribution of an attribute of the object. 10. The scintillator material of claim 6, wherein x is greater than 0 and less than 1. 11. A method of making a scintillator material, the method comprising:synthesizing a compound having a composition of the formulaA3MBr6(1-x)Cl6x, x being greater than or equal to 0 and less than or equal to 1,wherein A consists essentially of Cs andM consists essentially of Ce; andgrowing a single crystal from the synthesized compound using Bridgman method. 12. The method of claim 11, wherein the synthesizing step comprises heating a mixture of a plurality of halides above their respective melting temperatures. 13. The method of claim 11, wherein x is greater than 0 and less than 1. 14. A method of making a scintillator material, the method comprising:synthesizing a compound having a composition of the formulaAM2Br7(1-x)Cl7x, x being greater than or equal to 0 and less than or equal to 1,wherein A consists essentially of Cs; andM consists essentially of Ce; andgrowing a single crystal from the synthesized compound using Bridgman method. 15. The method of claim 14, where in the synthesizing step comprises heating a mixture of a plurality of halides above their respective melting temperatures. 16. The method of claim 14, wherein x is greater than 0 and less than 1. 17. A scintillator material comprising a single crystal having a composition of the formulaAM2Br7,wherein A consists essentially of Cs, andM consists essentially of Ce.
claims
1. A system for preventing fluid exchange between the interior and exterior of a containment enclosure during material transport, the system comprising:a) a materials transport tunnel wherein the materials transport tunnel comprises a cylinder adapted to be received by a region of the enclosure forming an aperture so that a hermetic seal exists between the cylinder and the aperture wherein the cylinder has continuous solid sides impervious to fluids; andb) a first canister coaxially positioned within, and in slidable communication with the cylinder such that a hermetic seal exists between the first canister and the enclosure and a forward end of the first canister is in contact with an interior atmosphere of the enclosure and an aft end of the first canister is not in contact with the interior atmosphere, wherein the first canister is adapted to pass completely through the tunnel;c) a second canister coaxially aligned with the first canister and contacting the aft end of the first canister. 2. The system as recited in claim 1 wherein the first and second canisters are simultaneously accommodated by the cylinder. 3. The system as recited in claim 2 wherein an airlock is formed between each of the canisters. 4. The system as recited in 2 wherein the first and second canisters each defines a central aperture and a longitudinally extending annular space circumscribing the aperture. 5. The system as recited in claim 4 further comprising an elongated flexible sleeve having a first end attached to a first end of the canister within the annular space and a second free end adapted to receive material located external of or internal of the enclosure. 6. The system as recited in claim 4 wherein a first end of the annular space is adapted to attach to a first end of a flexible tubular poly sleeve so as to reversibly stow the tubular poly sleeve within the annular space. 7. The system as recited in claim 1 wherein the first canister can be pushed through the cylinder independently of the second canister. 8. The system as recited in claim 1 wherein the aperture is a glove port formed in vertically disposed walls of the enclosure. 9. The system as recited in claim 8 wherein the glove port is 8″ in diameter and is formed in vertically disposed walls of a glove box. 10. The system as recited in claim 1 wherein tools, reagents, fluid canisters, aggregate material, and electronic componentry, may be transported via the first and second canisters into the enclosure. 11. The system as recited in claim 1 wherein the forward end is adapted to be pulled by a gloved hand of a user of the system.
claims
1. A method for recycling AglnCd control rod absorber bar material from a used control rod comprising an AglnCd absorber bar, the method comprising:sectioning the AglnCd absorber bar from a used control rod into a first section and a second section, the first section having a higher radioactivity than the second section; andrecycling the material of the second section of the AglnCd absorber bar, wherein the recycling the material of the second section of the AglnCd absorber bar includes reusing the second section in a new control rod. 2. The method as recited in claim 1 wherein the used control rod includes a cladding, the AgInCd absorber bar being in the cladding, and the sectioning including cutting the cladding. 3. The method as recited in claim 2 wherein the sectioning includes cutting the AgInCd absorber bar and the cladding together. 4. The method as recited in claim 1 wherein the control rod includes a cladding, the AgInCd absorber bar is located in the cladding in a plurality of bars, and the sectioning includes separating a first of the bars from another bar of the bars, the first bar defining the first section. 5. The method as recited in claim 4 wherein a length of the first bar is predetermined prior to use of the first bar in the control rod, the length being a function of analytical studies related to expected irradiation of the first bar during the use. 6. The method as recited in claim 1 wherein the sectioning includes cutting the AgInCd absorber bar into the first section and at least part of the second section. 7. The method as recited in claim 1 wherein the first section includes a lowermost portion of the AgInCd absorber bar of the used control rod. 8. The method as recited in claim 1 further comprising removing the used control rod from a spider prior to the sectioning step. 9. The method as recited in claim 1 wherein the sectioning step occurs while the control rod is attached to a spider. 10. The method as recited in claim 1 further comprising determining a radioactivity level at at least one location along the used control rod, the sectioning being a function of the determined radioactivity level. 11. The method as recited in claim 10 wherein a gamma scan is conducted along at least a portion of the used control rod exposed to a neutron flux in a nuclear reactor core, the sectioning being a function of the determined gamma scan. 12. The method as recited in claim 1 wherein the second section has a radioactivity level of less than or equal to 0.05 Curies/mm. 13. The method as recited in claim 1 wherein the first section has a length of 100 cm or less. 14. The method as recited in claim 13 wherein the wherein the first section has a length of 50 cm or less. 15. The method as recited in claim 14 wherein the wherein the first section has a length of about 10 cm. 16. The method as recited in claim 1 further comprising determining an expansion of the AgInCd absorber bar in a cladding at at least one location along the used control rod, the sectioning being a function of the expansion. 17. A recyclable section of AgInCd control rod absorber bar obtained as the second section in the method as recited in claim 1. 18. The method as recited in claim 1 further comprising providing the first section of the AgInCd absorber bar to a radioactive waste container. 19. The method as recited in claim 18 wherein the radioactive waste container is a storage container in a spent fuel pool.
claims
1. A method for removing a tile for fusion power reactor environments, the method comprising:rotating the tile, which is installed in a locked orientation in a manifold channel of a first wall of a fusion power reactor, until the tile is in an install/remove orientation,wherein the tile has a tile-support tube attached to a back portion of the tile, and the tile-support tube comprises at least one coolant channel that is perpendicular to the back portion of the tile;grasping, with a removal tool, the tile-support tube; andlifting the tile away from the first wall of the fusion power reactor with the removal tool such that the tile is completely removed from the manifold channel of the first wall of the fusion power reactor. 2. The method of claim 1, wherein the tile is rotated in a clockwise direction. 3. The method of claim 1, wherein the tile is rotated in a counter-clockwise direction. 4. The method of claim 1, wherein a plasma-facing portion of the tile is manufactured from tungsten (W). 5. The method of claim 1, wherein the back portion of the tile is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 6. The method of claim 1, wherein a surface of the back portion of the tile is coated with an electrically insulating material. 7. The method of claim 1, wherein the at least one coolant channel is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 8. The method of claim 1, wherein the at least one coolant channel is in a vertical orientation. 9. The method of claim 1, wherein the tile is fish-scale shaped. 10. A method for removing a machine-replaceable plasma-facing tile for fusion power reactor environments, the method comprising:providing a tile that is installed in a locked orientation in a manifold channel of a first wall of a fusion power reactor, wherein the tile has a tile-support tube attached to a back portion of the tile;rotating the tile until the tile is in an install/remove orientation;providing a tile-removal tool, wherein the tile-removal tool comprises an elongated handle and two tines, and wherein one end of each tine is connected to a first end of the elongated handle, and wherein a second end of the elongated handle is located opposite the first end of the elongated handle;rotating the second end of the elongated handle of the tile-removal tool such that the two tines are in an open state;inserting the two tines of the tile-removal tool between outer edges of the tile and the first wall of the fusion power reactor;rotating the second end of the elongated handle of the tile-removal tool such that the tines are in a closed state and grasp the tile-support tube; andlifting the tile away from the first wall of the fusion power reactor with the tile-removal tool such that the tile is completely removed from the manifold channel of the first wall of the fusion power reactor. 11. The method of claim 10, wherein the tile is rotated in a clockwise direction. 12. The method of claim 10, wherein the tile is rotated in a counter-clockwise direction. 13. The method of claim 10, wherein a plasma-facing portion of the tile is manufactured from tungsten (W). 14. The method of claim 10, wherein the back portion of the tile is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel. 15. The method of claim 10, wherein a surface of the back portion of the tile is coated with an electrically insulating material. 16. The method of claim 10, wherein the tile-support tube comprises at least one coolant channel. 17. The method of claim 16, wherein the at least one coolant channel is perpendicular to the back portion of the tile. 18. The method of claim 1, wherein the tile-support tube further comprises at least one guard vacuum region. 19. The method of claim 1, wherein the tile-support tube further comprises at least one vacuum seal. 20. The method of claim 1, wherein the tile-support tube is manufactured from international thermonuclear experimental reactor-grade (ITER-grade) stainless steel.
040574652
summary
BACKGROUND OF THE INVENTION This invention relates generally to nuclear reactors and more particularly to an auxiliary heat removal system for use in gas-cooled nuclear reactors. A nuclear reactor produces heat by the fissioning of a fissile material which is fabricated into fuel elements and assembled into a nuclear core. In gas-cooled nuclear reactors, the heat produced by the fissile materials is transferred to an inert gas such as helium or argon, which is then circulated, typically, through turbines, heat exchangers, and compressors before being returned to the nuclear core. The power output of the turbines is then converted into electrical power. The use of a nuclear reactor as a heat source introduces the need for a cool-down system to remove, residual heat. A nuclear reactor does not cease generating heat immediately upon being shut down. Delayed neutrons continue to cause fission to occur for a period of time after shutdown. For a longer period of time, dependent upon the reactor characteristics and prior operating history, the products resulting from the fission of the fuel continue to decay, and in decaying release energy. Additionally, the fuel elements themselves are often operated at temperatures above the level at which structural damage would occur if cooling were not provided. These abovementioned factors mandate the requirement that adequate cooling be provided for the nuclear core after the reactor is shut down, to remove the residual heat retained in the core. Typically, cool-down under normal conditions, such as for refueling, is achieved through the use of the normal circulatory system. The turbines, heat exchangers, and compressors continue operations until the desired temperature level of the nuclear core is attained. In the unlikely event of a emergency condition, it may not be possible for the normal circulatory system to remove the heat generated by the nuclear core. For example, one of the emergency conditions which is postulated, although its probability is extremely small, is the complete failure of the normal circulatory system to operate. In the event of such an occurrence, a separate system must be provided to remove the heat generated by the nuclear core after it has been shut down. In the prior art, at least two different systems have been proposed to remove the residual heat retained after reactor shut-down. One system involves installing a redundant system to provide circulation whenever the normal circulatory system is inoperable. This emergency system generally is dependent upon an external power source and external controls. As such, these external controls and the external power source must be operable under all possible emergency conditions, a difficult and expensive requirement. Another method utilized in the prior art is to remove the residual heat through convection circulation of the reactor coolant. Although this system removes the dependence upon an external power source, it has disadvantages. The natural convection circulation may not be adequate to remove sufficient heat from the nuclear core. Additionally, the use of the natural convection circulation system is dependent upon the reactor gravitational orientation. SUMMARY OF THE INVENTION The above-mentioned problems of the prior art are eliminated by this invention by providing an auxiliary heat removal system for use with gas-cooled nuclear reactors to remove residual heat generated by the nuclear reactor core without the necessity of an external power source of the use of convection flow. The hot gaseous reactor coolant is removed from the core and supplied to a gas turbine, through which the hot gas is expanded. From the gas turbine, the coolant is supplied to a means for removing heat from the coolant, where the gaseous coolant transfers its heat to a second coolant; flows to a compressing means; is compressed and is resupplied to the nuclear core. The gas turbine is mechanically coupled to, and drives both the means for compressing the gaseous coolant, and a pump which is utilized for circulating the second coolant. A pneumatic starter provides starting of the gas turbine upon the occurrence of an emergency condition. As such, the auxiliary heat removal system utilizes the residual heat produced by the reactor core to power the system for cooling the reactor core, and is not dependent upon an external power source.
054835720
abstract
Absorption filters are positioned in an x-ray examination apparatus according to the invention by adjusting them on the basis of the posture of the carrier supporting the x-ray source and the x-ray detector. To that end adjustment curves relating carder posture to filter adjustment are employed. The posture of the carder determines the orientation of the x-ray beam path. Because there are relatively few anatomical differences among patients to be examined, the adjustment of absorption filters on the basis of the beam orientation is quite adequate. Further improvement is achieved by providing sets of adjustment curves, each set pertaining to a class of patients, such as e.g. corpulent or slender patients, or infants or adults.
summary
claims
1. A method for radiation beam control performed by a radiation exposure system having a beam source, the method comprising:forming a variable thickness degrader to include a plurality of sections;providing, by the variable thickness degrader positioned between the beam source and an object to be exposed, varying degrees of degradation to a radiation beam emitted from the beam source onto the object; andreceiving and measuring, by a set of detectors positioned between the variable thickness degrader and the object, only a portion of the radiation beam remaining after the degradation of the radiation beam by the variable thickness degrader,wherein said forming step forms each of the plurality of sections so as to include a respective aperture for enabling an un-degraded portion of the radiation beam to pass there through onto the object. 2. The method of claim 1, wherein each of the plurality of sections has a respective one of a plurality of different thicknesses to provide a respective one of the varying degrees of degradation. 3. The method of claim 1, wherein each of the plurality of sections is formed from a respective one of a plurality of different materials to provide a respective one of the varying degrees of degradation. 4. The method of claim 1, further comprising forming the variable thickness degrader from one or more metals. 5. The method of claim 1, wherein the variable thickness degrader is arranged to reduce an amount of radiation exposure applied to the set of detectors to below a threshold amount. 6. The method of claim 1, wherein the set of detectors comprise a set of diodes. 7. The method of claim 1, further comprising calculating an amount of radiation exposure emitted by the radiation beam based on a current amount in the set of diodes. 8. The method of claim 1, wherein the set of detectors comprise a set of photomultiplier tubes. 9. The method of claim 1, wherein the degrader is formed to have at least a hexagonal shape. 10. The method of claim 9, further comprising varying a position of a portion of the variable thickness degrader that is exposed to the radiation beam from among a set of predetermined positions corresponding to the varying degrees of degradation. 11. The method of claim 1, further comprising connecting the set of detectors to a printed circuit board and arranging the set of detectors symmetrically around an aperture of the printed circuit board. 12. The method of claim 11, wherein the set of detectors comprise four detectors, and the method comprises arranging the set of detectors ninety degrees apart. 13. The method of claim 1, further comprising detecting and recording a flux of the radiation beam over time. 14. The method of claim 1, further comprising forming the variable thickness degrader to include stepped levels for modulating the degradation of the radiation beam by predetermined amounts. 15. The method of claim 1, further comprising forming the variable thickness degrader from various plates of stackable metal such that different plate combinations formed from the various plates provide different levels of degradation to the radiation beam. 16. The method of claim 1, further comprising controlling a position of the variable thickness degrader to obtain a particular one of the different levels of degradation.
abstract
A nuclear reactor seismic isolation assembly includes an enclosure that defines a volume; a plastically-deformable member mounted, at least in part, within the volume; and a stretching member moveable within the enclosure to plastically-deform the plastically-deformable member in response to a dynamic force exerted on the enclosure.
claims
1. A method, comprisinga) providing sugarcane billets of a predetermined thickness;b) irradiating the cut side of sugarcane billets with Ultraviolet-C or Ultraviolet-B light or combinations thereof at an intensity and for a duration of time sufficient to produce a significant increase in a level of one or more stilbenes in the irradiated billets compared to a level of stilbenes in billets that are not irradiated;c) maintaining the irradiated sugarcane billets for at least about three days up to about 20 days; andd) selecting the irradiated sugarcane billets. 2. The method of claim 1, wherein the irradiated sugarcane billets are maintained in step (c) at a level of light that does not cause stilbene isomerization. 3. The method of claim 1, wherein the sugarcane billets range from about 2 mm to about 50 mm in thickness. 4. The method of claim 1, wherein the intensity of UVB light ranges from about 10 mW/cm2 to about 50 W/cm2. 5. The method of claim 1, wherein the intensity of UVC light ranges from about 1 mW/cm2 to about 25 mW/cm2. 6. The method of claim 1, wherein the duration of irradiation ranges from about 10 minutes to about 3 hours. 7. The method of claim 1, wherein the stilbene is resveratrol. 8. The method of claim 1, wherein the stilbene is piceatannol. 9. The method of claim 1, wherein the temperature during step (b) is maintained at a temperature between about 20 degrees Centigrade to about 40 degrees Centigrade. 10. The method of claim 1, wherein the temperature during step (c) is maintained at a temperature between about zero degrees Centigrade and to about 40 degrees Centigrade. 11. The method of claim 1, further comprisinge) extracting one or more stilbenes from the irradiated billets after step (c). 12. The method of claim 1, wherein the stilbenes are in the trans form. 13. The method of claim 1, wherein the sugarcane billets are maintained in step (c) from about 7 up to about 20 days after irradiation. 14. The method of claim 1, wherein the sugarcane billets in step (a) are obtained from sugarcane that was inoculated with a fungus that increases stilbene production in the sugarcane. 15. The method of claim 14, wherein the fungus is Collectotrichum falcatum or Aspergillus sojae. 16. The method of claim 1, wherein the sugarcane is a member selected from the group consisting of the variety cv L 97-128, cv HO95 and cv LCP. 17. Sugarcane billets made by the method according to claim 1. 18. The sugarcane billets of claim 17, wherein the stilbene is resveratrol ranging from about 10 μg/g to about 500 μg/g. 19. The sugarcane billets of claim 17, wherein the stilbene is piceatannol ranging from 100 μg/g to 10,000 μg/g. 20. The sugarcane billets of claim 17, wherein the sugarcane is a member selected from the group consisting of the variety cv L 97-128, cv HO95 and cv LCP. 21. Bagasse obtained from the sugarcane billets of claim 17. 22. Biofuel made from the bagasse of sugarcane billets of claim 17. 23. A method comprisinga) providing sugarcane leaves of a predetermined thickness;b) irradiating the sugarcane leaves with Ultraviolet-C or Ultraviolet-B light or combinations thereof at an intensity and for a duration of time sufficient to produce a significant increase in a level of one or more stilbenes in the irradiated leaves compared to a level of stilbenes in leaves that are not irradiated; andc) maintaining the irradiated sugarcane leaves for at least about three days before selecting the irradiated sugarcane leaves. 24. Sugarcane leaves made according to the method of claim 23. 25. A method, comprisinga) providing sugarcane billets between 2 mm and 50 mm in thickness;b) maintaining the sugarcane for at least about three days up to about 20 days at a level of light that prevents stilbene isomerization at zero degrees Centigrade and to about 40 degrees Centigrade; andc) then selecting the sugarcane billets, wherein the billets are enriched in stillbenes. 26. The sugarcane billets made by the method of claim 25.
description
The illumination systems pursuant to the invention described below illuminate a segment of a ring field as shown in FIG. 1. An arc shaped field 11 in a reticle plane is imaged into a wafer plane by a projection objective. According to FIG. 1, the width of the arc shaped field 11 is xcex94r and the mean radius is R0. The arc shaped field extends over an angular range of 2xc2x7xcex10 and an arc of xe2x80x832xc2x7S0. The angle xcex10 is defined from the y-axis to the field edge, the arc length S0 is defined from the center of the field to the field edge along the arc at the mean radius R0. The scanning energy SE(x) at x is found to be the line integral over the intensity E(x,y) along the scan direction, which is the y-direction in this embodiment: SE ⁡ ( x ) = ∫ x = const xe2x80x83 ⁢ E ⁡ ( x , y ) ⁢ xe2x80x83 ⁢ ⅆ y in which E(x,y) is the intensity distribution in the x-y plane. Each point on the reticle or wafer contains the scanning energy SE(x) corresponding to its x coordinate. If uniform exposure is desired, it is advantageous for the scanning energy to be largely independent of x. In photolithography, it is desirable to have a uniform scanning energy distribution in the wafer plane. The resist on the wafer is very sensitive to the level of light striking the wafer plane. Preferably, each point on the wafer receives the same quantity of light or the same quantity of scanning energy. As described below, the scanning energy can be controlled by the design of the field lens group. By way of example, an EUV illumination system is shown in FIG. 2. In this embodiment a Laser-Produced-Plasma source 200 is used to generate the photons at xcex=13 nm. The light of the source is collected with an ellipsoidal mirror 21 and directed to a first mirror 22 comprising several rectangular mirror elements. The single mirror elements are called field facets, because they are imaged in an image plane 26 of the illumination system. In this embodiment the field facets are plane mirror elements in which each field facet is tilted by a different amount. The ellipsoidal mirror 21 images the light source 200 in an aperture stop plane 23. Due to the tilted field facets, the image of the light source is divided into several secondary light sources 201 so that the number of secondary light sources 201 depends on the number of tilted field facets. The secondary light sources 201 are imaged in an exit pupil 27 of the illumination system using a field mirror 24 and a field mirror 25. The location of the exit pupil 27 depends on the design of the projection objective, which is not shown in FIG. 2. In this embodiment, the field mirrors 24 and 25 are grazing incidence mirrors with a toroidal shape. The imaging of the field facets in the image plane 26 is influenced by the field mirrors 24 and 25. They introduce distortion to shape the arc shaped images of the rectangular field facets and to control the illumination intensity in the plane of the image plane 26, where a reticle is typically located. This will be further explained below. The tilt angles of the field facets are chosen to overlay the arc shaped images of the field facets at least partly in the image plane 26. The embodiment of FIG. 2 is only an example. The source is not limited to Laser-Produced-Plasma sources. Lasers for wavelength xe2x89xa6193 nm, Pinch Plasma sources, synchrotrons, wigglers or undulators for wavelength between 10-20 nm are also possible light sources. The collector unit is adapted for the angular and spatial characteristic of the different light sources. The illumination system does not need to be purely reflective. Catadioptric or dioptric components are also possible. FIG. 3 shows, in a schematic three-dimensional view, the imaging of one field facet 31 to an image plane 35. The beam path of this central field facet 31 located on the optical axis is representative of all other field facets. An incoming beam 300 is focused to a secondary light source 301 using the field facet 31. The field facet 31 is in this case a concave mirror element. The secondary light source 301 is spot-like if a point source is used. The beam diverges after the secondary light source 301. Without a field mirror 33 and a field mirror 34, the image of the rectangular field facet 31 would be rectangular. The imaging of the field facet 31 is distorted to produce an arc shaped field 302. The distortion is provided by the field mirrors 33 and 34. Two mirrors are necessary to produce the proper orientation of the arc. A reflected beam 303 is focused at the exit pupil of the illumination system using the field mirrors 33 and 34. The exit pupil is not shown in FIG. 3. The field mirrors 33 and 34 image the secondary light source 301 into the exit pupil. For real sources the secondary light source 301 is extended. To get a sharp image of the field facet 31 it is advantageous to image the field facet 31 into the image plane 35 using another mirror 32. The mirror 32 located at the secondary light source 301 is called a pupil facet and has a concave surface. Each secondary light source has such a pupil facet. FIG. 3 shows a light path for one pair of field facet 31 and pupil facet 32. In a case of a plurality of field facets 31, there is a corresponding number of pupil facets 32, which are located at the plane of the secondary light sources. In such a case, the plurality of mirror elements 32 forms another faceted mirror. The term xe2x80x9centenduexe2x80x9d refers to a phase-space volume of a light source. Pupil facets are necessary only for extended light sources, which have a high entendue value. In the case of a point source, the secondary light source is also a point, and a pupil facet would have no influence on the imaging. In FIG. 2 the source diameter of the Laser-Produced-Plasma source 200 is only 50 xcexcm, so the pupil facets are not required. For higher source diameters the mirror with the pupil facets is added at the aperture stop plane 23. To eliminate vignetting, the tilt angle of the mirror 22 with the field facets is increased. The field mirrors 24, 25, 33, 34 shown in FIGS. 2 and 3 form the arc shaped field 302, image the plane of the aperture stop 23 in the exit pupil plane 27 of the illumination system, and control the illumination distribution in the arc shaped field 302. As will be described in the following paragraphs, the imaging of the central field facet 31 shown in FIG. 3 is used to optimize the design of the field mirrors 33 and 34. The form of the images of other field facets is determined by a field lens group nearly in the same way as for the central field facet 31. Thus, the design of the field lens group, which in turn controls the scanning energy, can be optimized through the imaging of the central field facet 31. This facet can be considered as a homogeneously radiating surface. In the real system with all field facets homogeneity results from the superimposition of the images of all field facets. When optimizing the design of the field lens group, the goals include controlling the scanning energy, producing an arc shaped field, and imaging of the plane with secondary light sources to an exit pupil of the illumination system. The given components include a first mirror with field facets 31, a second mirror with pupil facets 32, a field lens group including mirror 33 and mirror 34, image plane 35 and an exit pupil plane (not shown in FIG. 3). The field lens group, in this case the shapes of mirror 33 and mirror 34, will be designed. Without the field lens group, the shape of the illuminated field in image plane 35 would be rectangular, the illuminated field would not be distorted, and there would be no pupil imaging. As a first step, the complexity of the process of designing the field lens group is reduced by considering the imaging of only the central field facet 31, rather than considering all of the facets. Facet 31 is imaged to image plane 35 using pupil facet 32. The design of the field lens group requires (1) controlling the scanning energy by introducing distortion perpendicular to the scanning direction, (2) producing an arc shaped field, and (3) imaging the secondary light sources 301 to the exit pupil of the illumination system. The field lens group only influences the field facet imaging by distorting this imaging. The main component of the field facet imaging is due to the pupil facet 32 (or to a camera obscura). As a second step, a simulation is constructed for all the field facets, the pupil facets and the field lens group designed in the first step. Normally, the field lens group influences the imaging of the other field facets in a manner similar to that of the imaging of the central field facet. If the imaging is not similar, the design of the field lens group must be corrected. Such corrections are typically small. A superimposition of the images of all field facets results in intensity homogeneity in the image plane. This is similar to the principle of a fly-eye integrator. Since the central field facet is representative of all field facets, design complexity is reduced by considering only the central field facet. To simulate the intensity distribution in the image plane only with a central light channel defined by field facet 31 and pupil facet 32, the central field facet 31 is regarded as a homogeneous radiating surface. FIG. 4 shows, schematically, an imaging of a rectangular field 41 on an arc shaped field 42 at an image plane of an illumination system. The rectangular field 41 can be a homogeneously radiating real or virtual surface in a plane conjugated to a reticle plane. FIG. 4 shows the correlation between rectangular field 41 and arc shaped field 42, and it also shows the orientation and definition of the coordinate system. The description of the scanning energy control, as set forth in the following pages, is independent of the design layout of the field facets or pupil facets. Accordingly, only a homogeneously radiating rectangular field is being considered. In FIG. 3, the rectangular field is given by central field facet 31. A length xw at the rectangular field 41 is imaged on an arc length s at the arc shaped field 42, and a length yw is imaged on a radial length r. The origin of the coordinate systems is the center of the field for the rectangular field 41 and the optical axis for the arc shaped field 42. When the field lens group consists of mirror(s) or lens(es) with anamorphotic power, for example toroidal mirrors or lenses, the image formation can be divided into two components xcex2s and xcex2rad: xcex2s:xwxe2x86x92s xcex2rad:ywxe2x86x92r wherein xcex2rad: radial imaging of yw on r xcex2s: azimuthal imaging of xw on s (xw, yw): horizontal and vertical coordinates of a field point on the rectangular field 41. (s,r): radial and azimuthal coordinates of a field point on the arc shaped field 42. Assuming a homogeneous intensity distribution Ew (x,y)=Ew0 in the x-y plane of the rectangular field, the intensity distribution Er (s,r) in the plane of the arc shaped field 42 is obtained by the influence of the field lens group. The index w below stands for the plane of the rectangular field, the index r below stands for the plane of the arc shaped field. If the azimuthal image formation xcex2s is free of distortion, the intensity distribution in the plane of the reticle is also homogeneous Er (x,y)=Er0. Since the scan path increases towards the edge of the field, the scanning energy SE(xr) in the plane of the reticle is a function of xr SE ⁡ ( x r ) = E r 0 ⁢ ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ x r ⁢ ⅆ y The following equation applies: SE ⁡ ( x r ) = E r 0 ⁢ ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ x r ⁢ ⅆ y = E r 0 · ( ( R 0 + Δ ⁢ xe2x80x83 ⁢ r 2 ) 2 - x r 2 - ( R 0 - Δ ⁢ xe2x80x83 ⁢ r 2 ) 2 - x r 2 ) For xcex94r less than R0 and xr less than R0, this equation can be expanded in a Taylor series, which is discontinued after the first order: SE ⁡ ( x r ) = E r 0 ⁢ ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ x r ⁢ ⅆ y = E r 0 · 1 1 - ( x r R 0 ) 2 The following parameters can be assumed for the arc shaped field 42 by way of example: R0=100.0 mm xcex94r=6.0 mm; xe2x88x923.0 mmxe2x89xa6rxe2x89xa63.0 mm xcex10=30xc2x0 With homogeneous intensity distribution Er0 the scanning energy SE(xr) rises at the edge of the field xr=50.0 mm, to SE (xr=50.0 mm)=1.15xc2x7SE (xr=0.0)=SEmax. The uniformity error produced is thus Uniformity ⁢ xe2x80x83 [ % ] = 100 ⁢ % · SE max - SE min SE max + SE min = 7.2 ⁢ % . The maximum scanning energy SEmax is obtained at the field edge (xr=50.0 mm), the minimum scanning energy SEmin is obtained at the center of the field (xr=0.0). With R0=200.0 mm xcex94r=6.0 mm; xe2x88x923.0 mmxe2x89xa6rxe2x89xa63.0 mm xcex10=14.5xc2x0 we obtain SE (xr=50.0)=1.03xc2x7SE (xr=0.0). The uniformity error produced is thus Uniformity ⁢ xe2x80x83 [ % ] = 100 ⁢ % · SE max - SE min SE max + SE min = 1.6 ⁢ % . The rise of the scanning energy toward the edge of the field is considerably smaller for larger radius R0 of the arc shaped field 42 and smaller arc angles xcex10. The uniformity can be substantially improved pursuant to the invention if the field lens group is designed so that the image formation in the plane of the reticle is distorted azimuthally, i.e., a location-dependent magnification is introduced. β S ⁡ ( x W ) = s x W It is generally true that the intensity of irradiation E is defined as the quotient of the radiation flux d"PHgr" divided by the area element dA struck by the radiation flux, thus: E = d ⁢ xe2x80x83 ⁢ Φ d ⁢ xe2x80x83 ⁢ A The area element for the case of the arc shaped field is given by A=dsxc2x7dr ds: arc increment. dr: radial increment. If the azimuthal image formation is distorted, the distorted intensity Erv in the plane of the reticle behaves as the reciprocal of the quotient of the distorted arc increment dsv divided by the undistorted arc increment dsv=0: E r V E r V = 0 = dr · ds V = 0 dr · ds V = 1 ds V ds V = 0 Since with undistorted image formation the arc increment dsv=0 is proportional to the x-increment dxw at the rectangular field 41 dsv=0xe2x88x9ddxw, it follows that E r V ∝ 1 ds V dx w The intensity Erv(xr) in the plane of the reticle can be controlled by varying the quotient ds V dx w . The relationship between scanning energy SE(xr) and azimuthal imaging magnification xcex2s is to be derived as follows: SE ⁡ ( x r ) = ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ x r xe2x80x83 ⁢ E ⁡ ( x r , y r ) ⁢ xe2x80x83 ⁢ ⅆ y The intensity E (xr,yr) can be written as the product of the functions g(r) and f(s). The function g(r) is only dependent on the radial direction r, the function f(s) is only dependent on the azimuthal extent s: E (xr,yr)=g (r)xc2x7f (s). For xcex94r less than R and xcex94r less than xr, g(r) should be independent of the x-position xr in the plane of the reticle and f(s) should be independent of the y-position yr in the plane of the reticle. Since s and xr, from sin ⁡ ( s R 0 ) = x r R 0 are directly coupled to one another, SE(xr) can also be written as a function of s: SE ⁡ ( s ) = ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ s ( x r ) ⁢ f ⁡ ( s ) · g ⁡ ( r ) ⁢ ⅆ y Since f(s) is independent of yr, it follows that: SE ⁡ ( s ) = f ⁡ ( s ) · ∫ Scan ⁢ xe2x80x83 ⁢ path ⁢ xe2x80x83 ⁢ at ⁢ xe2x80x83 ⁢ s ⁢ g ⁡ ( r ) ⁢ ⅆ y and since dr dy r = cos ⁡ ( s R 0 ) then: SE ⁡ ( s ) = f ⁡ ( s ) · 1 cos ⁡ ( s R 0 ) · ∫ - Δ ⁢ xe2x80x83 ⁢ r + Δ ⁢ xe2x80x83 ⁢ r ⁢ g ⁡ ( r ) ⁢ xe2x80x83 ⁢ ⅆ r The derivation of the distorted intensity ErV has shown the following proportionality for the function f(s): f ⁡ ( s ) ∝ 1 ⅆ s ⅆ x w Since ∫ - Δ ⁢ xe2x80x83 ⁢ r + Δ ⁢ xe2x80x83 ⁢ r ⁢ g ⁡ ( r ) ⁢ xe2x80x83 ⁢ ⅆ r is independent of s, it follow that: SE ⁡ ( s ) ∝ 1 ⅆ s ⅆ x w · cos ⁡ ( s R 0 ) Considering the coupling of s and xr, it follows that SE ⁡ ( x r ) ∝ 1 ⅆ x r ⅆ x w From the quotient ⅆ x r ⅆ x w the scanning energy can thus be set directly, with xr being the x-component of a field point on the arc shaped field 42 and xw being the x-component of a field point on the rectangular field 41. From a given curve of scanning energy SE(xr) or SE(s) in the plane of the reticle, the azimuthal imaging magnification xcex2s can be calculated with these formulas. SE ⁡ ( s ) = c · 1 ⅆ s ⅆ x w · cos ⁡ ( s R 0 ) ⅆ s ⅆ x w = c · 1 SE ⁡ ( s ) · cos ⁡ ( s R 0 ) x w = c xe2x80x2 · ∫ 0 s ⁢ SE ⁡ ( s xe2x80x2 ) · cos ⁡ ( s xe2x80x2 R 0 ) ⁢ xe2x80x83 ⁢ ⅆ s xe2x80x2 The constant cxe2x80x2 is obtained from the boundary condition that the edge of the rectangular field 41 at xwMax has to be imaged on the edge of the arc shaped field at Smax=S0. s(xw), and therefore the imaging magnification xcex2s (xw), is consequently known as a function of xw: β s = β s ⁡ ( x w ) = s ⁡ ( x w ) x w The aforementioned equation for the azimuthal magnification xcex2s is to be solved by way of example for constant scanning energy SE(xr) in the plane of the reticle. For constant scanning energy SE0 in the plane of the reticle, the azimuthal imaging magnification is derived as follows: x w = c xe2x80x2 · ∫ 0 s ⁢ SE 0 · cos ⁡ ( s xe2x80x2 R 0 ) ⁢ xe2x80x83 ⁢ ⅆ s xe2x80x2 = c xe2x80x3 · ∫ 0 s ⁢ cos ⁡ ( s xe2x80x2 R 0 ) ⁢ ⅆ s xe2x80x2 x w = c xe2x80x3 · [ sin ⁡ ( s xe2x80x2 R 0 ) ] 0 s = c xe2x80x3 · sin ⁡ ( s R 0 ) s ⁡ ( x w ) = R 0 · a ⁢ xe2x80x83 ⁢ sin ⁡ ( x w c xe2x80x3 ) and thus β s ⁡ ( x w ) = R 0 · a ⁢ xe2x80x83 ⁢ sin ⁡ ( x w c xe2x80x3 ) x w An illumination system will be considered below with: Rectangular field 41 in a plane conjugated to the plane of the reticle: xe2x88x928.75 mmxe2x89xa6xwxe2x89xa68.75 mm xe2x88x920.5 mmxe2x89xa6ywxe2x89xa60.5 mm Arc shaped field 42 in the plane of the reticle: xe2x88x9252.5 mmxe2x89xa6sxe2x89xa652.5 mm xe2x88x923.0 mmxe2x89xa6rxe2x89xa63.0 mm With the boundary condition s(xw=xe2x88x928.75)=52.5 mm the constant cxe2x80x3 is obtained as follows: cxe2x80x3=954.983, and thus β s = R 0 · a ⁢ xe2x80x83 ⁢ sin ⁡ ( x w 954.983 ) x w If the design of the field lens group generates this curve of the azimuthal imaging magnification, then a constant scanning energy is obtained in the plane of the reticle for the system defined above by way of example. With variation of the azimuthal magnification xcex2s, it is necessary for use in lithographic systems to consider that the field lens group, in addition to field formation, also determines the imaging of the secondary light sources, or the aperture stop plane, into the entrance pupil of the projection objective. This as well as the geometric boundary conditions does not permit an arbitrarily large distortion correction. The previously described uniformity correction is not restricted to the illumination system with a faceted mirror described by way of example, but can be used in general. By distorting the image formation in the reticle plane perpendicular to the scanning direction the intensity distribution, and thus the scanning energy distribution, can be controlled. Typically, the illumination system contains a real or virtual plane with secondary light sources. This is always the case, in particular, with Kxc3x6hler illumination systems. The aforementioned real or virtual plane is imaged in the entrance pupil of the objective using the field lens group, with the arc shaped field being produced in the pupil plane of this image formation. The pupil plane of the pupil imaging is, in this case, the plane of the reticle. Some examples of embodiment of illumination systems will be described below, where the distribution of scanning energy is controlled by the design of the field lens group. The general layout of the illumination systems is shown in FIG. 2. The optical data of the illumination system are summarized in table 1. The illumination system of FIG. 2 and Table 1 is optimized for a Laser-Produced-Plasma source 200 at xcex=13 nm with a source diameter of 50 xcexcm. The solid angle xcexa9 of the collected radiation is xcexa9≈2xcfx80. The mirror 22 with field facets has a diameter of 70.0 mm, and the plane field facets have a rectangular shape with x-y dimensions of 17.5 mmxc3x971.0 mm. The mirror 22 consists of 220 field facets. Each facet is tilted relative to the local x- and y-axis to overlay the images of the field facets at least partly in the image plane 26. The field facets at the edge of mirror 22 have the largest tilt angles in the order of 6xc2x0. The mirror 22 is tilted by the angle xcex1x=7.3xc2x0 to bend the optical axis by 14.6xc2x0. The aperture stop plane 23 in this example is not accessible. The first and second field mirrors 24 and 25 are grazing incidence mirrors. Each of them bends the optical axis by 160xc2x0. The field mirror 24 is a concave mirror, and the mirror 25 is a convex mirror. They are optimized to control the illumination intensity, the field shaping and the pupil imaging. In the following embodiments only these two mirrors will be replaced. Their position and tilt angle will always be the same. It will be shown, that by modifying the surface shape, it is possible to change the intensity distribution while keeping the pupil imaging and the field shaping in tolerance. The arc shaped field in the plane of the reticle 26 can be described by R0=100.0 mm xcex94r=6.0 mm; xe2x88x923.0 mmxe2x89xa6rxe2x89xa63.0 mm xcex10=30xc2x0 The reticle 26 is tilted by xcex1x=2.97xc2x0 in respect to the optical axis. The position of the exit pupil 27 of the illumination system is defined by the given design of the projection objective. A notable feature of the present invention is the asphericity of the mirror surfaces that provide a favorable uniformity of scanning energy on the one hand, and on the other hand a favorable telecentricity. While the asphericity of the mirror surfaces will be varied, the tilt angles and spacing of the mirrors are to be kept constant. The following examples are presented and compared with reference to the following parameters: Uniformity ⁢ xe2x80x83 [ % ] = 100 ⁢ % · SE max - SE min SE max + SE min SEmam: maximum scanning energy in the illuminated field. SEmin: minimum scanning energy in the illuminated field. maximum telecentricity error xcex94imax over the illuminated field in the reticle plane xcex94imax=[iactxe2x88x92iref]max in [mrad] iact: angle of a centroid ray with respect to the plane of the reticle at a field point. iref: angle of a chief ray of the projection objective with respect to the plane of the reticle at the same field point. The maximum telecentricity error xcex94imax will be calculated for each field point in the illuminated field. The direction of the centroid ray is influenced by the source characteristics and the design of the illumination system. The direction of the chief ray of the projection objective in the plane of the reticle depends only on the design of the projection objective. Typically the chief rays hit the wafer plane telecentrically. To get the telecentricity error in the wafer plane the telecentricity error in the reticle plane has to be divided by the magnification of the projection objective. Typically the projection objective is a reduction objective with a magnification of xcex2=xe2x88x920.25, and therefore the telecentricity error in the wafer plane is four times the telecentricity error in the reticle plane. geometric parameters of the first field mirror: Rx, Ry Kx, Ky geometric parameters of the second field mirror: Rx, Ry Kx, Ky Both field mirrors are toroidal mirrors with surface parameters defined in the x- and y-direction. R describes the Radius, K the conical constant. It is also possible to vary higher aspherical constants, but in the examples shown below only the radii and conical constants will be varied. 1st Example of Embodiment: For field mirrors with purely spherical x and y cross sections, the following characteristics are obtained: Uniformity=10.7% xcex94imax=0.24 mrad Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=0.0, Ky=0.0 Field mirror 2: Rx=xe2x88x921494.60, Ry=xe2x88x9224635.09, Kx=0.0, Ky=0.0 The curve of the scanning energy over the x direction in the plane of the reticle is plotted in FIG. 5 as a solid line 51. Because the system is symmetric to the y-axis, only the positive part of the curve is shown. The scanning energy is normalized at the center of the field at 100%. The scanning energy rises toward the edge of the field to 124%. The calculation takes into consideration only the imaging of one representative field facet, in this case the central field facet, which is assumed to be a homogenous radiating surface. However, this relationship is also maintained for the entire system, as shown by the result for all of the field facets in FIG. 6. The curves of FIG. 6 are the result of a simulation with a Laser-produced-Plasma source 200 and the whole illumination system according to FIG. 2. The solid line 61 represents the scanning energy for toroidal field mirrors of the 1st embodiment without conic constants. A comparison of the solid lines or the dashed lines of FIG. 5 and FIG. 6 shows similar characteristics, that is they are almost identical. The curves in FIG. 5 were calculated (1) by considering only a homogeneously radiating rectangular field, i.e., the central field facet, and (2) the Taylor series was discontinued after the first series. However, the curves in FIG. 6 are a result of a simulation with the real illumination system. It is apparent from a comparison of the curves of FIG. 5 and FIG. 6 that the theoretical model can be used to predict scanning energy distribution, including that of a multifaceted system, and that the following approximations are possible: Reduction of the problem to the imaging of a rectangular field, in this case the central field facet. xcex94r less than R: Discontinuation of the Taylor series after the first order. Systems comprising toroidal field mirrors in which the conic constants can be varied and in which the field mirrors are post-optimized, with their tilt angle and their position being retained, will be presented below. 2nd Example of Embodiment: Uniformity=2.7% xcex94imax=1.77 mrad Field mirror 1: Rx=xe2x88x92275.24, Ry=xe2x88x927347.29, Kx=xe2x88x923.813, Ky=xe2x88x92385.81 Field mirror 2: Rx=1067.99, Ry=14032.72, Kx=667.20, Ky=xe2x88x9225452.70 The dashed curve 52 in FIG. 5 shows the curve of scanning energy expected from the design for the central field facet; the curve scanning energy obtained with the entire system of all of the field facets is shown as dashed curve 62 in FIG. 6. The improvement of the scanning uniformity is obvious using the conical constants in the design of the field mirrors. The necessary surface corrections on the two field mirrors 24 and 25 of FIG. 2 are shown in the illustrations of FIG. 7 and FIG. 8 as contour plots. The mirrors are bounded according to the illuminated regions on the mirrors. The bounding lines are shown as reference 71 in FIG. 7 and reference 81 in FIG. 8. The contour plots show the sagitta differences of the surfaces of the first and second embodiment in millimeters. For the first field mirror 24 the maximum sagitta difference is on the order of magnitude of 0.4 mm in FIG. 7. There is also a sign reversal of the sagitta differences. For the second field mirror 25 the maximum sagitta difference is on the order of magnitude of 0.1 mm in FIG. 8. The second embodiment was optimized to get the best improvement of the scanning uniformity accepting an arising telecentricity error. The telecentricity violation of 1.77 mrad in the reticle plane of the second embodiment is problematic for a lithographic system. The following examples demonstrate embodiments in which the maximum telecentricity violation in the plane of the reticle is less or equal 1.0 mrad. The design shown in the example of embodiment 1 is the starting point for the design of the field mirrors in the following examples. In each example, different sets of surface parameters have been optimized. 3rd Example of Embodiment: Optimized parameters Rx1st mirror, Ry1st mirror, Kx1st mirror, Ky1st mirror, Rx2nd mirror,Ry2nd mirror, Kx2nd mirror, Ky2nd mirror. Uniformity=4.6% xcex94Imax=1.00 mrad Field mirror 1: Rx=xe2x88x92282.72, Ry=xe2x88x927691.08, Kx=xe2x88x922.754, Ky=xe2x88x92474.838 Field mirror 2: Rx=1253.83, Ry=16826.99, Kx=xe2x88x92572.635, Ky=xe2x88x9232783.857 4th Example of Embodiment: Optimized parameters Rx1st mirror, Kx1st mirror, Ky1st mirror, Rx2nd mirror, Kx2nd mirror, Ky2nd mirror. Uniformity=5.1% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92285.23, Ry=xe2x88x928391.89, Ky=xe2x88x922.426, Ky=xe2x88x92385.801 Field mirror 2: Rx=1324.42, Ry=24635.09, Kx=xe2x88x92568.266, Ky=xe2x88x9231621.360 5th Example of Embodiment: Optimized parameters Rx1st mirror, Kx1st mirror, Rx2nd mirror, Kx2nd mirror. Uniformity=5.1% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92280.08, Ry=xe2x88x928391.89, Kx=xe2x88x922.350, Ky=0.0 Field mirror 2: Rx=1181.53, Ry=24635.09, Kx=xe2x88x92475.26, Ky=0.0 6th Example of Embodiment: Optimized parameters Kx1st mirror, Ky1st mirror, Kx2nd mirror, Ky2nd mirror. Uniformity=6.0% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=xe2x88x922.069, Ky=xe2x88x92290.182 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=xe2x88x92503.171, Ky=xe2x88x921494.602 7th Example of Embodiment: Optimized parameters Kx1st mirror,Kx2nd mirror. Uniformity=7.0% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=xe2x88x921.137, Ky=0.0 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=xe2x88x92305.384, Ky=0.0 8th Example of Embodiment: Optimized parameters Rx1st mirror, Ry1st mirror, Kx1st mirror, Ky1st mirror. Uniformity=7.8% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92288.65, Ry=xe2x88x928466.58, Kx=xe2x88x920.566, Ky=139.337 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=0.0, Ky=0.0 9th Example of Embodiment: Optimized parameters Rx1st mirror, Kx1st mirror, Ky1st mirror. Uniformity=7.8% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92288.59, Ry=xe2x88x928391.89, Kx=xe2x88x920.580, Ky=111.346 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=0.0, Ky=0.0 10th Example of Embodiment: Optimized parameters Rx1st mirror, Kx1st mirror. Uniformity=8.1% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92288.45, Ry=xe2x88x928391.89, Kx=xe2x88x920.574, Ky=0.0 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=0.0, Ky=0.0 11th Example of Embodiment: Optimized parameters Kx1st mirror, Ky1st mirror. Uniformity=8.5% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=xe2x88x920.304, Ky=xe2x88x92290.182 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=0.0, Ky=0.0 12th Example of Embodiment: Optimized parameter Kx1st mirror. Uniformity=8.6% xcex94imax=1.00 mrad Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=xe2x88x920.367, Ky=0.0 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=0.0, Ky=0.0 The results for the various examples of embodiment are summarized in Table 2, with the optimized parameters designated with an xe2x80x9cxxe2x80x9d. Table 2 shows that field mirror 1 and field mirror 2 improve the scanning uniformity to almost the same extent, with the principal fraction of this being carried by the x parameters, which ultimately determine the azimuthal magnification scale xcex2s. While only static correction of uniformity was examined with the exemplary embodiments described so far, in which essentially only the surface was xe2x80x9cwarpedxe2x80x9d, an active variant of the invention will be described below. Actuation in this case can occur by means of mechanical actuators. A possible actuator can be a piezo-element at the rear side of a field mirror to vary the shape of the mirror by changing the voltage to the piezo-element. As stated above, great improvements of uniformity can be produced even when only the x surface parameters are changed. If only the conic constants in the x direction are varied, the sagitta differences have the same algebraic sign over the entire surface, which is advantageous for the surface manipulation. FIG. 9 and FIG. 10 show the sagitta differences between the field mirrors of embodiment #6 and embodiment #1. The conic constants in the x direction were varied here for field mirror 1 and 2. The maximum sagitta differences are 250 xcexcm for the first field mirror 24 and 100 xcexcm for the second field mirror 25. Uniformity is improved from 10.7% to 7.0% with an additional telecentricity violation of 1.0 mrad in the plane of the reticle. This telecentricity violation corresponds to 4.0 mrad in the plane of the wafer, if the projection objective has a magnification of xcex2=xe2x88x920.25. Accordingly the uniformity of scanning energy can be corrected by xc2x13.7% by active manipulation on the mirrors of the field lens group. When only the conic constants in the x direction are varied, the sagitta changes depend almost only on x. The lines with the same sagitta difference are nearly parallel to the y-axis, which is, in this example, the scanning direction. The sagitta distribution pfhref of the reference surfaces (1st embodiment) of the field mirrors can be described by: pfh ref ⁡ ( x , y ) = 1 R x · x 2 + 1 R y · y 2 1 + 1 - ( 1 R x ) 2 · x 2 - ( 1 R y ) 2 · y 2 x and y are the mirror coordinates in the local coordinate system of the mirror surface. Rx and Ry are the radii of the toroidal mirror. The sagitta distribution pfhact of the manipulated surfaces of the field mirrors can be described by: pfh ref ⁡ ( x , y ) = 1 R x · x 2 + 1 R y · y 2 1 + 1 - ( 1 + K x ) · ( 1 R x ) 2 · x 2 - ( 1 + K y ) · ( 1 R y ) 2 · y 2 Kx and Ky are the conical constants. For the sagitta difference xcex94pfh, this gives: xcex94pfh(x,y)=Pfhact(x,y)xe2x88x92pfhref(x,y) In Embodiment #1: Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=0.0, Ky=0.0 Field mirror 2: Rx=xe2x88x921494.60, Ry=xe2x88x9224635.09, Kx=0.0, Ky=0.0 In Embodiment #6: Field mirror 1: Rx=xe2x88x92290.18, Ry=xe2x88x928391.89, Kx=xe2x88x921.137, Ky=0.0 Field mirror 2: Rx=1494.60, Ry=24635.09, Kx=xe2x88x92305.384, Ky=0.0 Preferably, the actuators or mechanical regulators are placed on the mirrors on equipotential lines 92, 102 (sites of equal sagitta difference). In the example of embodiment #6, these rows of identical actuators run almost parallel to the y axis, and therefore, it is unnecessary to control a two-dimensional field of actuators, but it suffices to control only a row of different actuator banks. For example, on the second field mirror an arrangement of actuator rows can be proposed as shown in FIG. 11. The second field mirror is shown in the plan view (x-y-view) at the top and side view (x-z-view) at the bottom of FIG. 11. In the plan view the actuator beams 5xe2x80x2, 4xe2x80x2, 3xe2x80x2, 2xe2x80x2, 1xe2x80x2, 0, 1, 2, 3, 4, 5 are arranged along equipotential lines. Because of the symmetry regarding the y-axis the corresponding actuator beams 5 and 5xe2x80x2, or 4 and 4xe2x80x2, or 3 and 3xe2x80x2, or 2 and 2xe2x80x2, or 1 and 1xe2x80x2 can be activated with the same signal. The actuators in the plan view are represented by lines, and in the side view by arrows. An industrial implementation would be to design the entire row of actuators as actuator beams 5xe2x80x2, 4xe2x80x2, 3xe2x80x2, 2xe2x80x2, 1xe2x80x2, 0, 1, 2, 3, 4, 5. When the beam is actuated, the entire row of actuators is raised or lowered. The distances between the actuator beams can be chosen dependent on the gradient of the sagitta differences. For high values of the gradient a dense arrangement of the actuator beams is necessary, for low values of the gradient the distances can be increased. In the example of FIG. 10 the gradient of the sagitta differences is high at the edges of the illuminated field, so more actuator beams are at the edge of the field than in the center as shown in FIG. 11. An active correction of uniformity can be accomplished as follows using the actuators described above. The curve of scanning energy SEstandard(xr) in the plane of the reticle is established based on the geometric design of the field lens group. Now the scanning energy SEwafer(xwafer) in the plane of the wafer is measured, including all coating, absorption, and vignetting effects. For the lithographic process, SEwafer(xwafer) has to be independent of the x-position xw in the plane of the wafer. If this is not the case, the xw-dependent offset has to be addressed by the illumination system. Since the imaging of the reticle plane to the wafer plane is almost ideal imaging, SEwafer(xwafer) can be converted directly into the plane of the reticle SEwafer(xr) using the given magnification of the projection objective. If the design reference SEstandard(xr) and the measured distribution SEwafer(xr) are normalized at 100% for xr=0.0, then the necessary correction of the surfaces of the field mirrors can be calculated from the difference SEDesakt(xr): SEDesakt(xr)=SEwafer(xr)xe2x88x92SEStandard (xr SEDesakt(xr) determines the azimuthal magnification xcex2s, and from this the necessary corrections for the field lens group. If there is a difference SEDesakt(xr) between the target SEstandard(xr) and actual values SEwafer(xr) due to time-dependent or illumination setting-dependent effects for example, the uniformity of the scanning energy can be corrected by the actuators described above within certain limits. Up to xc2x12.5% uniformity can be corrected with one manipulable field mirror, and up to xc2x15.0% with two manipulable field mirrors. In case of static deviations, e.g., deviations from coating effects, absorption effects, etc., which are known in the design phase, these effects can be taken into consideration in a modified field lens group design, and correction with actuators is then unnecessary. Intensity loss-free control of scanning energy is achieved by the present invention, where the field-dependent scan path, the coating, absorption, and vignetting effects, if known, can be taken into account in the static design of the field lens group. Furthermore, the invention proposes dynamic control with active field mirrors for time-dependent or illumination setting-dependent effects. If a telecentricity error of xc2x14.0 mrad is allowed in the plane of the wafer, the uniformity correction can be up to xc2x15%. In FIG. 12 a projection exposure system comprising an Laser-Produced-Plasma source as light source 120, an illumination system 121 corresponding to the invention, a mask 122, also known as a reticle, a positioning system 123, a projection objective 124 and a wafer 125 to be exposed on a positioning table 126 is shown. The projection objective 124 for EUV lithography is typically a mirror system with an even number of mirrors to have reticle and wafer on different sides of the projection objective 124. Detection units in a reticle plane 128 and in a wafer plane 129 are provided to measure the intensity distribution inside the illuminated field. The measured data are transferred to a computation unit 127. With the measured data the scanning energy and scanning uniformity can be evaluated. If there is a difference between the predetermined and the measured intensity distribution, the surface corrections are computed. The actuator drives 130 at one of the field mirrors are triggered to manipulate the mirror surface. It should be understood that various alternatives and modifications could be devised by those skilled in the art. The present invention is intended to embrace all such alternatives, modifications and variances that fall within the scope of the appended claims.
050911449
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The present invention deals with containment structures/spaces for nuclear reactor systems of the boiling water reactor type although same could be used in other types of nuclear reactor installations. Described below is such a system, the system embodying operating procedure and means for effecting same that continuously are involved in normal system operation, but with the happening of a LOCA, respond fully and simply to control the incident without compromise of the effectiveness of event mitigation heretofore expected of heavier, more complex and expensive containments. Referring to FIG. 1, containment structure 10 comprises a lightweight containment building in which is contained a nuclear reactor, the nuclear reactor including a pressure vessel 12 and a reactor core 14 disposed within the pressure vessel and used to create the energy from and with which steam is generated in the pressure vessel, the main expanse of space within the containment being understood as constituting a containment drywell 32 more about which will be discussed later. The nuclear reactor is a BWR type and it includes some components which are not shown in the drawing, for example, a control rod assembly, but which one skilled-in-the-art recognizes as being a necessary component in the depicted environment. The pressure vessel has appurtenant thereto, a main steam line 18 which in the particular embodiment of system here considered, penetrates the boundary of the containment and course to a turbine unit (not shown) for power conversion of generated steam to other useful forms of energy, there being the tandem presence of a feedwater line 20 penetrating the containment and returning a feedwater supply of elsewhere condensed and deaerated condensate recovered from the turbine steam exhaust side. Since these lines penetrate the containment, they include therein, shut off or isolation valves 22 located at each side of the containment boundary, the valve 24 in the feedwater line being a check valve. Where these lines penetrate the containment, the joints of line pass through are pressure tight barriers barring flow through the joint in keeping with the common practice of optimized isolation construction precluding possibility of LOCA fluid outflow from the containment. As will be understood though, the present invention lessens the degree of joint resistance to flow-through requirements here involved since LOCA pressures in the containment will not identify with the levels for which prior containments have been designed. Within thhe containment structure 10, other structure defining a suppression pool in which may be confined a suppression pool of water 26 is provided, this other structure in a particular form as shown, including the containment as a part and a further encircling wall 28 which is topped with a ceiling wall 30 that separates the drywell environment 32 of the containment from the headspace or wetwell space 34 situated above a level 36 of the water in the suppression pool. The encircling wall 28 includes a number of openings 38 therethrough which provide communication of the water pool 26 and a channel 37, e.g., of annular shape defined by the outer surface of wall 28, and the outer surface of a, e.g., cylindrical drywell vent duct member 40. In the depicted containment, the drywell includes a lower space region 42 and the pressure vessel is partly disposed in region 42 and in position wherein the duct member 40 encircles it. As will be seen, the flow path communicating the drywell space with the wetwell includes the channel 37 so that on occurrence of a LOCA, the heated fluid released into the drywell space must flow into the suppression pool via channel 37. Such heated fluid will include steam, water vapor, nitrogen normally inerting the drywell, as well as noble gases issuing from the core material. Additionally, fission particles will carry over as an entrainment in the heated fluid. The vent duct member 40 is sized to define a channel 37 sized to insure that pressure in the drywell space 32 never will rise significantly during release of heated fluids into the drywell space during a LOCA. The containment 10 also is penetrated by an exhaust conduit 46, communicating at an entry thereto as at 48 with the wetwell and thence coursing away fom and upwardly of the containment for some distance so that an outlet end of the conduit as at 50 is elevated some distance above the containment, this outlet discharging flow to the atomsphere. Another penetration is represented by one or more inlets 52 which communicate the wetwell with outside air as a source flow of ventilating air that enters and is exhausted from the wetwell as will be described in some more detail later. The inlets 52 are provided, e.g., as devices which during normal system operation are open, but on advent of a LOCA and where wetwell space pressure increases above a predetermined value, the inlets close to prevent blowout of wetwell space gases and entrained fission particles to the atmosphere. The inlets can in one form, be check valves under a predetermined loading The conduit has interposed therein, a forced draft fan 54, and a fan control unit 56 also can be provided, as can a conduit fan bypass leg 57. Conduit 46 also has additional components disposed therein downstream of the fan location. These include a gas treatment unit 58 and an additional gas treatment unit 60, each of which is described in more detail below. Further downstream of the treatment units, the conduit assumes a terminal section length configured as a stack 47, a stack being beneficial to draft creation and particularly so since the system can or, if necessary operate on a natural draft basis. During normal system operation, a sweeping ventilation flow in the wetwell is continuously sustained. Thus any gas mixture (normally air) will be exhausted from the wetwell space and an inflow of atmospheric air from a source thereof enters the wetwell space. This normal ventilation flow will be low level and the operation of fan 54 will be at a handling rate reflecting this. During normal state operation, the drywell space is not affected as to its normal atmosphere, although circulation flow of the drywell atmosphere as a drywell temperature control expedient may be practiced. With normal operation, exhaust from the wetwell space will transit the conduit 46 and outlet therefrom, the gas having passed through the treatment units not withstanding that fission particles may not be present in the gas mixture. With the happening of LOCA, e.g., as might be a break in the main streamline at or proximal the pressure vessel or a rupture in the pressure vessel shell, the drywell will be invested with highly heated fluid accompanied with pressure increase in the drywell space. But this heated fluid will vent to the suppression pool wherein the condensable fraction of the fluid will condense and be taken up in the pool water. The non-condensable gasses will be cooled in the pool but will vent to the wetwell space. Further, the inflow to the suppression pool is scrubbed and significant transfer of fission particles to the pool water will take place although some particulate form fission product can be expected to carryover to the wetwell as entrainment in the non-condensable gas mixture vented thereto. The wetwell space pressure (which during normal operation is about atmospheric pressure) will also increase but this pressure will not unduly rise as the pressurized non-condensable gasses will vent from the wetwell space through the conduit 46. Under the circumstances of the LOCA, fan unit 54 may continue to operate, or it may be depowered to freely rotate under impetus of venting gas flow, or if the velocity of the venting gas from the wetwell space would cause unsafe rotational fan speed, the venting gasses can be bypassed around the fan through conduit bypass leg 57. This bypass leg can be self-initiated by using a spring-loaded check valve 59 in leg 57 set to operate open when wetwell space pressure exceeds a preset value and one that represents danger to the fan unit. Other means of effecting diversion of non-condensable gas flow through the leg also could be employed. In dealing with the venting of the wetwell space during a LOCA, the conduit is sized to handle the expected venting flow to insure that the drywell space pressure does not exceed about one atmosphere gauge pressure. Further, the conduit is also designed to maintain non-condensable gas outflow therethrough that is consonant with achievement of optimized filtering efficiency in regard to the operatoin of the gas treatment units 58, 60. Radioactive particle detecting sensor 62 located in the drywell space can be connected to fan control unit 56 to operate fan 54 in special manner attending special circumstances, e.g., when it is desired to speed up removal of wetwell ventilation rate after the LOCA to aid in clearing the containment for worker entry as well as operating the fan in such manner needed to maximize wetwell habitability. From the foregoing, it is seen that drywell pressure can be limited during a LOCA to be not more than about one atmosphere gauge and in this manner, containment structure can be made lightweight in comparison to past practice. The gas exhausted from the wetwell space 34 on passing downstream of fan unit 54 will enter the gas mixture treatment unit 58 wherein any fission particulates as carried over from the suppression pool are separated from gas stream. Unit 58 for such purpose comprises a filter containing gravel as a medium by which the fission particles are caused to be plated out, absorbed or otherwise removed from the gas mixture. The gravel can, e.g., be of pebble size. Another separating agent can be particulate size zeolite or synthetic zeolite. Other forms of treatment unit and treatment agent can also be used and as depicted in FIG. 5. The treatment unit therein depicted is a foraminous or screen member 66 which during a LOCA event is continuously wetted with a fission particle absorbing solution, for example, a solution of sodium thiosulfate. The screen member 66 can have the catenary profile characteristic of this type of filter device. In normal operation, no screen wetting is needed or provided. However on happening of a LOCA, radiation sensor 68 signals a control valve 70 at a stock tank of sodium thiosulfate to open so that same can flow in wetting cascade onto the screen member 66. While the treatment units 58 or 66 are effective for fission particle removal from the gas stream, they are not able to deal with noble gas removal from the gas stream. Noble gas removal is the function of the additional gas mixture treatment unit 60. This unit can comprise a housing packed with particulate form charcoal through which the gas stream flow is directed so that the noble gases are adsorbed by the charcoal. Under normal system operation, the charcoal is maintained at general environment temperature level. However on advent of a LOCA and to enable the charcoal to better deal with removal of noble gases, it may be necessary to cool the charcoal to a cryogenic temperature level. One manner of doing this is to flow liquified nitrogen gas through the treatment unit. In one form, the unit 60 has charcoal packed therein and a mass of closely arrayed tubes passes through the charcoal. On happening of a LOCA, radiation sensor 70 signals valve 72 to open and allow liquid nitrogen to flow from a tank thereof to treatment unit 60 wherein the liquid nitrogen enters and passes through the closely arrayed tubes but these being so numerous and close to each other and the charcoal, that the charcoal can readily be cooled to cryogenic or near cryogenic condition. Exhaust conduit 46 will for major length thereof and leading to the ultimate outlet point, be a stack structure 47 tapering inwardly in the usual manner as this shape enhances gas flow velocities. Increasing velocity of the gas stream facilitates high lift of the gas into the atmosphere. As noted earlier, gas flow need not be induced by forced draft means. It could in many instances be a natural draft induced flow. During a LOCA, wetwell space pressure will be above zero atmosphere gauge by some measure of, e.g., up to about one atmosphere gauge, pressure sufficient to produce the required draft inducing pressure differential between the wetwell space and the atmospheric pressure at the outlet of conduit 46. Where natural draft ventilation is practiced, and as an additional draft promotion source in a forced draft system, a torch unit 76 as shown in FIG. 1 is mounted interiorly of the stack, this torch unit being connected with a source 78 of a fuel. Upon a LOCA happening in a natural draft system or in a forced draft system where a fan failure occurs, e.g., due to a power low attending the LOCA, a radiation sensor 80 can signal the valve 82 to open and allow fuel flow to the torch. Ignition of the fuel can be supplied by a battery powere igniter and the result is a combustion of fuel in the stack with release of thermal energy to increase draft level. It was noted earlier that prior containments have the disadvantage that commonly only the reactor vessel is present in the containment and as a consequence numerous penetrations of the containment must be made for steamlines, feed water lines and other devices associated with other components such as turbine units, condensers, feedwater pumps, etc. The present invention allows for confinement of these other components in the same drywell space as the reactor, principally because in reducing to below about one atmosphere gauge pressure, any drywell space pressure expected to ensue during a LOCA, the containment structure can be made lightweight and hence readily enlarged structurewise to accommodate in a single space these operating components. Further amplification on this point will be given next and with reference to FIGS. 2-4. Where applicable in FIGS. 2-4 elements common to the FIG. 1 containment are referenced with the same numerals prefaced with a "1". Referring to FIGS. 2-4, containment structure 110 is elongated and laterally widened reflecting the space provision therewith provided for reception in the structure enclosed drywall 132 of the pressure vessel 112 containing core 114, turbine units 81, condensers 83 to which the turbines exhaust steam and feedwater equipment including pumps 84, feedwater lines 120 for returning condensate to the pressure vessel, and main steamline 118. The containment structure includes a structure part defining a suppression pool housing containing a pool of water 126, there being a water level 136 above which is wetwell space 134. The wetwell space 134 communicates with the drywell space 132 via pipes 86 having entry ends in the drywell and outlet ends submerged in pool 126. During a LOCA, the drywell will vent heated fluids to the suppression pool through these pipes 86. The wetwell space contains atmospheric air inlets 152 disposed at one end thereof, and at the opposite end, an exhaust pipe 146 has inlet entry thereto in the wetwell space as at 148. Adjacent the wetwell housing structure, which is a part of the containment, is a bay section 88 which is not. This bay section 88 provides space for holding forced draft fan 154, gas mixture treatment units 158, 160 and the continuation section of exhaust pipe 146 that connects in flow communication with the stack 147. During normal operation, continuous ventilation flow sweeping out of the wetwell space is maintained. On happening of a LOCA, venting of non-condensable gasses from the wetwell space and post wetwell handling of them will be in the same manner as given above in connection with the FIG. 1 containment and to the extent to insure drywell space pressure stays at a level below about one atmosphere gauge. One skilled-in-the-art readily sees the advantage of this containment and the benefit derived from being able to contain all the operating components shown in a single lightweight structure, not the least being elimination of containment boundary penetration by pipes etc used with the components. The containment 110 also embodies a fuel storage pond of water 92, and a pressure vessel shielding water pool 94 separated from pond 92 by a leak-tight gate 96, both such being of use purpose and construction known in the art. As one skilled-in-the-art will readily appreciate, inlets 52, 152 and bypass leg check valve 57 are denominated as such herein in generic sense of being selectively flow blocking or flow passing means depending on given system functions and needs. It should be understood that actual system embodiments of same can be constituted as dampers and the like and various controlling devices can be connected therewith to effect movements of same to open or closed positions as required. Having described preferred embodiments of the invention with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various changes and modifications may be effected therein by one skilled in the art without departing from the scope or spirit of the invention as defined in the appended claims.
description
This application claims priority to U.S. Provisional Application No. 62/796,377, filed Jan. 24, 2019 and entitled “Collimator System,” which is hereby incorporated by reference. The following description relates to collimating a beam. Söller collimators have been used in conventional systems to collimate beams of neutrons and x-rays. A typical Söller collimator consists of a number of thin parallel plates (often referred to as “Söller slits”) that are fabricated out of neutron (or x-ray) transmitting material and coated with a neutron (or x-ray) absorber material. For example, a Söller collimator for neutrons may be achieved by stacking single crystal silicon wafers coated with gadolinium. Extensions have been demonstrated such as a 2D design using microchannel plates, a Söller collimator based on a honeycomb design, and a multichannel collimator design. In these conventional designs, the length and the spacing of the Söller slits determine the amount of beam collimation. In some aspects of what is described here, a collimation process includes preparing a beam in a well-defined polarization state, mapping the transverse momentum onto polarization, and polarization-filtering the beam to obtain a collimated neutron beam. In some examples, such a process may be implemented by a collimator system that includes a polarizer, and a collimator subsystem. In some instances, the collimator subsystem includes a gradient system, and an analyzer. In various applications, the collimator systems can be implemented as a neutron collimator (that collimates neutron beams), as a photon collimator (that collimates photon beams), or as another type of collimator. The collimator system can collimate a beam based on mapping the transverse momentum onto polarization, and polarization-filtering the beam. In some implementations, the collimator systems and techniques described here can be used in neutron radiography. For example, the collimator systems and techniques described here may be used to improve or otherwise modify existing neutron imaging techniques to allow real-time neutron imaging with high spatial-temporal resolutions at low cost in applications such as, for example defect detection, medical imaging, and materials characterization. Further, in some implementations, the collimator systems and techniques described here can provide several important advantages and improvements over conventional approaches. In some cases, a higher intensity output is produced (e.g., compared to some conventional collimators). For instance, a larger portion of the beam intensity may be preserved compared to conventional systems (e.g., compared to Söller collimators that remove a large portion of the desired beam intensity), resulting in a collimated beam of higher intensity. In some cases, the systems and techniques described here can be used for in-situ variable collimation and may be adapted for various types of particle beams and photon beams. FIG. 1A is a flow diagram showing aspects of an example collimation process 100. The example collimation process 100 can be performed, for example, by a collimator system. For instance, operations in the collimation process 100 may be performed or implemented using the components in the collimator systems 200, 400 and 600 shown in FIGS. 2, 4, and 6, or another type of system. The example process 100 may include additional or different operations, including operations performed by additional or different components, and the operations may be performed in the order shown or in another order. At 102, a beam is prepared. In some implementations, the beam may contain particles such as neutrons, photons or other particles. In some cases, the beam is nonpolarized. In some implementations, the beam is prepared or modified during operation 102 to have a well-defined polarization state. For example, the beam may be prepared by passing an input beam through a polarizer. In some examples, the polarizer may contain a spin filter for neutrons or an optical polarizer for photons. In certain examples, the polarizer converts the beam to a polarized beam by filtering out particles that have polarization directions not aligned with the polarization direction of the polarizer. In some implementations, the beam exiting the polarizer may have a well-defined polarization state. At 104, transverse momentum of particles in the beam is mapped onto polarization degrees of freedom of the particles. The divergence angle of the beam is determined by the transverse momentum distribution of the particles in the beam. Here, the transverse momentum of a particle is the component of momentum that is perpendicular to the primary propagation direction of the beam. In some implementations, the polarization state of the particles with non-zero transverse momentum may be modified during operation 104 by introducing a phase shift to the polarization degree of freedom of the respective particle. In some examples, the phase shift to the polarization degree of freedom of a respective particle in the beam is directly proportional to the transverse momentum of the respective particle in the beam. In some examples, operation 104 may be performed by a gradient system which may be implemented by various techniques in order to introduce a phase shift to the polarization degree of freedom that is proportional to the transverse momentum. In some implementations, the gradient system may be implemented by a sequence of magnetic field gradients 204 as shown in FIG. 2, a pair of triangular coils 404 as shown in FIG. 4, or a pair of birefringent prisms 604 as shown in FIG. 6. At 106, the beam is then filtered. In some implementations, the beam of particles with phase shifts to the polarization degree of freedom may be further filtered to produce a collimated beam. In certain implementations, the modified beam may be polarization filtered by an analyzer. In some examples, the analyzer may contain a spin filter for neutrons or an optical polarizer for photons. In some instances, the analyzer may filter out particles (neutrons or photons) with polarization directions different from the polarization direction set by the analyzer. In some implementations, the analyzer for polarization filtering the beam and the polarizer for preparing the beam before and after the gradient system may have the same polarization direction. In some implementations, operations 104 and 106 collimate the beam prepared at operation 102 by producing an output beam having a lower beam divergence than the input beam. Furthermore, operations 104 and 106 may be repeated in a similar manner to further reduce the beam divergence. In this case, phase shifts introduced to the particles in the beam may be increased in subsequent operations 104 to further reduce the beam divergence producing a further collimated beam. For example, increased phase shifts may be produced by increasing the magnitude of the magnetic field gradients in subsequent sequences of magnetic field gradients, increasing the magnitude of the magnetic field in subsequent pairs of triangular coils, or increasing the inclined angle of birefringent prims in subsequent pairs of birefringent prisms. The output beam after the collimation process 100 may be used in other systems or devices. For example, the output beam may be used in experiments or other types of applications. Generally, the degree to which a beam is collimated may determine the signal-to-noise ratio when the beam is used in experiments, so a more collimated beam will generally produce a stronger signal and higher resolution in an experiment. FIG. 1B is a block diagram showing an example collimator system 110. The example collimator system 110, which may be used to produce a collimated beam, includes a source 112 and a collimator 113. The system 110 may include additional or different components and features. As shown in FIG. 1B, the collimator 113 collimates a beam on a beam path 120. The beam is initially produced from the source 112 on a first portion 120A of the beam path 120. In some examples, the source 112 is a neutron beam source (e.g., a nuclear reactor or another type of neutron beam source) that produces a neutron beam, which the collimator 113 receives as input. In some examples, the source 112 is a photon source (e.g., an x-ray source or another type of electromagnetic wave source) that produces a photon beam, which the collimator 113 receives as input. The example collimator 113 shown in FIG. 1B includes a polarizer 114, and a collimator subsystem 115. As shown in FIG. 1B, the polarizer 114 receives the beam from the first portion 120A of the beam path 120 and prepares the beam in a well-defined polarization state. In some implementations, the polarizer 114 is configured to polarize a beam on the first portion 120A which has a first beam divergence. In some implementations, after the polarized beam is produced from the polarizer 114 on a second portion 120B of the beam path 120, the polarized beam is then processed by the one or more sets of collimator subsystems 115. In some implementations, the collimator subsystem 115 is configured to obtain a collimated neutron beam on a fourth portion 120D with a second beam divergence. In some implementations, the collimator subsystem 115 may include a gradient system 116 and an analyzer 118. As shown in FIG. 1B, the gradient system 116 receives the polarized beam from the second portion 120B of the beam path 120 and applies one or more gradient fields to the polarized beam. In some implementations, the gradient fields induce a phase shift to the polarization degree of freedom, that is directly proportional to the transverse momentum. In some implementations, a modified beam (as modified by the gradient system 116) is produced from the gradient system 116 on a third portion 120C of the beam path 120. In some implementations, the modified beam on the third portion 120C of the beam path 120 may possess a non-uniform polarization. In some instances, the analyzer 118 receives the modified beam from the third portion 120C of the beam path 120 and processes the beam. In some implementations, the beam (as modified by the analyzer 118) may become polarized after passing through the analyzer 118 on a fourth portion 120D of the beam path 120. In some implementations, the collimator 113 may continue processing the beam in a similar manner with additional sets of collimator subsystems 115 (e.g., N>1). As shown in FIG. 1B, the collimator 113 may include a series (e.g., N sets) of the collimator subsystems 115 (where N is an integer greater than or equal to 1). For instance, when N=2, the collimator 113 includes a first collimator subsystem 115 (e.g., including a first gradient system 116 followed by a first analyzer 118) followed by a second collimator subsystem 115 (e.g., including a second gradient system 116 followed by a second analyzer 118). In some implementations, the sequence of collimator subsystems 115 may continue accordingly for higher values of N. In such cases (N>1), the magnitude of the gradient strength may increase in each subsequent gradient system 116 of each subsequent collimator subsystem 115. In some examples, the collimator 113 may include additional or different components, and the components may be arranged as shown in FIG. 1B or in another manner. The output beam produced by the collimator 113 may be used in other systems or devices. For example, the output beam may be used in experiments or other types of applications. Generally, the degree to which a beam is collimated may determine the signal-to-noise ratio when the beam is used in experiments, so a more collimated beam will generally produce a stronger signal in an experiment. Example implementations of the collimator 113 shown in FIG. 1B are provided in FIGS. 2, 4 and 6. As shown by the examples, the polarizer 114 in FIG. 1B may be implemented by a spin filter or an optical polarizer; the gradient system 116 in FIG. 1B may be implemented by a sequence of magnetic field gradients, a pair of triangular coils, or a pair of birefringent prisms; and the analyzer 118 in FIG. 1B may be implemented by a spin filter or an optical polarizer. The polarizer 114, the gradient system 116, and the analyzer 118 may be implemented in another manner, for example, by other types of components. FIG. 2 is a schematic diagram showing an example neutron beam collimator system 200. The example neutron beam collimator system 200 can be used, for example, to collimate an input neutron beam from a neutron source. In the example shown in FIG. 2, the neutron beam collimator system 200 receives the input neutron beam with input state ρ, filters out a particular polarization state (e.g., spin state), and produces a collimated neutron beam with a particular output state. In some examples, the input state ρ may contain any state information of neutrons in the input neutron beam such as, for example direction, velocity and spin. The output neutron beam after passing through the example neutron beam collimator system 200 is a polarized and collimated neutron beam, which has a narrower beam divergence angle (θ) than that of the input neutron beam. In the example shown in FIG. 2, the neutron beam collimator system 200 includes a polarizer 202, a sequence of magnetic field gradients 204, and an analyzer 206. In some examples, the polarizer 202 and the analyzer 206 may include a spin polarizer and a spin analyzer, respectively. In some implementations, the polarizer 202 and analyzer 206 may have the same polarization direction. In the example shown in FIG. 2, the polarization directions (|↑y><↑y|) of the polarizer 202 and the analyzer 206 are both configured along the {right arrow over (y)} axis, such that they produce an output beam that is polarized along the {right arrow over (y)} direction. In some implementations, the polarizer 202 receives an input neutron beam 210 from a neutron generator (not shown), which emits neutrons. In certain implementations, the input neutron beam 210 contains a stream of free neutrons, which may be used for various applications, for example, in physics, chemistry and biology applications. In some examples, the input neutron beam 210 is nonpolarized and may have a first beam divergence angle (θ1). In some cases, the beam divergence angle can be measured by the angular distribution of the neutron beam. In some examples, the angular distribution of the neutron beam is a Gaussian and the beam divergence angle is measured as the half width at half maximum (HWHM). Examples of the distribution of neutron divergence angles are shown in FIG. 3. In some implementations, the polarizer 202 may include a polarizing filter, a polarizing reflector, a polarizing crystal or another type of polarizer. In certain implementations, the polarizer 202 is a polarizing filter that includes a transmission spin filter, which may contain nuclear spin-polarized 3He. In certain implementations, the polarizer 202 may contain a polarizing neutron reflector. In some implementations, a polarizing neutron reflector is a neutron optical device utilizing total reflection from the interface between vacuum and a coherently scattering medium. In some implementations, a neutron reflector may be implemented using a polarizing neutron mirror or a supermirror. In some instances, free neutrons in a neutron beam with spin direction parallel to the inner magnetic field of the reflector may be reflected and other neutrons in the neutron beam with spin directions anti-parallel to the magnetic field may be transmitted. In certain implementations, the polarizer 202 may contain a polarizing single crystal. In some instances, the polarizing single crystal may include a magnetized single crystal including ferromagnetic semiconducting materials, metal alloys, and other suitable materials. In the example shown in FIG. 2, the input neutron beam 210, which is nonpolarized, after passing through the polarizer 202, becomes polarized. The polarizer 202 converts the nonpolarized neutron beam 210 to a polarized neutron beam 212 by filtering out neutrons that have spin directions not aligned with the polarization direction (|↑y><↑y|) of the polarizer 202. In some examples, the polarized neutron beam 212 may only contain neutrons with spin directions aligned with the polarization direction (|↑y><↑y|) set by the polarizer 202. In some examples, the polarized neutron beam 212 may have a second beam divergence angle (θ2). In some cases, the second beam divergence angle of the polarized neutron beam 212 exiting the polarizer 202 may equal to the first beam divergence angle of the nonpolarized input neutron beam 210. In the example collimator system 200 of FIG. 2, the sequence of magnetic field gradients 204 includes a first region 204A and a second region 204B. In some instances, the sequence of magnetic field gradients 204 may be positioned immediately after the polarizer 202 or separated from the polarizer 202 by a distance. In some implementations, each of the first and second regions 204A, 204B may contain a magnetic field strength that varies with respect to position. In the example shown in FIG. 2, the first region 204A may contain a magnetic field along the +{right arrow over (x)}-axis with increasing magnetic field strength along the +{right arrow over (z)}-axis creating a first magnetic field gradient ( d ⁢ B → d ⁢ z )having a first gradient orientation along the +{right arrow over (z)} direction perpendicular to the beam incident direction (+{right arrow over (y)}) and a first gradient magnitude | d ⁢ B → d ⁢ z | .The second region 204B may contain magnetic field along the {right arrow over (x)}-axis with increasing magnetic field strength along the −{right arrow over (z)}-axis creating a second magnetic field gradient ( - d ⁢ B → d ⁢ z )having a second gradient orientation along the −{right arrow over (z)} direction perpendicular to the beam incident direction (+{right arrow over (y)}) and a second gradient magnitude | d ⁢ B → d ⁢ z | .The example sequence of magnetic field gradients 204 shown in FIG. 2 collimates the neutron beam on the y-z plane, e.g., reducing the beam divergence angle on the y-z plane. In some implementations, the first and second region 204A, 204B may have the same width 218 along the beam incident direction (+{right arrow over (y)}). In some instances, the gradient magnitude of each of the first and second magnetic field gradients in the first and second region 204A, 204B, respectively, may be a constant, e.g., the first gradient magnitude | d ⁢ B → d ⁢ z |is uniform along the {right arrow over (y)}-axis in the first region 204A, and the second gradient magnitude | d ⁢ B → d ⁢ z |is uniform along the {right arrow over (y)}-axis in the second region 204B. In some instances, the gradient magnitude in each of the first and second regions 204A, 204B may vary along the {right arrow over (y)}-axis, e.g., linearly, polynomially, or in any other fashion. In some instances, the first and second magnetic field gradients are anti-parallel. For example, the first and second magnetic field gradients may have a same gradient magnitude 216 and may be arranged in opposite directions. In some implementations, the first and second magnetic field gradients are along a perpendicular direction relative to the polarization direction of the neutron beam ({right arrow over (x)}) and the beam incident direction (+{right arrow over (y)}). In some implementations, the gradient magnitude 216 of the first and second magnetic field gradients in the sequence of magnetic field gradients 204 are determined by the velocity of the neutrons in the polarized neutron beam 212 and the width of the first and second regions 204A, 204B. In some implementations, the sequence of magnetic field gradients 204 in the neutron collimator system 200 may be implemented using a Stern-Gerlach apparatus, a Halbach array with special arrangement of permanent magnets or another type of system. In some implementations, the first and second regions 204A, 204B may be positioned one after another without any spatial separation. In some implementations, the sequence of magnetic field gradients 204 is positioned adjacent to the polarizer 202. In some implementations, the first and second regions 204A, 204B in the example neutron collimator system 200 can be implemented in various configurations and arrangements. For example, the first and second regions 204A, 204B may be: separated by a distance (D) in which there is no magnetic field, or where the magnetic field path integral is negligible; separated by a distance (D) in which there is a magnetic field along the direction of either magnetic field gradients; separated by distance (D) in which there is a magnetic field along the direction of the incoming spin such that the spin undergoes a 2π rotation; or arranged in another configuration. In some implementations, the first and second magnetic fields in the first and second region 204A, 204B may be oriented along different directions to provide collimation to the neutron beam on a different plane. For example, the first region 204A may contain a magnetic field along the +{right arrow over (z)}-axis with increasing magnetic field strength along the +{right arrow over (x)}-axis creating a first magnetic field gradient ( d ⁢ B → d ⁢ x )along the +{right arrow over (x)} direction perpendicular to the beam incident direction (+{right arrow over (y)}). The second region 204B may contain magnetic field along the {right arrow over (z)}-axis with increasing magnetic field strength along the −{right arrow over (x)}-axis creating a second magnetic field gradient ( - d ⁢ B → d ⁢ x )along the −{right arrow over (x)} direction perpendicular to the beam incident direction (+{right arrow over (y)}). In this case, the sequence of magnetic field gradients 204, when oriented in alternative directions may be used to collimate the neutron beam on the {right arrow over (y)}-{right arrow over (x)} plane, e.g., reducing the beam divergence angle on the {right arrow over (y)}-{right arrow over (x)} plane. In some cases, one or more of the components may be oriented or combined in another manner to achieve 2-dimensional collimation. In some aspects of operation, the first and second magnetic field gradients in the example neutron beam collimator system 200 may induce a phase shift (β) on the spin degree of freedom that is independent of the particle location in the beam, and is directly proportional to the transverse momentum of each neutron: β = 2 ⁢ m ⁢ γ | d ⁢ B d ⁢ z | Δ 2 ⁢ k ⊥ ⁢ k z 2 = 2 ⁢ γ | d ⁢ B d ⁢ z | Δ 2 ⁢ tan ⁡ [ θ ] v where γ is the gyromagnetic ratio of a neutron, | d ⁢ B d ⁢ z |is the gradient magnitude of the magnetic field gradients, Δ is the length of the magnetic field gradient, θ=ArcTan[k⊥/kz] is the transverse propagation angle of the incoming neutron, m is the neutron mass, k⊥ is the neutron's transverse wavevector, kz is the neutron wave vector, and v is the neutron velocity. In this example, the magnetic field gradients have respective gradient orientations along the {right arrow over (z)}-direction. In some examples, the transverse momentum is related to the transverse wavevector (k⊥). In some implementations, the first and second regions 204A, 204B may introduce a phase shift on the spin degree of freedom to neutrons that have a non-zero transverse propagation angle. As shown in the equation above, the greater the transverse propagation angle of a neutron is, the greater the phase shift can be introduced to the spin degree of freedom of the neutron. In some examples, the neutron beam 220 may have a third beam divergence angle (θ3). In some cases, the third beam divergence angle of the neutron beam 220 may be equal to the second beam divergence angle of the polarized neutron beam 212. In the example shown in FIG. 2, the neutron beam 220 further passes through an analyzer 206. In some implementations, the analyzer 206 is identical to the polarizer 202, which may be polarizing filters, polarizing reflectors, or polarizing crystals. During operation, the analyzer 206 may filter out neutrons with a probability proportional to the phase shift introduced by the sequence of magnetic field gradients 204. For example, after traveling through the sequence of magnetic field gradients 204 the neutrons with non-zero transverse momentum may obtain a greater phase shift to the spin degree of freedom and may be filtered out by the analyzer 206. In some examples, the output neutron beam 230 may have a fourth beam divergence angle (θ4). In some cases, the fourth beam divergence angle of the output neutron beam 230 may be less than the first beam divergence angle of the nonpolarized neutron beam 210. As shown in FIG. 2, the sequence of magnetic field gradients 204 and the analyzer 206 can be repeated to increase the level of collimation. For example, the sequence of magnetic field gradients 204 and the analyzer 206 can be repeated N times, in which N is an integer and N≥2. In some implementations, the magnetic field gradients in the sequential sets may increase as N increases. In some implementations, the greater the magnetic field gradient is, the greater the phase shift may be introduced to the neutrons with non-zero transverse momentum (e.g., non-zero transverse wavevector). In this case, the beam divergence angle of the output beam 230 may be further reduced. FIG. 3 is a plot 300 showing computed probabilities in the output neutron beam 230 of the example neutron collimator system 200 shown in FIG. 2. FIG. 3 describes a distribution of neutrons in the example output neutron beam 230. The horizontal axis in the plot 300 represents a range of transverse divergence angles (θ) of neutrons in a neutron beam, and the vertical axis in the plot 300 represents a range of probabilities. In some examples, the beam divergence angle can be measured by the half-width at half maximum (HWHM) value of the corresponding distribution curve. The simulation was done with ideal components and all of the constants set to 1. For a practical simulation the magnetic field gradient would need to be tuned according to the experimental parameters and the desired angular distribution. As shown in FIG. 3, the first curve 302 represents the input neutron beam 210 from a neutron source in the example neutron collimator system 200 shown in FIG. 2. In some implementations, the input neutron beam 210 may have a uniform probability distribution over the range of divergence angles centered about θ=0 between a minimum orientation −θmax and maximum orientation θmax, e.g., a first beam divergence angle. The second curve 304 represents the output neutron beam 230 after a first collimator subsystem, which includes a first sequence of magnetic field gradients and a first analyzer (e.g., after N=1). In some implementations, the output neutron beam 230 is collimated, having a narrowed probability distribution and a second beam divergence. In the example show in FIG. 3, the second beam divergence angle 314 of the neutron beam after the first sequence of magnetic field gradients and analyzer is less than the first beam divergence angle 312 of the input neutron beam. The third curve 306 represents the output neutron beam 230 after a second collimator subsystem, which includes a second sequence of magnetic field gradients and a second analyzer (e.g., after N=2). The fourth curve 308 represents the output neutron beam 230 after a third collimator subsystem, which includes a third sequence of magnetic field gradients and a third analyzer (e.g., after N=3). In some implementations, the output neutron beam after passing multiple collimator subsystems can be further collimated. For example, the output neutron beam may have a third beam divergence angle after the second collimator subsystem and a fourth beam divergence angle after the third collimator subsystem. As shown in FIG. 3, the third beam divergence angle 316 of the neutron beam after the second collimator subsystem is less than the second beam divergence angle 314 of the neutron beam after the first collimator subsystem. The fourth beam divergence angle 318 of the neutron beam after the third collimator subsystem is less than the third beam divergence angle 316 of the neutron beam after the second collimator subsystem. FIG. 4 is a schematic diagram showing an example neutron beam collimator system 400. The example neutron beam collimator system 400 can be used, for example, to collimate an input neutron beam from a neutron source. In the example shown in FIG. 4, the neutron beam collimator system 400 receives the input neutron beam, filters out a particular spin state, and produces a collimated neutron beam from the polarized beam. The input neutron beam having a beam divergence angle (θ) without passing through the example neutron beam collimator system 400 may include a particle trajectory 412. The output neutron beam after passing through the example neutron beam collimator system 400 becomes a polarized and collimated neutron beam, which has a narrower beam divergence angle than that of the input neutron beam. In the example shown in FIG. 4, the neutron beam collimator system 400 includes a polarizer 402, a pair of triangular coils 404, and an analyzer 406. In some examples, the polarizer 402 and the analyzer 406 may include a spin polarizer and a spin analyzer, respectively. In some implementations, the polarizer 402 and analyzer 406 may have the same polarization direction. In the example shown in FIG. 4, the polarization directions (|↑z><↑z|) of the polarizer 402 and the analyzer 406 are both configured along the {right arrow over (z)}-axis. In some implementations, the polarizer 402 receives an input neutron beam 410 from a neutron generator (not shown). For example, the input neutron beam 410 in FIG. 4 can be of the same type as the input neutron beam 210 described with respect to FIG. 2. In some examples, the input neutron beam 410 is nonpolarized and may have a first beam divergence angle (θ1). Example distributions of neutron divergence angles are shown in FIG. 5. In some implementations, the polarizer 402 may include one of a polarizing filter, a polarizing reflector, or a polarizing crystal. For example, the polarizer 402 in FIG. 4 can be of the same type as the polarizer 202 described with respect to FIG. 2 In the example shown in FIG. 4, the input neutron beam 410, which is nonpolarized, after passing through the polarizer 402, becomes polarized. The polarizer 402 converts the nonpolarized neutron beam 410 to a polarized neutron beam by filtering out neutrons that have spin directions not aligned with the polarization direction (|↑z><↑z|). In some examples, the polarized neutron beam exiting the polarizer 402 may only contain neutrons with spin directions aligned with the polarization direction (|↑z><↑z|) set by the polarizer 402. In some examples, the polarized neutron beam 412 may have a second beam divergence angle (θ2). In some cases, the second beam divergence angle of the polarized neutron beam exiting the polarizer 402 may equal to the first beam divergence angle of the nonpolarized input neutron beam 410. In some implementations, the pair of triangular coils 404 may include a first triangular coil 404A and a second triangular coil 404B. In some implementations, the first triangular coil 404A and the second triangular coil 404B are identical, being separated by a distance (T) 408 along the beam incident direction ({right arrow over (y)}). In some instances, the first triangular coil 404A and the second triangular coil 404B are configured with inclined sides 414A, 414B facing the incoming neutron beam. In some cases, the identically configured triangular coils 404A, 404B may carry current in opposite directions to generate magnetic fields in anti-parallel directions. For example, the direction of the current in the first triangular coil 404A is counterclockwise and the direction of the current in the second triangular coil 404B is clockwise. In some instances, the cross section of each of the first and second triangular coils 404A, 404B along the {right arrow over (y)}-{right arrow over (z)} plane is a right triangle with one of the sides parallel to the incident direction of the neutron beam ({right arrow over (y)}). In some cases, the first and second triangular coils 404A, 404B may be oriented or configured in another manner. In some implementations, the first and second triangular coils 404A, 404B may be positioned immediately after the polarizer 402 or separated from the polarizer 402 by a distance. In some implementations, during operation, when current is applied, the first and second triangular coils 404A, 404B induce anti-parallel magnetic fields 406A, 406B (e.g., opposite field orientations) within the respective coils along the {right arrow over (z)}-direction perpendicular to the incident direction of the neutron beam ({right arrow over (y)}). In some cases, field strengths of each of the magnetic fields 406A, 406B are uniform within the respective coils. In some implementations, the first and second triangular coils 404A, 404B are configured to produce a near zero magnetic field between the two triangular coils to ensure that the induced phase gradient in this region is negligible. In some implementations, a uniform magnetic field may be formed between the first and second triangular coils 404A, 404B to avoid introducing unnecessary phase gradient to the neutrons. In some instances, a uniform magnetic field may be implemented by a guide coil (not shown) between the two coils 404A, 404B. In some implementations, the anti-parallel magnetic fields in the pair of triangular coils 404 may introduce phase shift on the spin degree of freedom of neutrons. The induced phase shift (β) is independent of the neutron location in the neutron beam, and is directly proportional to the transverse momentum of each neutron: β = - γ ⁢ B 2 ⁢ v ⁢ ( ( L + T ) ⁢ sin ⁡ [ α ] ⁢ tan ⁡ [ θ ] cos ⁡ [ α + θ ] ) where γ is the neutron gyromagnetic ratio, B is the field strength of the magnetic field in the coils, α is incline angle of the coils, θ is the divergence angle of the incoming neutron, and v is the neutron velocity. In some examples, the transverse momentum of a neutron is related to the transverse wavevector (k⊥). During operation, when the polarized neutron beam 410 is received by the first triangular coil 404A at its inclined side 414A, neutrons that have non-zero transverse propagation angles may travel in the first magnetic field 406A for a different amount of time than in the second coil. In some implementations, since the field strength of the magnetic fields within the first and second triangular coils 404A, 404B are the equal, the total phase shift after the two triangular coils is proportional to the difference in the amount of time that a neutron travels in the two triangular coils 404A, 404B. When a neutron with zero transverse divergence angle and thus zero transverse momentum goes straight along the incident direction of the neutron beam ({right arrow over (y)}), e.g., perpendicular to the polarization direction ({right arrow over (z)}), the time that the neutron travels in the first and second triangular coils 404A, 404B are equal resulting in a zero total phase shift to its spin degree of freedom. When a neutron with a non-zero transverse divergence angle and thus non-zero transverse momentum travels through the pair of triangular coils 404 with an angle, e.g., along the particle trajectory 412 shown in FIG. 4, the time that the neutron travels in the first and second triangular coils 404A, 404B are different causing a non-zero total phase shift. For example, the time that a neutron travels in the first triangular coil 404A may be greater than the time that the neutron travels in the second triangular coil 404B. Consequently, the neutron beam after passing through the pair of triangular coils 404 may become non-uniformly polarized. In some implementations, the neutron beam further passes through an analyzer 406. In some implementations, the analyzer 406 is identical to the polarizer 402, which may be polarizing filters, polarizing reflectors, or polarizing crystals. In some implementations, during operation, the analyzer 406 may filter out neutrons on which the phase shift is introduced by the pair of triangular coils 404. For examples, neutrons that are angled away from the {right arrow over (y)}-axis after traveling through the pair of triangular coils 404 may obtain a greater phase shift to their spin degree of freedom and may be filtered out by the analyzer 406. In some examples, the output neutron beam exiting the analyzer 406 may be polarized and have a second beam divergence angle (θ2). In some cases, the second beam divergence angle of the output neutron beam may be less than the first beam divergence angle of the nonpolarized neutron beam 410. As shown in FIG. 4, the pair of triangular coils 404 and the analyzer 406 can be repeated to increase the level of collimation. For example, the pair of triangular coils 404 and the analyzer 406 can be repeated N times, in which N is an integer and N≥2. In some implementations, the field strength of each of the magnetic fields created within the triangular coils in the sequential pairs may increase as N increases. In some implementations, the greater the field strength is, the greater the phase shift to the neutrons with non-zero transverse propagation angle can be introduced. In this case, the beam divergence angle of the output beam 230 may be further reduced. The distributions of neutrons in the input (N=0) and output neutron beam (for N=1,2,3 copies with optimized magnetic field) are shown in FIG. 5. FIG. 5 is a plot 500 showing computed probabilities in the output beam of the example neutron collimator system 400 shown in FIG. 4. The horizontal axis in the plot 500 in FIG. 5 represents a range of transverse divergence angles of neutrons in a neutron beam, and the vertical axis in the plot 500 represents a range of probabilities. In some examples, the beam divergence angle can be measured by the half-width at half maximum (HWHM) value. The simulation was performed using ideal components and all of the constants set to 1. For a practical simulation the magnetic fields in the triangular coils would need to be tuned according to the experimental parameters and the desired angular distribution. The first curve 502 in the example shown in FIG. 5 represents an example distribution of neutrons in the input neutron beam 410 in the example neutron collimator system 400 shown in FIG. 4. The input state has a uniform probability distribution over the range of transverse divergence angles centered about θ=0 between −0.1 radians and 0.1 radians. The input neutron beam has a first beam divergence angle 512. The second curve 504 represents the state of the neutron beam after a first collimator subsystem (e.g., N=1) including a first pair of triangular coils 404 and a first analyzer 406. The state represented by the second curve 504 is collimated, having a narrowed probability distribution over a smaller range of transverse divergence angles of neutrons compared to the input neutron beam, e.g., a second beam divergence angle 514. The second beam divergence angle 514 of the neutron beam after passing through the first collimator subsystem is less than the first beam divergence angle 512 of the input neutron beam. In the example, the distribution of the neutrons represented by the second curve 504 is slightly asymmetric. The third curve 506 in the example shown in FIG. 5 represents a distribution of neutrons in a neutron beam after a second collimator subsystem, which includes a second pair of triangular coils and a second analyzer (e.g., N=2). In some implementations, the output neutron beam after passing through the second collimator subsystem may have a third beam divergence angle. And the fourth curve 508 represents a distribution of neutrons in the neutron beam after a third collimator subsystem, which includes a third pair of triangular coils and a third analyzer (e.g, N=3). In some implementations, the output neutron beam after passing through the third collimator subsystem may have a fourth beam divergence angle. As shown in FIG. 5, the beams represented by the third and fourth curves 506, 508 are further collimated by the second and third collimator subsystems, having successively narrow probability distributions over successively smaller ranges of transverse divergence angles of neutrons compared to the prior states. The third beam divergence angle 516 of the neutron beam after the second collimator subsystem is less than the second beam divergence angle 514 of the neutron beam after the first collimator subsystem. The fourth beam divergence angle 518 of the neutron beam after the third collimator subsystem is less than the third beam divergence angle 516 of the neutron beam after the second collimator subsystem. FIG. 6 is a schematic diagram showing an example photon beam collimator system 600. The example photon beam collimator system 600 can be used, for example, to collimate a photon beam from a radiation source (e.g., an x-ray source). In the example shown in FIG. 6, the photon beam collimator system 600 receives the input photon beam, filters out a particular polarization state, and produces a collimated photon beam. The input photon beam having a beam divergence angle (θ) before passing through the example photon beam collimator system 600 may have a particle trajectory 612. The output photon beam after passing through the example photon beam collimator system 600 becomes a polarized and collimated photon beam, which has a narrower beam divergence angle than that of the input photon beam. In some implementations, the isomorphism between the spin-½ of fermions and the polarization state of photons allows the collimator principle from FIG. 1B to be implemented for photons. For example, the spin-½ states {↑z, ↓z, ↑x, ↓x, ↑y, ↓y} can be mapped to the corresponding photon polarization states {R, L, H, V V. D, A}, and a sequence of components can be used to collimate a photon beam. This type of photon beam collimator may have applications, for example, with x-rays and other types of electromagnetic radiation. In the example shown in FIG. 6, the photon beam collimator system 600 includes a polarizer 602, a pair of birefringent prisms 604, and an analyzer 606. In some examples, each of the polarizer 602 and the analyzer 606 may include an optical polarizer. In some implementations, the polarizer 602 and analyzer 606 may have the same polarization direction. In the example shown in FIG. 6, the polarization directions (D) of the polarizer 602 and the analyzer 606 are both oriented at 45 degrees from the {right arrow over (x)}-axis on the {right arrow over (x)}-{right arrow over (z)} plane. In some implementations, the polarizer 602 receives an input photon beam 610 from an optical source (not shown), which emits photons. In some examples, the input photon beam 610 is nonpolarized and may have a first beam divergence angle (θ1). In some cases, the beam divergence angle can be measured by the angular distribution of the photon beam. In some examples, the beam divergence angle is measured as the half width at half maximum of the photon angular distribution. In certain implementations, the polarizer 602 may be used to filter photons in the input photon beam 610 which contains photons with undefined and mixed polarizations. For example, the polarizer 602 may allow photons of a specific polarization to pass through and block photons of other polarizations. In some instances, the polarizer 602 may be a linear polarizer. In some examples, the polarizer 602 may be an absorptive polarizer, a beam-splitting polarizer, or other types of optical polarizers. In certain implementations, the pair of birefringent prims 604 may include a first birefringent prism 604A and a second birefringent prism 604B. In some instances, the first and second birefringent prisms 604A, 604B may contain optically anisotropic materials having a refractive index that depends on the polarization and incident direction of photons. In some examples, the optically anisotropic materials may have non-cubic crystal structures. In some implementations, the first and second birefringent prisms 604A and 604B are configured with their optical axes on the Poincare sphere pointing in perpendicular directions relative to the photon beam incident direction ({right arrow over (y)}). Accordingly, in FIG. 6, the optical axis of the first birefringent prism 604A points in the +{right arrow over (z)} direction and that of the second birefringent prism 604B in the +{right arrow over (x)} direction. In some implementations, the pair of birefringent prisms 604 may include circular quartz wedges. In some implementations, the first birefringent prism 604A may be configured with its optical axis 616A aligned with the incline direction of the triangular coil 614A and the second birefringent prism 604B may be configured with its optical axis 616B aligned perpendicular to the incline direction of the triangular coil 614B. In some implementations, the first and second birefringent prisms 604A, 604B are separated by a distance (T) 608 along the incident direction of the input photon beam ({right arrow over (y)}). In some instances, the first and second birefringent prisms 604A, 604B are configured with inclined sides 614A, 614B facing the incoming photon beam. In some instances, the cross section of each of the first and second birefringent prisms 604A, 604B along the {right arrow over (y)}-{right arrow over (z)} plane is a right triangle with one of the sides parallel to the incident direction of the input photon beam ({right arrow over (y)}). In some examples, the side that is parallel to the incident direction of the input photon beam has a length (L) 620. In some cases, the first and second birefringent prisms 604A, 604B may be oriented or configured in another manner. In certain implementations, the analyzer 606 may be used to filter photons in the photon beam exiting the pair of birefringent prims 604. For example, the analyzer 606 may allow photons of a specific polarization passing through and block photons of other polarizations. In some instances, the analyzer 606 may be a linear polarizer. In some examples, the analyzer 606 may be an absorptive polarizer or a beam-splitting polarizer. In some implementations, the analyzer 606 may contain other types of optical polarizers. During operation, when the polarized photon beam 610 is received by the first birefringent prisms 604A at its inclined side 614A, photons that have non-zero transverse propagation angles may travel in the first birefringent prisms 604A for a different amount of time than in the second birefringent prisms 604B. Consequently, the photon beam after passing through the pair of birefringent prisms 604 may become non-uniformly polarized. As shown in FIG. 6, the pair of birefringent prisms 604 and the analyzer 606 can be repeated to increase the level of collimation. For example, the pair of birefringent prisms 604 and the analyzer 606 can be repeated N times, in which N is an integer and N≥2. In some implementations, the inclined angles (α) 618A, 618B of the pair of birefringent prisms 604 in the sequential sets may increase as N increases. In some implementations, the greater the inclined angles (α) 618A, 618B are, the greater the phase shift to the photon with non-zero transverse propagation angle can be introduced. In this case, the beam divergence angle of the photon beam when exiting the analyzer 606 may be further reduced. In a general aspect of what is described above, a collimated beam is generated by a collimator system. In a first example, a neutron beam collimation method includes receiving a neutron beam; polarizing the neutron beam using a polarizer; and obtaining a collimated neutron beam. The neutron beam generated by the neutron source has a first beam divergence and includes a plurality of neutrons. The collimated neutron beam has a second beam divergence. The second beam divergence is less than the first beam divergence. Collimating the neutron beam includes mapping transverse momentum of each respective neutron, of the plurality of neutrons, onto a polarization degree of freedom of the respective neutron by applying a sequence of phase shift gradients to the polarized neutron beam by a gradient system; and, after applying the sequence of phase shift gradients, passing the neutron beam through analyzer. Implementations of the first example may include one or more of the following features. Polarizing the neutron beam includes passing the neutron beam through a first polarizing spin filter. Passing the neutron beam through the analyzer includes passing the neutron beam through a second polarizing spin filter. Applying the phase shift gradient includes applying a sequence of magnetic field gradients along a perpendicular direction relative to the incoming neutron polarization direction. Applying the sequence of magnetic field gradients includes applying first and second magnetic field gradients at distinct locations along the incident direction of the neutron beam. The first and second magnetic field gradients have opposite orientations. The first and second magnetic field gradients have the same magnitude. Implementations of the first example may include one or more of the following features. Obtaining the collimated neutron beam includes obtaining a first collimated neutron beam. The sequence of magnetic field gradients includes a first sequence of magnetic field gradients, and the analyzer includes a first analyzer. After obtaining the first collimated neutron beam, a second collimated neutron beam having a third beam divergence can be obtained. The third beam divergence is less than the second beam divergence. Obtaining the second collimated neutron beam further includes applying a second sequence of magnetic field gradients to the first collimated neutron beam; and after applying the second sequence of magnetic field gradients, passing the first collimated neutron beam through a second analyzer. The magnitude of the second sequence of magnetic field gradients are greater than the magnitude of the first sequence of magnetic field gradients. In a second example, a neutron beam collimation system includes a polarizer, and a collimator subsystem. The polarizer is configured to polarize a neutron beam which has a first beam divergence and includes a plurality of neutrons. The collimator subsystem is configured to obtain a collimated neutron beam with a second beam divergence. The second beam divergence is less than the first beam divergence. The collimator subsystem includes a gradient system and an analyzer. The gradient system is configured to map transverse momentum of each respective neutron, of the plurality of neutrons, onto a polarization degree of freedom of the respective neutron by applying a sequence of phase shift gradients to the polarized neutron beam. Implementations of the second example may include one or more of the following features. The polarizer includes a first polarizing spin filter and the analyzer includes a second polarizing spin filter. The gradient system includes a sequence of magnetic field gradients along a perpendicular direction relative to the incoming neutron polarization direction. The sequence of magnetic field gradients further includes a first and second magnetic field gradients at distinct locations along the incident direction of the neutron beam. The first and second magnetic field gradients are configured in opposite orientations. The first and second magnetic field gradients are configured with the same magnitude. Implementations of the second example may include one or more of the following features. The collimated neutron beam further includes a first collimated neutron beam; the sequence of magnetic field gradients includes a first sequence of magnetic field gradients; and the analyzer includes a first analyzer. The neutron beam collimation system further includes a second sequence of magnetic field gradients, and a second analyzer. The second sequence of magnetic field gradients and the second analyzer are configured to collimate the first collimated beam and obtain a second collimated beam which has a third beam divergence. The third beam divergence is less than the second beam divergence. The magnitude of the magnetic field gradients of the second sequence of magnetic field gradients are greater than the magnitude of the magnetic field gradients of the first sequence of magnetic field gradients. Implementations of the second example may include one or more of the following features. The gradient system includes a pair of triangular coils. The pair of triangular coils includes first and second triangular coils at distinct locations along the incident direction of the neutron beam. The first and second triangular coils are configured to generate magnetic fields having opposite orientations in the first and second triangular coils. The magnetic fields in the first and second triangular coils have the same magnitude. Implementations of the second example may include one or more of the following features. The collimated neutron beam includes a first collimated neutron beam; the pair of triangular coils includes a first pair of triangular coils; and the analyzer includes a first analyzer. The neutron beam collimation system further includes a second pair of triangular coils; and a second analyzer. The second pair of triangular coils and the second analyzer are configured to collimate the first collimated beam and obtain a second collimated beam with a third beam divergence. The third beam divergence is less than the second beam divergence. The magnitude of the magnetic fields in the second pair of triangular coils are greater than the magnitude of the magnetic field in the first pair of triangular coils. The inclined angle of the second pair of triangular coils are greater than the inclined angle of the first pair of triangular coils. While this specification contains many details, these should not be understood as limitations on the scope of what may be claimed, but rather as descriptions of features specific to particular examples. Certain features that are described in this specification or shown in the drawings in the context of separate implementations can also be combined. Conversely, various features that are described or shown in the context of a single implementation can also be implemented in multiple embodiments separately or in any suitable subcombination. Similarly, while operations are depicted in the drawings in a particular order, this should not be understood as requiring that such operations be performed in the particular order shown or in sequential order, or that all illustrated operations be performed, to achieve desirable results. In certain circumstances, multitasking and parallel processing may be advantageous. Moreover, the separation of various system components in the implementations described above should not be understood as requiring such separation in all implementations, and it should be understood that the described program components and systems can generally be integrated together in a single product or packaged into multiple products. A number of examples have been described. Nevertheless, it will be understood that various modifications can be made. Accordingly, other examples are within the scope of the following claims.
summary
description
The present application claims priority from Japanese Patent Application No. JP 2006-092771 filed on Mar. 30, 2006, the content of which is hereby incorporated by reference into this application. The embodiment relates to an electron beam inspection apparatus and an inspection method for inspecting fine circuit patterns of a semiconductor device, liquid crystal or the like and extracting electric defects such as conduction/non-conduction defects and shape defects such as foreign matters, scratches and others in the course of the manufacture thereof. In the manufacturing processes of semiconductor devices, the quality of processes including lithography process, etching process, ion implantation process and the like has great influences upon the yield of the semiconductor devices. Therefore, it is important to detect occurrences of defects and the types thereof at an early stage and feed them back to manufacturing conditions, thereby improving the yield. In order to inspect circuit patterns formed on a semiconductor wafer in the course of their manufacture, an inspection apparatus in which a scanning electron microscopy is applied (hereinafter, referred to as SEM wafer inspection apparatus) has been employed. The objects to be inspected by this inspection apparatus range widely such as conduction/non-conduction defects, attachment of foreign matters, shape defects of patterns and others. The SEM wafer inspection apparatus extracts conduction/non-conduction defects by the use of voltage contrasts generated by charging a wafer surface positively or negatively. Herein, the inspection for non-opening defects where a remaining film of an insulator exists at the bottom of a contact hole is taken as an example of the inspection using the voltage contrasts. In the non-opening portion, the remaining film at the bottom of a hole is charged when electron beam is irradiated, and accordingly, a field distribution different from that in the opening portion is formed on the pattern surface. As a result, the number of secondary electrons detected in the opening portion differs from that in the non-opening portion, and these differences are observed as contrasts in an image. In other words, only the defective portions can be detected by comparing the brightness of the contact holes. In the inspection using the voltage contrasts, it is extremely important to control the charge of the wafer surface. As the method for charging the wafer surface, there are the method using the secondary electron emission efficiency and the method using a control electrode disposed just above a wafer. In the method using the secondary electron emission efficiency, the polarities of charge are determined by the energy of electrons that enter the wafer and the material of an inspection object. That is, when the secondary electron emission efficiency is 1 or higher, the wafer is charged into a positive polarity, and when it is 1 or lower, the wafer is charged into a negative polarity. In this method, since the charge of the wafer continues until the secondary electron emission efficiency becomes nearly 1, in order to control the charge potential, the incident energy has to be adjusted by the material of patterns. The method using a control electrode will be described with reference to FIG. 2. In both FIG. 2A and FIG. 2B, only minimum required structural components for describing this method are shown. Acceleration voltage (Va) is applied to an electron source 1, deceleration voltage (Vr) is applied to a wafer 2, and control voltage (Vc) is applied to a control electrode 3, respectively. The electron beam emitted from the electron source 1 is accelerated to the acceleration voltage (Va) and enters the wafer 2 at the energy equivalent to “acceleration voltage (Va)−deceleration voltage (Vr)”. It is assumed here that the energy with which the electron beam enters the wafer 2 at this moment is under the condition that the secondary electron emission efficiency is 1 or higher. The charge of the surface of the wafer 2 is determined by “bias voltage=deceleration voltage (Vr)−control voltage (Vc)”, and FIG. 2A shows the case where the surface of the wafer 2 is charged positively and the condition of the bias voltage>0 and FIG. 2B shows the case where the surface of the wafer 2 is charged negatively and the condition of the bias voltage<0. In the case of FIG. 2A, since the secondary electron emission efficiency is 1 or higher just after the electron beam is irradiated to the wafer 2, the surface of the wafer 2 is charged positively. Then, when the bias voltage and the charge of the surface of the wafer 2 become nearly equal to each other, the number of secondary electrons 33 passing through the control electrode 3 and the number of primary electrons 41 that enter the wafer 2 become nearly equal to each other, and the charge of the surface of the wafer 2 becomes stable. In the case of FIG. 2B, just after the electron beam is irradiated to the wafer 2, many of the secondary electrons 33 emitted from the wafer 2 are returned to the wafer 2 due to the influence of the bias voltage, and the surface of the wafer 2 is charged negatively. Then, when the bias voltage and the charge of the surface of the wafer 2 become nearly equal to each other, the number of secondary electrons 33 passing through the control electrode 3 and the number of primary electrons 41 that enter the wafer 2 become nearly equal to each other, and the charge of the surface of the wafer 2 becomes stable. The advantage of this method lies in that the charge of the wafer surface can be controlled by the bias voltage if the incident energy of the electron beam is under the condition of the secondary electron emission efficiency>1. More specifically, it is possible to control the charge of almost all insulators by an arbitrary incident energy of several 100 to 1000 eV (refer to, for example, Japanese Patent Application Laid-Open Publication No. 11-121561 (Patent Document 1)). At present, various types of insulating films are used in circuit patterns of semiconductors. Among them, SiO2 and Si3N4 have small damages due to electron beam irradiation, and insulating films are not damaged even in an inspection using the SEM wafer inspection apparatus. However, since many of resist materials used in exposure process and low-k materials used in Cu wiring process are apt to be damaged by electron beam irradiation, it is necessary to lower the incident energy of electron beam to several 100 eV in inspections. However, since control voltage almost equal to the deceleration voltage applied to the wafer is applied to the electrode in the conventional charge control using the control electrode, the influence of an electrostatic lens which occurs in the vicinity of the control electrode is inevitable. As this control voltage becomes higher, the action of the electrostatic lens becomes stronger, which leads to such problems as the deterioration of resolution, the decreased uniformity of resolution in viewing field, the narrowed scan area of electron beam (that is, narrowed viewing field) and others. The results of simulations for the deterioration of resolution and the uniformity of resolution in viewing field are shown in FIG. 3. FIG. 3A shows the relation between bias voltage and beam diameter, and it indicates that the larger the beam diameter becomes, the more the resolution is deteriorated. FIG. 3B shows the relation between bias voltage and off-axis beam diameter/on-axis beam diameter, and the off-axis beam diameter is the result of the calculation of the beam diameter in the case where electron beam is deflected by 200 μm on a wafer. Accordingly, it indicates that, as the off-axis beam diameter/on-axis beam diameter becomes closer to 1, the resolution in viewing field becomes uniform. Further, both FIG. 3A and FIG. 3B are the results of simulations in the case where the acceleration voltage=10000[V], and if the incident energy is 500 [eV] for example, the deceleration voltage=−9500 [V], and if the bias voltage is 0 [V], the control voltage=−9500 [V].Herein, the bias voltage is defined by “deceleration voltage−control voltage” mentioned above, and the bias voltage=±500 [V] is set as the range of use of the charge control function in FIG. 3. According to the results in FIG. 3A, under the condition where the bias voltage is 2000 [V] or higher, the beam diameter does not depend upon the bias voltage, but when the bias voltage is below 2000 [V], the beam diameter becomes larger as the bias voltage becomes smaller. Further, as the incident energy becomes lower, the increase of the beam diameter relative to the changes of the bias voltage becomes more conspicuous. The same tendencies are seen also in FIG. 3B, that is, as the incident energy becomes lower, the off-axis beam diameter/on-axis beam diameter relative to the changes of the bias voltage becomes larger. According to these simulation results, when the charge control is carried out by use of the control electrode, a highly sensitive inspection cannot be performed under the condition where the incident energy is below 1000 [eV] due to the deterioration of resolution and the decrease of uniformity of resolution in viewing field. Further, the results of simulations for the scan area of electron beam performed using the same model as the above are shown in FIG. 4. FIG. 4 shows the relation between the deflection sensitivity of electron beam and the bias voltage, and the deflection sensitivity represents how many μm the electron beam is deflected on the wafer when ±1 [V] is applied to opposing electrodes in the electrostatic deflection method using electrodes. More specifically, it indicates that the larger the deflection sensitivity is, the wider the scan area of electron beam when the same voltage is applied to electrodes is. According to FIG. 4, at the incident energy of 3000 [eV], the deflection sensitivity does not change greatly by the bias voltage, but at the incident energy of 1000 [eV] and 500 [eV], the deflection sensitivity of 7 to 8 [μm/V] at the bias voltage of 3000 [V] becomes the deflection sensitivity of lower than 3 [μm/V] at the bias voltage of 0 [V]. More specifically, it indicates that, in the case where an inspection is carried out with the incident energy of lower than 1000 [eV], the maximum viewing field is reduced to half or less in comparison with the case where the charge control is not carried out, and the inspection speed becomes slower accordingly. From these results, in the charge control using the control electrode, the charge of the wafer can be controlled at arbitrary conditions if the secondary electron emission efficiency is 1 or higher (several 100 to 1000 eV), but the use thereof is limited to the case of the incident energy range of about 1000 eV due to the occurrence of problems such as the deterioration of resolution, decreased uniformity of resolution in viewing field, and narrowed viewing field. That is, at present, there is no effective charge control method to the wafer whose insulating film is formed of resist or low-k materials. In order to solve the above problem, in an inspection apparatus and an inspection method according to the present invention, the acceleration voltage, the control voltage and the deceleration voltage are controlled in conjunction so that both the incident energy determined by “acceleration voltage−deceleration voltage” and the bias voltage determined by “deceleration voltage−control voltage” become constant. By using the present invention, it is possible to attain the charge control at the incident energy of several 100 [eV] and uniform and preferable resolution in a wide viewing field. Further, since a wide viewing field can be obtained, the inspection speed can be increased. Thus, according to the present invention, an inspection with controlled charge can be performed even to wafers made of resist and low-k materials. In the embodiment, attention is paid to the fact that the deterioration of resolution by the low incident energy of less than 1 keV in the charge control using a control electrode is caused by blurred beam due to the aberration of electrostatic lens which occurs in the vicinity of the control electrode. Then, in order to reduce the aberration of the electrostatic lens, the bias voltage to control the charge is fixed, and the acceleration voltage, the control voltage and the deceleration voltage are controlled in conjunction, and the charge is controlled with low control voltage. By this means, the deterioration of resolution can be greatly reduced. FIG. 5 is a diagram for describing optical characteristics of the embodiment, and it schematically shows the characteristics of the resolution (beam diameter) to the bias voltage, the uniformity (off-axis beam diameter/on-axis beam diameter) of resolution in viewing field to the bias voltage, and the effective viewing field (deflection sensitivity) to the bias voltage. Herein, the optical characteristics in the case where Va/Va_opt=0.2, 0.5, 1.0 are schematically shown, respectively, and Va_opt means the acceleration voltage which can realize the highest resolution in the optical system to embody the embodiment and Va/Va_opt=0.5 means the acceleration voltage half of Va_opt (Va=0.5Va_opt). Further, the range of the bias voltage where the charge control function is effective differs depending on the structure of a ground electrode and a control electrode mentioned later, and it may be ± several 10V or ± several 1000V in some cases. The range of this effective bias voltage will be described in the section of the correction method of barrier potential and bias voltage to be described later. (Resolution (Beam Diameter)) Herein, the beam diameter is the size of a beam at the center of viewing field of a scan image, and the smaller the beam diameter, the higher the resolution of an image can be realized. Normally, the optical system is operated under the condition of Va/Va_opt=1.0. However, in the case where the charge is controlled by use of a control electrode, the beam diameter increases rapidly and the image quality is deteriorated due to the influence of aberration of the electrostatic lens described above. In the embodiment, in order to restrain this aberration of the electrostatic lens, the operation condition of the acceleration voltage is set to Va/Va_opt<1.0 (for example, 0.5, 0.2), and the acceleration voltage, the control voltage and the deceleration voltage are controlled in conjunction so that the minimum beam diameter within the range of bias voltage effective for the charge control can be realized. (Uniformity of Resolution (Off-Axis Beam Diameter/On-Axis Beam Diameter)) The off-axis beam diameter mentioned here means the size of a beam at the end of a scan image, and the closer to 1 the off-axis beam diameter/on-axis beam diameter is, the more uniform the resolution in the scan area is. Also in this case, in an ordinary optical system, the optical system is operated under the condition of Va/Va_opt=1.0. However, in the embodiment, by setting the operation condition of the acceleration voltage to Va/Va_opt<1.0 (for example, 0.5, 0.2) in the same manner as that in the previous resolution, the resolution in the scan area can be made more uniform. (Effective Viewing Field (Deflection Sensitivity)) The deflection sensitivity mentioned here means the sensitivity of a deflector that scans electron beam, and the higher this deflection sensitivity is and the closer to 1 the off-axis beam diameter/on-axis beam diameter is, the wider the effective viewing field becomes. Similar to the case described above, when the embodiment is applied, the deflection sensitivity remarkably increases by the synergy effect of the reduction of the electrostatic lens effect and the decrease of acceleration voltage by the control electrode, and accordingly a great improvement of the inspection speed can be realized. By carrying out the control described above, the charge can be controlled with a low incident energy of less than 1 keV, and a high resolution image can be obtained. Further, the improvement of inspection speed owing to the expansion of the effective viewing field can be achieved. Hereinafter, embodiments according to the embodiment will be described in details with reference to the attached drawings. In the present embodiment, the structure of an inspection apparatus and the inspection method thereof will be described, in which the acceleration voltage, the control voltage and the deceleration voltage are controlled in conjunction so that both the incident energy determined by “acceleration voltage−deceleration voltage” and the bias voltage determined by “deceleration voltage−control voltage” become constant. (Structure of Apparatus) FIG. 1 shows structural components for describing an inspection apparatus according to the embodiment, and the inspection apparatus is configured of an electron optical system 5, a stage unit 6, an image processing unit 7, and a control unit 8. The electron optical system 5 includes an electron source 1, an extraction electrode 9, an anode electrode 4, a condenser lens a10, an alignment coil all, a limiting aperture 12, a condenser lens b13, an alignment coil b14, an ExB deflector 15, a deflector 16, an alignment coil c17, an objective lens 18, a detector 19, a ground electrode 20, and a control electrode 3. The stage unit 6 includes a wafer 2, a wafer holder 21, an insulation material 22, and a stage 23. Herein, it is assumed that the electron optical system 5 and the stage unit 6 are always vacuumed by a vacuum exhaust system though not illustrated in FIG. 1. The image processing unit 7 includes an image forming unit 24, an image processing unit 25, and an image display unit 26. Further, the control unit 8 includes an electron gun power source 27, an electron optical system control power source 28, a control power source, a deceleration power source, a stage control unit 31, and an electron optical system control unit 32. The image display unit 26 mentioned here not only displays images but also has a function as a user interface of an information processor (personal computer or the like). In other words, the image display unit 26 has a function to store information that an operator inputs and collectively control the respective units on the basis of the stored information. The electron gun power source 27 applies the acceleration voltage to the electron source 1 and the extraction voltage to the extraction electrode 9, thereby forming a potential difference between the electron source 1 and the extraction electrode. By doing so, the electron beam is emitted from the electron source 1 and accelerated to the energy of the acceleration voltage in the vicinity of the anode electrode 4 serving as the ground potential. The electron beam is subjected to the convergence effect by the influence of an electromagnetic field generated by the condenser lens a10, and the take-in angle of the electron beam is limited by the limiting aperture 12. Thereafter, the electron beam is subjected to the convergence effect in the electromagnetic field of the condenser lens b13 and forms a crossover in the vicinity of the ExB, and then it is subjected to the convergence effect in the electromagnetic field of the objective lens 18 and forms a focus on the wafer 2. Note that the control voltage is applied to the control electrode just above the wafer 2, and the deceleration voltage is applied to the wafer 2. Accordingly, the bias voltage determined by “deceleration voltage−control voltage” is always applied to the surface of the wafer 2, and the electron beam enters the wafer 2 with the energy equivalent to “acceleration voltage−deceleration voltage”. The secondary electrons 33 generated from the wafer 2 are accelerated to the energy determined by the deceleration voltage, pass through the objective lens 18, and are bent by the ExB deflector 15 and then detected by the detector 19. In this case, the ExB deflector 15 is formed of an electrostatic deflector and an electromagnetic deflector and is adjusted so that the deflection effect is not given to the electron beams traveling from the electron source 1 toward the wafer 2 and only the secondary electrons 33 traveling from the wafer 2 toward the electron source 1 are deflected. With regard to the image forming method, the electron beam is deflected by the deflector 16 so that the electron beam scans the wafer 2, and the secondary electrons 33 taken in by the deflector 19 are imaged by the image forming unit 24 as a map of the secondary electron signal amount synchronized with the scan signal. In the image processing unit 25, images of the same patterns in different places in the wafer 2 are compared, and a defective portion is extracted. Then, the coordinate data of the place where the extracted defect occurs and the image of the defective portion are stored as inspection results. The inspection results can be displayed at any time as the defect distribution and the defect image in the wafer 2 by the image display unit 26. In the embodiment, in the above-described structure, the electron optical system control unit 32 controls the electron gun power source 27, the control voltage power source 29, and the deceleration voltage power source 30 so that the acceleration voltage, the control voltage, and the deceleration voltage work in conjunction, and it also controls the electron optical system control power source 28 so that the electron beam emitted from the electron source 1 always forms a focus on the wafer 2. In concrete, in the case where the bias voltage is set to −100 [V] and the incident energy is set to 500 [eV], when the acceleration voltage is 10 [kV], the deceleration voltage becomes −9.5 [kV] and the control voltage becomes −9.6 [kV], and when the acceleration voltage is 5 [kV], the deceleration voltage becomes −4.5 [kV] and the control voltage becomes −4.6 [kV]. At this time, the excitation of the condenser lens a10, the condenser lens b13, and the objective lens 18 is switched so that the electron beam forms a focus on the wafer 2 under any conditions, and also the orbit of the electron beam is corrected by the alignment coil all, the alignment coil b14, and the alignment coil c17 in order to prevent the image quality deterioration due to the displacement of the orbit of the electron beam. In the embodiment, the control mentioned above is collectively managed by the image display unit 26, in which the image display unit 26 stores the optimal output values of the respective lenses and the respective alignment coils in the case where the acceleration voltage, the control voltage, and the deceleration voltage are controlled in conjunction as a database, and it also controls the respective power sources via the electron optical system control unit 32. (Correction Method of Barrier Potential) Next, the barrier potential which influences the surface charge in the charge control method according to the embodiment will be described with reference to FIG. 6. FIG. 6 is a diagram schematically showing the vicinity of the wafer 2 of the electron optical system shown in FIG. 1 and is used for describing the difference between the bias voltage and the barrier potential. The deceleration voltage (Vr=−5000V) is applied to the wafer 2, and the control voltage (Vc=−5010V) is applied to the control electrode 3 just above the wafer 2. Further, in order to clarify the relation between the barrier potential and the bias voltage, isoelectric lines are schematically illustrated at every 3V. Note that, since there are numerous isoelectric lines between the control electrode 3 and the ground electrode 20, some of them are omitted therein. In this case, the barrier potential exists on the axis on which the primary electron 41 enters the wafer 2, and it plays the function of an energy filter that transmits high energy components among the secondary electrons generated from the wafer 2 and redistributes low energy components to the wafer 2. In FIG. 6, the barrier potential is −5004V, and the secondary electrons 33 emitted from the wafer of −5000V penetrate through the barrier potential if the energy at the time of emission is about 4 eV or higher, and the secondary electrons 33 emitted from the wafer are redistributed to the wafer 2 if the energy at the time of discharge is less than 4 eV. In the embodiment, when the condition of the bias voltage of less than 0V is used, the charge of the surface of the wafer 2 is determined by the barrier potential, and the relation between the bias voltage and the barrier potential changes greatly by the structure in the vicinity of the control electrode 3 and the ground electrode 20. Accordingly, it is necessary to always control the relation between the bias voltage and the barrier potential. FIG. 7 is a diagram showing the relation between the bias voltage and the secondary electron signal amount detected by the detector 19 in the structure according to the embodiment, and the relation between the bias voltage and the barrier potential can be controlled by measuring this relation. Note that, with regard to the relative signal amount on the vertical axis, the signal amount at which the bias voltage is of positive polarity and the signal amount does not depend upon the bias signal is defined as 100%, and signal amounts at respective measurement points are standardized. In this case, the condition of the relative signal amount of 100% is the condition where almost all of the secondary electrons emitted from the wafer 2 are captured by the detector, and it means the condition where there is no barrier potential on the axis. In FIG. 7, signal amounts at the respective measurements with the bias voltage of ±500V are standardized and displayed as relative signal amounts. The white circle in FIG. 7 represents the change of the relative signal amounts in the case where the bias voltage is changed under the conditions of the acceleration voltage of 10 kV and the incident energy of 1000 eV. Further, the black circle represents the change of the relative signal amounts under the conditions of the acceleration voltage of 5 kV and the incident energy of 1000 eV, and the triangle represents the change of the relative signal amounts under the conditions of the acceleration voltage of 5 kV and the incident energy of 500 eV. The relative signal amount at the acceleration voltage of 10 kV becomes maximum at the bias voltage of −280V and rapidly decreases when the bias voltage shifts to more negative values than that. This is because all the secondary electrons generated from the wafer are taken in by the detector at the bias voltage of −280V, and when the bias voltage shifts to more negative values than that, the barrier potential corresponding to the bias voltage is formed for the first time. On the other hand, in the case of the acceleration voltage of 5 kV, the relative signal amount becomes maximum at the bias voltage of −100V in both the cases of the incident energies of 500 eV and 1000 eV, and when the bias voltage shifts to more negative values than that, the barrier potential is formed and the relative signal amount decreases. With regard to the relation between the bias voltage and the barrier potential, the above-mentioned bias voltage at which the relative signal amount becomes maximum is measured, and the barrier potential can be controlled by the difference between the bias voltage to be applied and the bias voltage at which the relative signal amount becomes maximum. More specifically, by using the method described above, the bias voltage can be adjusted so that an appropriate barrier potential can be formed even when the acceleration voltage is changed. Further, the range of the bias voltage effective for the charge control is almost determined by the bias voltage at which the relative signal amount becomes minimum. For example, in the case where the acceleration voltage is 10 kV, since the relative signal amount becomes minimum at the bias voltage of −380V, the range effective for the charge control is approximately ±380V. Note that, with regard to the range of the bias voltage, any range can be set as long as a range almost equal to the effective range obtained by the procedure described above (±380V in the above-described case) is set, and even when the effective range in the above-described case is set to ±500V, there is no need to review the range effective for the charge control if a problem such as image quality deterioration due to excessive charge or the like does not occur in the charge control using the embodiment. (Method for Obtaining the Optimal Conditions Using the Control of the Embodiment) Next, an example of a method for obtaining the optimal inspection conditions in the case where the acceleration voltage, the control voltage and the deceleration voltage are controlled in conjunction as parameters will be described with reference to the attached drawings. FIG. 8 shows the results of calculations of the bias voltage dependency of optical characteristics in the case where the control of the first embodiment is carried out under the conditions of the acceleration voltages of 10, 5, 2 [kV] and the incident energy of 500 [eV]. Note that, in the calculations of optical characteristics, the acceleration voltage to realize the highest resolution is set to 10 kV, and the case where the embodiment is applied is examined. FIG. 8A shows the relation between the bias voltage and the beam diameter, FIG. 8B shows the relation between the bias voltage and the off-axis beam diameter/on-axis beam diameter, and FIG. 8C shows the relation between the bias voltage and the deflection sensitivity, in which the bias voltage ±500 [V] is set to the use range of the charge control function. According to FIG. 8A, when the bias voltage is 1000 [V] or higher, the beam diameter becomes smallest under the condition of the acceleration voltage of 10 [kV]. Meanwhile, when the bias voltage is 0 to 1000 [V], the beam diameter becomes smallest under the condition of the acceleration voltage of 5 [kV], and when the bias voltage is less than 0 [V], the beam diameter becomes smallest under the condition of the acceleration voltage of 2 [kV]. The same tendency can be seen also in FIG. 8B, that is, when the bias voltage is 2000 [V] or higher, the off-axis beam diameter/on-axis beam diameter becomes smallest under the condition of the acceleration voltage of 10 [kV], when the bias voltage is 500 to 2000 [V], the off-axis beam diameter/on-axis beam diameter becomes smallest under the condition of the acceleration voltage of 5 [kV], and when the bias voltage is less than 800 [V], the off-axis beam diameter/on-axis beam diameter becomes smallest under the condition of the acceleration voltage of 2 [kV]. By making the graphs of the relations between the bias voltage and the beam diameter and between the bias voltage and the off-axis beam diameter/on-axis beam diameter for the respective incident energies and the respective acceleration voltages as shown in FIG. 8, it is possible to always perform the inspection under the optimal conditions. For example, in the case of the inspection using the charge control function, if the incident energy of 500 [eV] and the bias voltage of 0 [V] are used as conditions, the acceleration voltage at which the beam diameter and the off-axis beam diameter/on-axis beam diameter become smallest is 2 [kV]. By using the conditions, the charge control at the incident energy of 500 [eV] becomes possible, which has been impossible at the acceleration voltage of 10 [kV]. Further, the effect of optimizing the acceleration voltage is shown in FIG. 8C. More specifically, if an inspection with the controlled charge is to be carried out under the condition where the acceleration voltage (Va) is 10 kV, since the deflection sensitivity is only several [μm/V] or so, a wide viewing field cannot be obtained and the inspection takes much time. Meanwhile, by setting the acceleration voltage to 5 kV, the deflection sensitivity of about 10 [μm/V] can be maintained, and high-speed inspection as conventional can be realized. Further, in the description above, the method for selecting the optimal combinations of the acceleration voltage, the control voltage and the deceleration voltage when the inspection with the controlled charge is carried out has been shown. However, in an inspection where voltage contrasts by the charge are not used or in the case of circuit patterns that are hardly charged, an inspection where the charge is not controlled by the control voltage is effective. In this case, the acceleration voltage is set to 10 [kV] at which the resolution of the optical system becomes highest, and an operator of the apparatus can arbitrarily determine the incident energy and the bias voltage while watching the images of a sample to be inspected (details are shown in the recipe preparation procedure described later). Next, the effect of the embodiment will be described with reference to FIG. 9. FIG. 9 shows SEM images of semiconductor patterns in the case where the acceleration voltage is 5 kV and the embodiment is used and in the case where the acceleration voltage is 10 kV and the embodiment is not used, and it also shows images in the case where the bias voltage is +5000V and the charge is not controlled and in the case where the bias voltage is 0V and the charge is controlled. As is apparent from FIG. 9, in the case of the bias voltage of +5000V, significant difference in the resolution of the images is not observed between the cases of the acceleration voltages of 10 kV and 5 kV. However, in the case of the bias voltage of 0V, the deterioration of resolution is clearly observed when the acceleration voltage is 10 kV, but when the acceleration voltage is 5 kV, the deterioration of resolution can be restrained greatly. (Preparation of Recipe) Next, the procedure for determining the optimal inspection conditions described above will be described. FIG. 10 shows the procedure for determining the optimal inspection conditions, and the user determines the optimal conditions on the basis of this procedure when preparing a recipe. The recipe mentioned here means data including optical conditions necessary for carrying out an inspection, information of a sample to be inspected, inspection results and others, and it is stored in a memory in the image display unit 26 in FIG. 1. Hereinafter, according to the recipe preparation procedure, the procedure for setting the optimal optical conditions of the embodiment will be described. First, before starting the recipe preparation, in order to make a difference from other recipes, basic information of the wafer to be inspected is inputted. This corresponds to “1. Input of product type and process name” in FIG. 10, and the user inputs elements characterizing the sample to be inspected such as the type of the wafer to be inspected, process name and others. The information inputted here serves as the standard for classifying recipes later and reading recipe data from the memory. After the user inputs the basic information of the wafer, it is judged whether an inspection with the controlled charge is necessary or not (process of “2. Whether charge control is necessary?”). When the inspection with the controlled charge is necessary, the user selects the incident energy of the electron beam at “3. Input of incident energy” process, and then inputs the bias voltage corresponding to the charge of the wafer surface during the inspection at “4. Input of bias voltage”. Thereafter, at “5. Setting of optimal acceleration voltage”, the user sets the optimal acceleration voltage on the basis of the graph in FIG. 8 stored in the apparatus. Alternatively, in this process, the apparatus may automatically set the optimal acceleration voltage on the basis of the input values in the processes 3 and 4. Through the series of the processes described above, the optical conditions necessary for realizing the embodiment are set. On the other hand, when the inspection with the controlled charge is not necessary, the image of the sample to be inspected is acquired and the user determines the suitable optical conditions from the contrast of the image at “6. Acquisition of image” and “7. Input of optical conditions”. The setting of the above-described optical conditions can be repeated any number of times according to the instructions from the user, and the final judgment whether the optical conditions are suitable or not is made by the user of the apparatus. Then, when the user judges that the optical conditions are suitable, the user advances the process to next “9. Input of pattern data”. In the process of “9. Input of pattern data”, information of the wafer necessary for the inspection such as the pattern arrangement, inspection area and others of the sample to be inspected is inputted. Then, the inspection is carried out on the basis of the information including the pattern arrangement and the inspection area inputted in this process. In the process of next “10. Registration of alignment image”, an alignment image for correcting coordinates of the sample to be inspected before the inspection is registered. The alignment mentioned here means the process for correcting slight position displacement that occurs when the sample to be inspected is mounted on the sample holder. The alignment image registered in this recipe preparation is stored in the memory in the image display unit 26, and the apparatus automatically judges, from the image, the amount of position displacement of the image taken in at the alignment process from the alignment image stored in the memory and measures the slight position displacement. “11. Input of defect extraction parameters” is the process for determining the threshold value to judge whether a specific point detected by the apparatus is a defect or not in the inspection, and the user of the apparatus can set this arbitrarily. Note that, in the method where the apparatus detects a specific point of the sample to be inspected, images of the same pattern layout in different areas in the sample to be inspected are compared to extract the specific point. Information necessary as recipe data is set through the above processes 1 to 11, and finally, “12. Storage of recipe data” is carried out and the recipe preparation is completed. The user of the apparatus prepares the recipe in accordance with the procedure described above, thereby realizing the inspection with the controlled charge according to the embodiment. (GUI Screen) FIG. 11 shows an example of a GUI screen in the case where the embodiment is applied to an inspection apparatus, and it is the screen to be displayed when the flow of “3. Input of incident energy”, “4. Input of bias voltage”, “5. Setting of optimal acceleration voltage”, and “8. Determination of optical conditions” shown in FIG. 10 is carried out. The screen consists of an input screen of settings of the optical system, a display screen of optical characteristic data, and a result output screen of characteristics of the optical system. The user can input desired incident energy, bias voltage, and probe current in the input screen of settings of the optical system and can update the result by pressing an update button in the result output screen of characteristics of the optical system. Further, the user can judge whether the inputted numeric value is appropriate or not on the basis of the results on the display screen of optical characteristic data and the result output screen. If it is appropriate, the user presses a save button and presses an end button to proceed to the next recipe preparation process. On the other hand, if it is not appropriate, the user can check the result in the same procedure as described above, and this procedure is repeated until the user judges that appropriate optical conditions have been obtained. With regard to the judgment standards of the optimal optical conditions, the user designates the incident energy, the bias voltage and the probe current, and the acceleration voltage at which both the beam diameter and the off-axis beam diameter/on-axis beam diameter become minimum is employed. However, in some bias voltages, the acceleration voltage at which the beam diameter becomes minimum does not match the acceleration voltage at which the off-axis beam diameter/on-axis beam diameter becomes minimum (for example, in the calculation result in FIG. 11, in the range of the bias voltage of 0 to 800 [V], the beam diameter is minimum at the acceleration voltage of 5 [kV] but the off-axis beam diameter/on-axis beam diameter is minimum at the acceleration voltage of 2 [kV].). In such a case, the user can arbitrarily select either of the conditions. In the case of an inspection where emphasis is placed on the resolution, the user may select the acceleration voltage at which the beam diameter becomes minimum, and in the case of an inspection where emphasis is placed on the uniformity of the viewing field more than the resolution, the user may select the acceleration voltage at which the off-axis beam diameter/on-axis beam diameter becomes minimum. Herein, the optical characteristic data to be outputted on the basis of the user input is calculated by optical characteristic simulation software of the beam diameter, the off-axis beam diameter and others incorporated in the image display unit 26 of the apparatus at every time when the user presses the update button, and the result is updated in the optical characteristic display screen and the result output screen of characteristics of the optical system. Further, this operation may be carried out by the simulation software incorporated in the image display unit 26, or manufacturers of the apparatus may store the operation in the memory of the apparatus as a matrix of data at the shipment of the apparatus. Meanwhile, although the image display screen is not illustrated in FIG. 11, the image display screen is provided near the GUI screen illustrated in FIG. 11 in the embodiment, and when the update button mentioned above is pressed, the calculation result of optical characteristics and the image display screen are updated. The user can easily search the optimal optical conditions by use of the above-described GUI screen. As described above, by applying the embodiment to a SEM wafer inspection apparatus, an inspection where the charge is controlled can be performed even for the resist materials and low-k materials where an inspection has been difficult due to the influence of damages. By applying the control according to the embodiment to an inspection apparatus, an inspection where the incident energy is several 100 [eV] and the charge is controlled can be realized. Accordingly, it is possible to perform a highly sensitive inspection in semiconductor patterns that are subject to damage due to the irradiation of high-energy electron beam.
description
The object of the invention is a system for storing radioactive materials comprising: a capsule or canister containing radioactive materials; an outer container housing the said canister; and a ventilation system provided with air inlets and outlets configured in the container to ensure upward helicoidal convection-based ventilation within the container and around the said canister. The invention also includes a specific container, configured by a continuous succession of materials, expressly arranged to optimise the efficiency of gamma and neutron radiation shielding. This invention is applicable in the radioactive waste storage sector, for example, from nuclear plants, such as: spent fuel, activated materials or others that can be considered as a source of radioactive emission. Different background is known for radioactive waste storage devices that have a ventilation system provided with air inlets from the outside and air outlets for the evacuation of heat released by the radioactive emission source. Background of radioactive waste storage devices provided with a body with different layers for radiation shielding is also known. Specifically, document JP2000275396A describes a container made from concrete housing a canister storing the spent fuel. Air is introduced from the bottom of the container and passes around the canister to cool the hot fuel. The container is made up of a removable inner cylinder and an outer cylinder. Document U.S. Pat. No. 8,798,224 describes a container with ventilation system with several inlets and outlets. The outlets start from the inner area of the cover and end in the outer part. The air inlets have the section on the inner and outer surface aligned. There are two ducts describing a circumferential trajectory therebetween. The gap between shells is filled with radiation shielding material. Passive ventilation systems currently used in radioactive waste storage devices have rectilinear air inlets and outlets, or square constant section inlets following the generatrix of a cylinder. All of them generate a vertical upward flow of air inside, which promotes the existence of areas with a lack of air flow in which temperature increases occur due to the accumulation of heat released by the radioactive material. Additionally, these inlets and outlets, due to their geometry and arrangement, cause a drastic decrease in the shielding of those devices with a multilayer system of material, due to the presence of said openings. In the state of the art, there are containers or shielding against radiation by means of concretes that provide shielding against only one type of (gamma or neutron) radiation, not being effective in protection against another type of radiations. Concrete is a material made of a matrix of cement paste into which aggregates are embedded. Concrete for radiation shielding must contain materials that attenuate gamma (photon) radiation and neutron radiation. Concrete for radiation shielding is known to be used in nuclear power plants, radiotherapy hospitals, nuclear research centres and containers for the storage of radioactive waste; in these cases, concrete containing high density aggregates, suitable for shielding against gamma radiation, together with aggregates with high hydrogen content and components containing boron, composition (hydrogen+boron) suitable for shielding against neutron radiation, are used, with hydrogen acting as fast-neutron attenuator-moderator and boron acting as neutron absorber. However, it is a single concrete block that jointly contains these materials to shield both (neutron and photon) radiations and that seeks a balance in the combination of these materials. Spanish patent ES2296522 claims a gamma radiation protection by means of a heavy mass with high density aggregates, such as magnetite. Spanish patent ES2344290 describes a protection against neutron radiation by means of a mass of cement mixed with calcium sulphate, aggregates, water and chemical additives. With this mass, bricks, poured concrete or other material providing this protection against this type of radiation are manufactured. The problem with known solutions is that both types of (gamma and neutron) radiations require different and opposite solutions, since materials that shield against gamma radiation are not appropriate and imply a detriment to the shielding of neutron radiation, and vice versa. The invention relates to a system for storing radioactive materials comprising: a canister carrying the radioactive waste; a ventilation system; and an outer container, comprising a base, a vertically projected case and a cover, said container delimiting a cavity for housing the canister containing the radioactive waste; and having characteristics oriented to solving the drawbacks of the aforementioned background. An object of the invention is the development of a system for storing radioactive materials having an improved convection-based ventilation system, capable of ensuring air circulation throughout the entire space between the canister containing the radioactive waste and the outer container, avoiding both heating points and that the inlets and outlets of the ventilation system represent a drastic decrease in the radiation shielding of the container. Another object of the invention is a container specific to the storage system, with a specialisation of concretes that allows improving the efficiency of the shielding of said container, so that the multilayer combination of concretes manages to shield both gamma radiations and neutron radiations. The storage system incorporates a passive helicoidal convection-based ventilation system, configured by: air inlets at the base of the container, which pass through the container wall; a helicoidal upward air circulation area between the canister and the outer container; and air outlets through a wall of the cover, which can also pass through the horizontal surfaces thereof. According to the invention, at least the air inlets have a decreasing section variation, in the direction of air circulation therethrough; i.e., a decreasing section in the direction of air entry into the container, and optionally the outlets having a decreasing section in the direction of air exit. This variation in section causes, due to Venturi effect, a gradient of pressures and velocities, at least, in the incoming air flow, promoting both the entry of air into the container and its subsequent exit to the outside. These inlets and outlets, in addition to the aforementioned decreasing variation in section in the direction of air circulation, face an oblique direction with respect to the radial direction of the container, causing the air to describe between the inlets and the outlets a convection-based upward helicoidal path around the canister; ensuring a helicoidal circulation of air throughout the entire space between the canister and the inner surface of the container. According to the invention, each of the air inlets and outlets have an outer mouth and an inner mouth, which are laterally out of alignment and which, preferably, are also geometrically arranged at different elevations or heights. In this way, the outer and inner mouths, of a same inlet or outlet, are arranged offset both radially and in height, and this design prevents a drastic decrease in the shielding provided by the container. Therefore, the ventilation system consists of a passive helicoidal convection-based ventilation system thanks to the design of the air inlets and outlets, which improves the circulation of air inside the container that generates a Venturi effect and, in addition, avoids a drastic decrease in the shielding of the container due to the presence of said openings. The helicoidal upward flow of air ensures air circulation throughout the area between the canister and the inner surface of the container, improving heat transfer from the canister to the outside and avoiding the existence of areas without air circulation and overheating. In addition, both the orientation of the air inlets and outlets and their design provide high hydraulic efficiency and thus a thermal improvement. In this way, direct radiation passing through said inlets and outlets from the radioactive source, contained in the canister, to the outside of the container is minimised. This is achieved by: the curved shape of the inlets orienting the air flow causing an upward helicoidal path; the function of the curved shape of the outlets, with a geometry similar to that of the inlet, placing the largest section inside the duct, promoting the channeling of air to the outside; the design of its inlet and outlet mouths out of alignment. This invention includes a specific container, in which the casing, the cover and the base of the container are configured with several layers, multilayer, of concretes specialised in the shielding of different types of radiations; for this purpose, several layers, each of different material, or of the same material with different compositions, are arranged. This achieves another object of the invention, which is to configure a container with optimal gamma and neutron radiation shielding, by combining different layers of concrete, each of which being intended for the shielding of a type of radiation. The storage of radioactive materials requires that the systems used to house them inside be constructed of materials capable of making the radiation outside them as low as possible. The main radiations that must be shielded by the storage container are gamma radiation and neutron radiation. The container is intended to contain the canister, configured by a continuous succession of materials, expressly arranged to optimise the efficiency of gamma and neutron radiation shielding from the radioactive material housed in the canister. In one embodiment, said container comprises a casing with at least one steel shell that serves, among other functions, as permanent formwork to the multilayer system of concretes specialised in gamma radiation and neutron radiation shielding. Other configurations could be provided, such as several concentric shells with different radii, housing inside the specialised concretes; or, two shells, one external, analogous to the previous one, and the other external configuring the inner surface of the container. The cover consists of a metal structure that contains the free accesses of the air circulating inside the container, helping the evacuation to the outside of the air flow circulating inside the container. This structure serves as a permanent formwork for the subsequent concreting of the same. The container base has a peripheral portion with a structure analogous to the sidewall of the container casing and a steel plate closing the assembly and forming a container support surface for an upright position. With regard to the multilayer system, the container of the invention differs from the existing solutions in that the arrangement and specialisation of the concretes are identified, each of them being resistant to high temperatures, being dosed and positioned in the container in a specific way, each of them having a function, allowing to achieve a quantitative improvement in the shielding against gamma and neutron radiations coming from the radioactive source. The multilayer effect of the container cover is achieved by phased differentiated concreting, which allows the non-homogeneity of the different layers that make it up. This multilayer system may have a variable number of layers of different materials, or the same material with different compositions. According to the invention, the multilayer container of the storage system comprises: —at least one layer of high-density specialised concrete, which includes in its dosing high-density aggregates to shield gamma radiation and reduce the energy of part of neutron radiation, and; —at least one other layer of neutron radiation specialised concrete, which reduces the energy of neutron radiation (thermalisation) and absorbs much of it, and which includes in its dosing aggregates with high-hydrogen content and aggregates with a significant content of a neutron absorber; the layer of concrete specialised in the shielding against gamma radiation being preferably arranged between the canister and the concrete specialised in the shielding against neutron radiation. The specialised concrete layer for shielding against gamma radiation is a material medium that, due to its high density, blocks all or part of the photons coming from the radiation source, based on the interaction mechanisms of gamma radiation with matter: photoelectric effect (low energy photons), Compton effect (intermediate energy photons) and pair creation (very high energy photons). The aim of neutron shielding is to block all or part of the neutrons coming from the radiation source. For this purpose, this container comprises a combination of materials that act as fast-neutron moderators and as neutron braking or thermalisation, highly hydrogenated materials (water, paraffin, polymeric fibres), with others that act as absorbers, which capture neutrons. These neutron shields are based on the mechanisms of interaction of neutron radiation with matter: collisions (fast-neutron braking, thermalisation) and nuclear reactions—absorption or fission—(capture of neutrons). The characteristics of the invention will be more readily understood in view of the example embodiment shown in the accompanying drawings described below. As can be seen in FIG. 1, the system for storing radioactive materials, object of this invention, comprises a container (C) with a casing (1), a base (2) and a cover (3) that delimit a cavity for housing a canister (4) visible in FIG. 2, containing radioactive waste. In FIGS. 2 and 3, the passive helicoidal convection-based ventilation system is seen provided with: air inlets (5) defined in the base (2) of the container (C); an area (6) of helicoidal circulation of air in an upward direction comprised between the canister (4) and the casing (1) of the container (C), and air outlets (7) to the outside, comprised in the cover (3). In FIG. 3, the inlets (5) have an outer mouth (51) and an inner mouth (52), having a decreasing variation in section in the direction of air entry; while the outlets (7) have an inner mouth (71) and an outer mouth (72) that also have a decreasing section in the direction of air exit inside them. In said FIG. 3, the inlets (5) and the outlets (7) face an oblique direction with respect to the radial direction of the container, that is, with a tangential component, so that the circulation of air inside the container (C), between the inlets (5) and the outlets (7), defines an upward helicoidal path around the canister (4), as depicted in FIG. 2. As can be seen in FIGS. 4 and 6, the outer mouth (51) and the inner mouth (52) of the inlet (5) are geometrically arranged at different elevation or height. This variation in section causes, due to Venturi effect, a gradient of pressures and velocities in the incoming and outcoming air flow, promoting both the entry of air into the system and its subsequent exit to the outside. Specifically, at the inlet (5) there is an increase in the velocity (V2>V1) and a decrease in the pressure (P2<P1) and in the temperature (T2<T1) of the circulating air. Similarly, as shown in FIG. 5, the inner mouth (71) and outer mouth (72) of the outlets (7) are also at different elevation or height, producing in them an increase in the velocity (V4>V3) and a decrease in the pressure (P4<P3) and in the temperature (T4<T3) of the circulating air. As can be seen in FIGS. 2 and 3, the casing (1) of the container (C) comprises in this exemplary embodiment: an outer shell (11), an inner shell (12) and an intermediate shell (13), all of steel, and which form a permanent formwork for a high-density concrete layer (14), specialised to shield gamma radiation and reduce the energy of part of the neutron radiation; and another concrete layer (15) specialised to shield neutron radiation and, preferably, arranged externally with respect to the first concrete layer (14). The high-density concrete layer (14), specialised to shield gamma radiation and reduce the energy of part of the neutron radiation, includes in its dosage high density aggregates; in this specific case iron minerals, for example: hematite, ilmenite, lepidocrocite, goethite, limonite, magnetite or the like. The concrete layer (15), specialised in neutron radiation, includes in its dosage aggregates with high hydrogen content which, for example, may be of: serpentine, limonite, goethite, bauxite or the like; and aggregates with a significant content of a neutron absorber, which may be of: colemanite, boron calcite, ferro boron, boron frit, witherite or the like. Thus, the concrete layer (14), specialised against gamma radiation, is arranged between the radioactive source contained in the canister (4) and the other concrete layer (15), specialised in shielding against neutron radiation. As can be seen in FIG. 2, the base (2) of the container (C) has a peripheral portion with a layered structure analogous to the wall of the casing (1) and has a closing plate (21), depicted in FIG. 6, which forms a surface for supporting a canister (4), located vertically inside the container. The cover (3) of the container (C) has a metal structure, similar to that of the base (2) and contains the outlets (7) of the ventilation system, forming a permanent formwork for the differentiated concreting thereof with concretes specialised against radiation, analogous to those used in the casing (1). Once the nature of the invention as well as an example of preferred embodiment have been sufficiently described, it is stated for all pertinent purposes that the materials, form, size and arrangement of the elements described are susceptible to changes, provided these do not involve an alteration of the essential features of the invention which are claimed below.
summary
claims
1. A method for mitigating a stress corrosion cracking of structural material in a nuclear power plant, comprising the step of:injecting hydrogen and a reductive nitrogen compound containing nitrogen having a negative oxidation number into a reactor water of a boiling water nuclear power plant; andstopping injection of the reductive nitrogen compound when the oxygen concentration reaches a prescribed value,wherein said reductive nitrogen compound is injected into the cooling water from at least any one of a system line selected from a group consisting of a reactor water clean up system, a primary loop re-circulation system, an emergency core cooling system and a control rod drive hydraulic system, at a time of start up operation or shut down operation of the reactor, and during a part or all of a period of injecting the hydrogen into the reactor water,wherein the injection of said reductive nitrogen compound is stopped when the oxygen concentration in the cooling water has become a prescribed value or less, andwherein the injection of said reductive nitrogen compound is controlled so that the concentration of said reductive nitrogen compound in the cooling water comes to 9.4×10−6 mol/liter or less. 2. The method for mitigating a stress corrosion cracking of structural material in a nuclear power plant according to claim 1, wherein the injection of said reductive nitrogen compound is stopped when the ammonia concentration in the cooling water becomes a prescribed value or more. 3. The method for mitigating a stress corrosion cracking of structural material in a nuclear power plant according to claim 1, wherein said reductive nitrogen compound is injected into the cooling water from at least any one system line selected from the group consisting of a reactor water clean up system, a primary loop re-circulation system, an emergency core cooling system and a control rod drive hydraulic system, at the time of start up operation or shut down operation of the reactor. 4. The method for mitigating a stress corrosion cracking of structural material in a nuclear power plant according to claim 3, wherein a site of the injection of said reductive nitrogen compound from the reactor water clean up system line is at least one injection connection selected from the group consisting of an injection connection provided on a line connecting the down stream of the filter demineralizer and the reactor pressure vessel and an injection connection provided on the line connecting the down stream of the connection part in the reactor water clean up system line of the sampling line provided for the purpose of measuring water quality at the outlet of filter demineralizer and the reactor pressure vessel. 5. The method for mitigating a stress corrosion cracking of structural material in a nuclear power plant according to claim 1, wherein said reductive nitrogen compound is at least any one compound selected from the group consisting of hydroxylamine, carbohydrazide, hydrazine, ammonia and diazine.
055240305
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The driver assembly 100 (see FIGS. 6 and 7) consists of a drive housing assembly 104 and a drive screw assembly 155. Drive housing assembly 104 in turn comprises a pair of lugs or ears 105, 105', a drive housing 106 and a drive base 107, all made of stainless steel, and a bronze bushing 108 having a threaded bore. Drive screw assembly 155 comprises a drive screw 156 threadably coupled to the threaded bore of bushing 108, a thrust plate 157 and a bearing 158 which allows thrust plate 157 to rotate relative to drive screw 156. A plastic washer 159 is captured between the drive base 107 and the larger-diameter portion of the drive screw 156 to provide a cushion for the drive screw when it is lowered to a backseat position. This prevents the drive screw from being locked against the drive base due to overtightening. As best seen in FIG. 5, the driver assembly is attached to the CRD by means of lugs 105, 105' and ring flange cap screws 9. The probe assembly 110 is seated atop the thrust plate 157 and slides inside drive housing 106 along the CRD axis as drive screw 156 is turned. In accordance with one procedure for removing the CRD, the housing 12 and position indicator probe 12a are removed as a unit and then the piston nut 16 is removed. The uncoupling tool 110 is screwed onto the threaded end 15c of the piston tube as shown in FIG. 5. In this way the lock plug 94 (see FIG. 3) and the uncoupling tool are effectively coupled (i.e., via the piston tube 15 and the uncoupling rod 48). The details of the structure of probe assembly 110 are shown in FIGS. 8, 9A, 9B and 10. The probe assembly comprises a probe 118 contained in a probe housing 116 connected to a frame base 115a. Frame base 115a has a threaded bore which screws onto the threaded end 15c of the piston tube 15. The two legs of a U-shaped frame member 115b extend from frame base 115a (see FIG. 8). A base 138 is bolted to the crosspiece of frame member 115b. Base 138 sits on the thrust plate 157 of the driver assembly 100 (see FIG. 5) during lifting of the piston tube assembly. Frame member 115b is the sole member transmitting the load exerted by the driver assembly from base 138 to frame base 115a. Frame member 115b supports a battery holder and a printed circuit board 147, hereinafter referred to as the "CRD position module". A housing (not shown) and a lamp housing 140 are held between frame base 115a and tool base 138. A plurality of indicator lamps are electrically connected to CRD position module 147 and housed in lamp housing 140 in front of a pair of reflectors. The housing is provided with a window for inserting batteries into the battery holder. After battery insertion, a sleeve 135 is slid over the housing and O-ring seals and then locked into place by a threaded locking ring 151. The probe 118 has three analog position sensors 119a-c mounted between spacers such as spacers 123 and 124 shown in FIG. 9A. Sensors 119a-c are Hall effect transistors electrically connected via a five-pin connector 126 to CRD position module 147, as shown in FIG. 10. Each sensor outputs a voltage proportional to magnetic flux. The spacers are made of low-carbon steel and have truncated conical ends which focus the magnetic flux onto the adjacent sensor. Each analog position sensor comprises a hemispherical transistor with three electrodes all mounted on a substrate. These structural details are depicted in FIGS. 9A and 9B for sensor 119b. Sensors 119a and 119c have similar structures. The space surrounding each sensor is filled with a silicon compound and closed with a respective cover 120. Each cover is provided with three holes for passage of the three terminals of the Hall effect transistor. Wire 125 carries the transistor output to the CRD position module 147 via a channel formed between probe 118 and probe housing 116. The output terminal which carries the analog position indication signal is connected to the CRD position module 147 as shown in FIG. 10. The CRD position module is programmed to compare the voltage output by each sensor with a respective threshold voltage and then selectively activate one of three indicators: a pair of green LEDs 130, 130', a yellow LED 131 or a red LED 132. An intermittently illuminated red LED 132' is used to indicate a low battery condition. The LEDs are visible through lamp housing 140, which is made of a polished transparent plastic, e.g., polycarbonate. Module 147 is powered via a two-pin connector 134 by batteries held in battery holder 128. During upward travel of probe 118 relative to the drive piston 24, the top sensor 119a moves into proximity with the ring magnet 67 in magnet housing 24a. The voltage output by sensor 119a increases as the axial distance between the sensor and the centerline of the magnet decreases. When the sensor output voltage exceeds a predetermined threshold, module 147 turns on red LED 132. As the ring magnet is displaced downward during drive piston withdrawal and control rod uncoupling, the voltage output by sensor 119b increases. However, as long as the output of sensor 119a remains above its threshold, module 147 will continue to illuminate the red LED, i.e., if sensors 119a and 119b are both outputting voltages which exceed their respective thresholds, then module 147 is programmed to give the red indicator precedence. Only when the output of sensor 119a falls below its threshold and the output of sensor 119b remains above its threshold will the red LED 132 be switched off and yellow LED 131 be switched on. As the magnet housing is displaced further downward during drive piston withdrawal, the voltage output by sensor 119c will eventually exceed its respective threshold. If sensors 119b and 119c are both outputting voltages which exceed their respective thresholds, then module 147 is programmed to give the green indicator precedence by turning off the yellow LED and turning on a pair of green LEDs 130, 130'. (Two green LEDs are provided in order to increase the intensity of the green indicator.) Thus, the yellow LED is illuminated only if sensor 119b is outputting a voltage above its threshold and sensors 119a and 119c are both outputting voltages below their respective thresholds. A continuously illuminated red LED indicates the control rod is in the "full out" position; the illuminated green LEDs indicate the control rod is in the "overtravel" position, i.e., is uncoupled; and an illuminated yellow LED indicates that the control rod is in a "transition" position therebetween. Since the limit of drive piston down travel is provided by the backseat position of the control rod in its associated reactor vessel guide tube (not shown), switch 119c is closed only when the control rod and CRD are uncoupled and, when closed, provides an annunciation of this condition. This condition indicates that the CRD can be safely removed from the CRD housing. Red LED 132' will illuminate intermittently in response to detecting a low battery condition even when probe assembly 110 is not installed on threaded end 15c of the piston tube. The particular driver assembly disclosed above is not required in order to utilize the probe assembly. Any conventional means for bearing against base 138 and lifting the probe assembly and the piston tube assembly connected thereto can be used in place of the driver assembly. For example, a conventional tool known as a "pogo stick" can be used to uncouple the control rod by lifting the probe assembly. The preferred embodiment has been disclosed for the purpose of illustration. Variations and modifications of the disclosed structure which do not depart from the concept of this invention will be apparent to mechanical engineers skilled in the art of control rod drive tools. All such variations and modifications are intended to be encompassed by the claims set forth hereinafter.
039727726
claims
1. Filter system for the purification of blowdown water in steam power plants having nuclear reactors, comprising a blowdown water line, an electromagnetic filter having an inlet connected to said blowdown water line and having an outlet line, a mixed bed filter for ionogenic impurities connected to said outlet line of said electromagnetic filter, a by-pass line disposed in parallel with said mixed bed filter, means for purifying said electromagnetic filter and said mixed bed filter independently of each other comprising, for purifying said electromagnetic filter, a closable valve connected in said outlet line and an openable valve connected in said by-pass line and, for purifying said mixed bed filter, an outlet line extending from said mixed bed filter and at least one inlet line connected to said mixed bed filter for supplying a regenerating medium thereto, and valve means connected to said by-pass line and to said outlet line of said mixed bed filter and being selectively actuable, when purifying at least one of said filters, to connect said one of said filters to a waste water preparation installation and, when filtering blowdown water in at least one of said filters, to connect said one of said filters to a cooling water circulatory system. 2. Filter system according to claim 1, wherein a resin catcher is connected in said outlet line of said mixed bed filter.
042773083
abstract
There is provided a nuclear reactor count-factor-increase time monitoring circuit which includes a pulse-type neutron detector, and means for counting the number of detected pulses during specific time periods. Counts are compared and the comparison is utilized to develop a reactor scram signal, if necessary.
description
Existing IT performance management tools enable detection of performance changes by thresholding on performance metrics. The tools detect the presence of a performance change when a performance metric passes a threshold. For example, a threshold can be set for each performance metric and an alarm is generated at the time samples when at least one of the performance metrics exceeds its threshold. In a specific example, an alarm can be generated when the response time for a web page exceeds a threshold of 3 seconds. Often, two thresholds including upper and lower thresholds are set, and an alarm is generated when a performance metric either exceeds the upper threshold or falls below the lower threshold. The thresholds can be set either manually or automatically. Setting thresholds manually is challenging since, in a large-scale distributed service, typically hundreds to thousands of performance metrics exist, each with a potentially different characteristic. An alternative is automated threshold setting in which thresholds are based on the statistics such as means, standard deviations, or percentiles, and are computed using historical measurements of the metrics. For instance, the thresholds can be set at 5th and 95th percentiles of the historical measurements of a metric, or at three standard deviations above and below the average or mean of the historical measurements of a metric. Detecting changes through thresholding is a poor approach due for several reasons. First, thresholds are misleading when the performance metric shows multiple behaviors due to cyclic variations, for example weekly or monthly variations. In such cases, a single set of thresholds, such as a single pair of upper and lower thresholds, is insufficient to capture the behavior and for basing detection decisions. Second, thresholding assumes that the impact of change is due only to the amount of the change and does not take into account the duration of the change, leading to false change detection alarms as well as missed change detections. Finally, thresholding does not provide a global view of the detected changes. For example, information regarding when the new performance metric behavior starts and ends is not clear, resulting in difficulty in determining accurate diagnosis and recovery decisions following the detection of a change. Embodiments of systems, articles of manufacture, and associated computer-executed methods determine an optimum temporal segmentation for automated information technology (IT) management. A computer-executed method detects changes in a performance metric in an automated information technology (IT) management system comprising defining a plurality of temporal segments as sets of contiguous time samples wherein time samples within a segment are mutually more similar in terms of performance metric behavior than time samples in previous and subsequent segments, and discovering the segments using an information-theoretical approach. Detecting changes in the performance metric can further comprise associating cost with the segments that is lesser for homogeneous metric behavior and greater for heterogeneous metric behavior within a segment, and finding segmentation that minimizes the cost using dynamic programming. The segments can be discovered by discovering the number of segments, discovering starting and ending time samples for the segments, and discovering statistical character of the segments comprising mean vectors and covariance matrices. Embodiments of systems, articles of manufacture, and associated operating methods enable optimum temporal segmentation for automated information technology (IT) management. For large-scale distributed web services, changes in the performance metric behavior are indications of either service problems or cyclic performance behavior. In the case of service problems, detection of the changes, followed by the diagnosis and recovery of the problems, is highly useful to avoid loss of revenue for the service providers and reduced satisfaction of the service users. In the case of cyclic performance behavior, such as occurs because application throughputs for weekdays and weekends are often different, detection of the changes in the performance behavior is useful for capacity planning to use system resources efficiently. Both online detection, such as detection of anomalies in real time as metric measurements are acquired, and offline detection including detection of anomalies in the past measurements (in the past week or past month for understanding the service behavior, changes in the behavior, and any recurring problems) facilitate discovery and addressing service problems and capacity planning. The impact of the change in the performance metric behavior is measured by both the amount of the change and the duration of the change. A small change in a performance metric, for instance, may have a significant impact on the service if its duration is long, while a large change in the metric that lasts for only a single time epoch can often be ignored as an outlier. Detecting performance changes in IT environments are highly useful for discovering and recovering from service problems and for efficient capacity planning. Existing management tools include threshold-based detection tools which have many shortcomings including the need to set a threshold for each metric, the inability to take the duration of change into account, and the inability to account for cases when metrics exhibit cyclic performance behavior. The illustrative systems, articles of manufacture, and associated operating methods enable an approach for detecting performance changes without thresholding, thereby addressing shortcomings of threshold-based techniques. The technique can use only a single parameter which is mathematically related to a definition of change in terms of change duration and amount. The illustrative techniques can determine how the single parameter is set based on the mathematical relation, possibly taking into consideration other information, such as actual data and simulations. The illustrative systems, articles of manufacture, and associated operating methods enables a thresholdless approach to detecting performance changes in IT environments and avoids the shortcomings of the threshold-based techniques such as the need to set a threshold, high ratios of false alarms and missed detections, and addresses the case cyclic performance behavior. The technique can use only a single parameter which is mathematically related to the definition of change in terms of the change duration and amount. The illustrative systems, articles of manufacture, and associated operating methods enable a thresholdless, segment-based approach to detecting changes in the performance metric behavior for large-scale distributed web services, where a segment is a set of contiguous time samples such that the time samples within the segment are more similar (in terms of their performance metric behavior) to one another than the time samples in the previous and next segments. The technique involves discovery of the changes, for example in the segments. Discovery implies finding the number of segments, the locations of the segments and the statistical character of the segments using information-theoretic and signal processing techniques. The technique can use a single parameter which is mathematically related to the definition of change in terms of the change duration and amount, and enables setting of the parameter. Referring to FIGS. 1A, 1B, 1C, and 1D, schematic block and pictorial diagrams illustrate embodiments of an automated information technology (IT) management system that optimizes temporal segmentation. As shown in FIG. 1A, an illustrative automated information technology (IT) management system 100 comprises a performance analyzer 102 configured for detecting changes in a performance metric. The performance analyzer 102 comprises multiple logic elements. One logic 104 defines a plurality of temporal segments as sets of contiguous time samples wherein time samples within a segment are mutually more similar in terms of performance metric behavior than time samples in previous and subsequent segments. Another logic 106 discovers the segments using an information-theoretical approach comprising discovering number of segments, discovering starting and ending time samples for the segments, and discovering statistical character of the segments comprising mean vectors and covariance matrices. Another logic 108 associates cost with the segments that is lesser for homogeneous metric behavior and greater for heterogeneous metric behavior within a segment. A further logic 110 finds segmentation that minimizes the cost using dynamic programming. In some embodiments, the IT management system 100 can further comprise a response tool 112 that responds to detection of changes in the performance metric, and a server 114 that executes the performance analyzer 102, and the response tool 112. Referring to FIG. 1B, an IT management system embodiment can include a performance analyzer 102 further comprising a logic 116 that collects performance metrics over time as a plurality of temporal segments including contiguous time samples wherein time samples within a segment are mutually more similar than time samples in previous and subsequent segments. Another logic element 118 can determine a weighted cost of segmentation wi,j according to equation (1): w i , j = ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ ; ( 1 ) wherein Xt is a value of the performance metric, μi,j is an average value of the performance metric between time samples i and j, and λ is a compactness parameter. A further logic element 120 a logic that finds segmentation that minimizes the sum of segment weights wi,j for a specified value of λ using dynamic programming. Referring to FIG. 1C, an IT management system embodiment can include a performance analyzer 102 further comprising a logic 122 that defines an anomaly determined by a length m of an anomalous segment and deviation of the anomalous segment from normal behavior. A logic 124 sets the compactness parameter λ according to the defined anomaly, and scales the compactness parameter λ by an estimate of data variance according to equation (2): λ = m ⁡ ( μ n - μ m ) 2 2 ⁢ ⁢ K ⁢ , ( 2 ) wherein a segment is a mixture of two distributions comprising a first distribution of mean μn with n time samples and a second distribution of mean μm with m time samples, and K is the estimate of data variance. The performance analyzer 102 can further comprise a logic 126 that estimates the data variance K by taking variance of data after excluding a selected lowest percentile and a selected highest percentile of data values. Referring to FIG. 1D, an IT management system embodiment can include a performance analyzer 102 further comprising a logic 128 that globally minimizes equation minS{CS+λ(Number of Segments)} using dynamic programming wherein CS is cost of segmentation S for a monotonic function of segment number. The logic 128 comprises a logic 130 that determines the contribution of a segment (i,j) to equation minS{CS+λ(Number of Segments)} according to equation (3), as follows: w i , j = 1 K ⁢ ∑ t = 1 j ⁢ ( X t - μ i , j ) 2 + λ , ( 3 ) wherein Xt is a value of the performance metric, μi,j is an average value of the performance metric between time samples i and j, λ is a compactness parameter, and K is estimated data variance. The performance analyzer 102 can further comprise a logic 132 that determines segmentation that minimizes segment cost sum by setting n=1 and s1=w1,1, setting n=n+1, and setting sn=min1≦k<nsk+wk,n, and setting kn=argmin1≦k<n(sk+wk,n) for n<N for an ordered set of N samples with segment costs wi,j. Referring to FIG. 2, a schematic block diagram depicts an embodiment of an article of manufacture 250 implementing an automated information technology (IT) management system that optimizes temporal segmentation. The illustrative article of manufacture 250 comprises a controller-usable medium 252 having a computer readable program code 254 embodied in a controller 256 for detecting changes in a performance metric. The computer readable program code 254 causes the controller 256 to define a plurality of temporal segments as sets of contiguous time samples wherein time samples within a segment are mutually more similar in terms of performance metric behavior than time samples in previous and subsequent segments. The program code 254 further causes the controller 256 to discover the segments using an information-theoretical approach comprising discovering number of segments, discovering starting and ending time samples for the segments, and discovering statistical character of the segments comprising mean vectors and covariance matrices. The program code 254 also causes the controller 256 to associate cost with the segments that is lesser for homogeneous metric behavior and greater for heterogeneous metric behavior within a segment. The program code 254 further causes the controller 256 to find segmentation that minimizes the cost using dynamic programming. Referring to FIGS. 3A through 3D, flow charts illustrate one or more embodiments or aspects of a computer-executed method for determining optimum temporal segmentation for automated information technology (IT) management. FIG. 3A depicts a computer-executed method 300 for detecting 302 changes in a performance metric in an automated information technology (IT) management system comprising defining 304 a plurality of temporal segments as sets of contiguous time samples wherein time samples within a segment are mutually more similar in terms of performance metric behavior than time samples in previous and subsequent segments, and discovering 306 the segments using an information-theoretical approach. The segments can be discovered 306 by discovering 308 the number of segments, discovering 310 starting and ending time samples for the segments, and discovering 312 statistical character of the segments comprising mean vectors and covariance matrices. Referring to FIG. 3B, detecting 302 changes in the performance metric can further comprise associating 314 cost with the segments that is lesser for homogeneous metric behavior and greater for heterogeneous metric behavior within a segment, and finding 316 segmentation that minimizes the cost using dynamic programming. Referring to FIG. 3C, a computer-executed method 320 for detecting changes in a performance metric can further comprise determining 322 the cost as a sum over a plurality of time samples of a square of difference between a metric value of individual samples and an indicator of homogenous behavior. In an example implementation, the indicator of homogenous behavior can be variance. In some embodiments, the method 320 can further comprise solving 324 a Lagrangian minimization problem for a predetermined compactness parameter. The Lagrangian minimization problem can be solved 324 by finding 326 a segmentation that minimizes a sum of segment weights, and determining 328 the sum of segment weights as a square of a difference between a quantity comprising the metric value of individual samples and the indicator of homogenous behavior, increased by the compactness parameter determined using dynamic programming. For example, a segment can be formed for a condition that the ratio of a decrease in cost to an increase in segment number resulting from existence of the segment is larger than the compactness parameter. Referring to FIG. 3D, a computer-executed method 330 for detecting changes in a performance metric can further comprise defining 332 an anomaly based on a determination of length of an anomalous segment and deviation of the anomalous segment from normal behavior. The compactness parameter can be set 334 based on the anomaly definition. The method 330 can further comprise determining 336 an estimate of data variance by excluding data values in a selected lowest percentile and a selected highest percentile, and scaling 338 within-segment variances by the estimate of data variance. The compactness parameter can be set 340 data-independently based on length of scaled anomaly and deviation of the anomaly from normal behavior. In some embodiments, the Lagrangian minimization can be solved to globally minimize over all possible segmentations and discover number, locations, and character of the segments. The method can further comprise detecting changes in service conditions, and detecting changes in cyclic performance behavior. Referring to FIGS. 4A through 4D, flow charts illustrate one or more embodiments or aspects of a computer-executed method for determining optimum temporal segmentation for automated information technology (IT) management. FIG. 4A depicts a computer-executed method 400 for detecting 402 changes in a performance metric in an automated information technology (IT) management system comprising collecting 404 performance metrics over time as a plurality of temporal segments comprising contiguous time samples wherein time samples within a segment are mutually more similar than time samples in previous and subsequent segments. A weighted cost of segmentation wi,j is determined 406 according to equation (4) as follows: w i , j = ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ , ( 4 ) wherein Xt is a value of the performance metric, μi,j is an average value of the performance metric between time samples i and j, and λ is a compactness parameter. Segmentation is found 408 that minimizes the sum of segment weights wi,j for a specified value of λ using dynamic programming. Referring to FIG. 4B, an embodiment of a method 410 for detecting changes in a performance metric in an automated information technology (IT) management system can further comprise constraining 412 the sum of segment weights wi,j by entropy, and determining 414 a weighted cost of segmentation wi,j according to equation (5): w i , j = ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ ⁡ ( i - j ) · log ⁡ ( i - j ) . ( 5 ) Referring to FIG. 4C, a method 420 for detecting changes in a performance metric can further comprise defining 422 an anomaly determined by a length m of an anomalous segment and deviation of the anomalous segment from normal behavior, and setting 424 the compactness parameter λ according to the defined anomaly. The compactness parameter λ can be scaled 426 by an estimate of data variance according to equation (6): λ = m ⁡ ( μ n - μ m ) 2 2 ⁢ ⁢ K ⁢ , ( 6 ) wherein a segment is a mixture of two distributions comprising a first distribution of mean μn with n time samples and a second distribution of mean μm with m time samples, and K is the estimate of data variance. The data variance K can be estimated 428 by taking variance of data after excluding a selected lowest percentile and a selected highest percentile of data values. In an example implementation, the compactness parameter λ can be set 424 based on anomaly length and anomaly deviation from normal behavior by analyzing 430 the anomaly according to a formula ab2/2 wherein a is anomaly length and b is distance of normal from abnormal normalized by normal variance K. Referring to FIG. 4D, a method 440 for detecting changes in a performance metric can further comprise globally minimizing 442 equation minS{CS+λ(Number of Segments)} using dynamic programming wherein CS is cost of segmentation S for a monotonic function of segment number. Global minimization 442 can comprise determining 444 contribution of a segment (i,j) to equation minS{CS+λ(Number of Segments)} according to equation (7), as follows: w i , j = 1 K ⁢ ∑ t = 1 j ⁢ ( X t - μ i , j ) 2 + λ , ( 7 ) wherein Xt is a value of the performance metric, μi,j is an average value of the performance metric between time samples i and j, λ is a compactness parameter, and K is estimated data variance. Global minimization 442 can further comprise determining 446 segmentation that minimizes segment cost sum by setting n=1 and s1=w1,1; setting n=n+1 and sn=min1≦k<nsk+wk,n; and setting kn=argmin1≦k<n(sk+wk,n) for n<N; for an ordered set of N samples with segment costs wi,j. The illustrative techniques can focus on the discovery of the changes or the segments, rather than determining which of the segments is anomalous. The segmentation problem can be viewed as an optimization problem. The optimization problem can be solved through dynamic programming. The illustrative optimum temporal segmentation technique, performance metrics collected over time are viewed as comprising temporal segments, where a segment is a set of contiguous time samples such that the time samples within the segment are more similar (in terms of performance metric behavior) to one another than the time samples in the previous and next segments. An information-theoretic approach to discovering the segments is derived. Such information-theoretic approaches including discovering the number of segments, the time samples at when each segment starts and ends, and the statistical character of the segments such as mean vectors and covariance matrices, and others. A segmentation S is defined with the starting time samples of the segments, where the index ik is used to denote the start sample of the kth segment of the segmentation. Thus, each S is uniquely defined by a set of iks. Each segmentation S is associated with a cost. Intuitively, the cost is selected to be small if the metric behavior within the segments of the segmentation is homogenous, and large if the behavior is highly heterogeneous. A technique for setting of the cost and use of dynamic programming to find segmentation which minimizes the cost are disclosed hereinafter. Typically, the cost CS, of segmentation S can be selected as a sum of segment variances, such as according to equation (8) as follows: C S = ∑ i k ∈ S ⁢ ∑ t = i k i k - 1 ⁢ ( X t - μ i k , i k - 1 ) 2 ; ( 8 ) where Xt is the value of the metric, for example response time, throughput, CPU utilization, and the like, at time sample t, and μik,ik-1 is the average metric value between time samples ik and ik-1. The variance is a reasonable choice since low variance is often a good indicator of homogenous behavior. However, the weight can be set to other measures of homogeneity as well. The goal of segmentation is not only to find a set of homogeneous segments, but also to find a compact set of homogeneous segments. A compact representation is defined as a representation with as few segments as possible. Without the compactness criterion, segmentation is not meaningful since the segmentation that minimizes equation (8) is that for which each segment has only one time sample. With introduction of the compactness constraint, the segmentation problem evolves into a minimization problem minSCS given the number of segments or some monotonic function of the number of segments. The minimization problem in can be posed as a Lagrangian problem of the form minS{CS+λ(Number of Segments)}. The information-theoretic entropy of segment lengths can be used rather than the number of segments as a constraint since entropy of segment lengths increases each time a segment is broken into multiple segments. The Lagrangian minimization problem is equivalent to finding the segmentation S that minimizes the sum of segment weights, where a segment weight is equal to equation (9): w i , j = ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ . ( 9 ) The relationship can be verified by adding equation (9) over all segments of a segmentation, leading to the term {CS+λ(Number of Segments)}. In contrast, if entropy is used as a constraint the segment cost is given in equation (10): w i , j = ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ ⁡ ( i - j ) · log ⁡ ( i - j ) . ( 10 ) Dynamic programming can be used to find the segmentation that minimizes the sum of segment weights for a given value of λ, specifically the segmentation that solves the Lagrangian minimization problem for a given value of λ. Thus, each segment is associated with a cost given that the value of the parameter λ. The value of parameter λ can be set based on an intuitive description of “anomaly” in performance metric behavior. Based on the Lagrangian formulation, a relationship exists according to expression (11): λ ≤ Δ ⁢ ⁢ C S Δ ⁢ ⁢ Number ⁢ ⁢ of ⁢ ⁢ Segments , ( 11 ) such that a segment is formed if and only if the ratio of the decrease in the cost CS to the increase in the number of segments due to existence the formed segment is larger than λ. Thus λ is determined based on the value (ΔCS/ΔNumber of Segments) for the segmentation problem. Each time a new segment is formed the number of segments increases by 2, so that ΔG=2. To find the decrease in the cost each time a segment is formed, a segment can be considered as a mixture of two distributions including one distribution around a mean of μn with n time samples, and a second distribution about a mean of μm with m time samples. The mean μ of the segment is given by equation (12): μ = μ n ⁢ n + μ m ⁢ m n + m , ( 12 ) and the cost of the segment can be represented as in equation (13): D = ⁢ n ⁢ ⁢ σ n 2 + m ⁢ ⁢ σ m 2 + n ⁡ ( μ n - μ ) 2 + m ⁡ ( μ m - μ ) 2 = ⁢ n ⁢ ⁢ σ n 2 + m ⁢ ⁢ σ m 2 + n ⁢ ⁢ m 2 ( n + m ) 2 ⁢ ( μ n - μ m ) 2 + ⁢ mn 2 ( n + m ) 2 ⁢ ( μ n - μ m ) 2 . ( 13 ) Following from equations (12) and (13) is equation (14) as follows: ∑ n ⁢ ( μ n - μ ) 2 = ∑ n ⁢ ( ( x m - μ n ) + ( μ n - μ ) ) 2 = n ⁢ ⁢ σ n 2 + n ⁡ ( μ n - μ ) 2 . ( 14 ) Alternatively, each distribution can be by an associated segment and the cost would be nσn2+mσm2. Thus the additional cost ΔC due to modeling the two distributions with a single segment is given by expression (15): Δ ⁢ ⁢ C = n ⁢ ⁢ m 2 ( n + m ) 2 ⁢ ( μ n - μ m ) 2 + mn 2 ( n + m ) 2 ⁢ ( μ n - μ m ) 2 = mn n + m ⁢ ( μ n - μ m ) 2 . ( 15 ) Assuming n is much larger than m so that the anomalous segment length is much longer than the normal segment length, the expression simplifies to equation (16):ΔC=m(μn−μm)2,   (16)so that: λ ≤ m ⁡ ( μ n - μ m ) 2 2 . ( 17 ) Thus, the value of λ can be set based on a definition of anomaly, determined by the length m of an anomalous segment and the deviation of the anomalous segment from normal behavior. One problem with expression (17) is that λ varies with the scale of the metrics, a problem that is avoided by scaling all within segment variances by K, where K is an estimate of the data variance, to result in expression (18): λ ≤ m ⁡ ( μ n - μ m ) 2 2 ⁢ K . ( 18 ) One technique for estimating value K is by taking the variance of the data after excluding the lowest 5 and the top 5 percent of the data values. The resulting technique is data-independent and sets λ based on the length of anomaly and deviation of the anomaly from normal behavior. The formula is a·b2/2, where a is the length of anomaly and b is the distance of the normal from the abnormal (the distance of means) normalized by the normal variance, K. A reasonable setting for λ is a value between approximately 20 and 50 for any data. For example, with a setting of 20 a segment is anomalous if lasting at least 10 samples and the b value is at least 2. Similarly a segment with the setting 20 is anomalous if lasting at least 20 samples and the b value is at least 1, and the like. The result is reasonable segmentation with a few possible occurrences of over-segmentation. The segment weight for the segment (i, j) is a Lagrangian sum of two terms, one term that enforces homogeneity and a second term that enforces compactness. Two reasonable choices for the homogeneity term are (scaled) segment variance according to expression (19), and Gaussian-based distortion according to expression (20): 1 K ⁢ ∑ t = i j ⁢ ( X t - μ i , j ) 2 ; ( 19 ) log ⁢ ∑ t = i j ⁢ ( X t - μ i , j ) 2 . ( 20 ) Gaussian-based distortion has an advantage over segment variance in that the Gaussian-based distortion is naturally scaled as a logarithm, since the difference of two logarithms is a ratio. Thus, a further scaling is superfluous. With segment variance, scaling is used as discussed hereinabove. Suitable choices for the compactness term are the number of segments λ, and entropy of segments λ(I-j)·log(i-j). An illustrative technique uses (scaled) segment variance and the number of segments as the weight terms, thus resulting in an intuitive approach to setting the value of the parameter λ. Dynamic programming can be used to globally minimize expression minS{CS+λ(Number of Segments)} over all possible segmentations, and discover the number, the locations, and the character of the segments. The contribution of segment (i, j) to expression minS{CS+λ(Number of Segments)} with the inclusion of parameter K is given in equation (21) as follows: w i , j = 1 K ⁢ ∑ t = i j ⁢ ( X t - μ i , j ) 2 + λ , ( 21 ) and the problem of minimizing expression minS{CS+λ} is equivalent to finding the segmentation that minimizes the sum of segment weights given in equation (21). For an ordered set of N samples with segment costs wi,j, the segmentation that minimizes the segment cost sum can be found by setting n=1 and setting s1 equal to w1,1, setting n=N+1 and setting sn=min1≦k<n(sk+wk,n) and kn=argmin1≦k<n(sk+wk,n) for n<N, then stopping. In the illustrative technique, value sn is the minimum of expression minS{CS+λ} over the first n samples. The optimum segmentation of the first n samples is the optimum segmentation of the first kn samples, which were found in step kn, appended by the single segment that extends from sample kn to sample n. Accordingly, the technique, which is a special case of dynamic programming, is used to find the optimum segmentation of the metric data. In contrast to the dynamic programming technique depicted herein, time-series segmentation refers to the partitioning of a time-series into temporal segments, where each segment is summarized by one or a few values, such as the mean of the data points in the segment. Segmentation of time-series is relevant to many disciplines including change point detection, data mining, classification, and clustering. Dynamic programming, although also a time-series segmentation technique, is less common than three classical approaches to segmentation including sliding window, top-down, and bottom-up techniques. Each of the three sliding window, top-down, and bottom-up approaches seek to find a sub-optimal segmentation of the time-series by minimizing a cost function. The cost function can be, for instance, the average mean-squared error between the data points and the mean value of the segment containing the data points. In the sliding window technique, a segment is grown until some error bound on the cost function is exceeded at which point a new segment starts. Each time the error bound is exceeded, a new segment starts and the process is repeated until the end of the time-series is reached. In the top-down technique, the time-series is recursively partitioned until a stopping criterion such a pre-defined number of total segments or an average mean-squared error is reached. At each step of the recursion, each point of the time-series is tested to find the split point that minimizes the cost function. The bottom-up technique starts with N segments, where N is the length of the time-series, and at each step combines the two segments that minimize the cost function. All three approaches are sub-optimal in terms of minimizing the cost function. Specifically, at each step the approaches find the partition that minimizes the cost function only for that step and do not necessarily find the segmentation that minimizes the cost function. All three approaches have O(N) complexity, in which the total number of segments is assumed to be much smaller than N. The dynamic programming technique has a greater computational complexity (O(N2)) than the three classical approaches, but is optimum in terms of minimizing the cost function. Terms “substantially”, “essentially”, or “approximately”, that may be used herein, relate to an industry-accepted tolerance to the corresponding term. Such an industry-accepted tolerance ranges from less than one percent to twenty percent and corresponds to, but is not limited to, functionality, values, process variations, sizes, operating speeds, and the like. The term “coupled”, as may be used herein, includes direct coupling and indirect coupling via another component, element, circuit, or module where, for indirect coupling, the intervening component, element, circuit, or module does not modify the information of a signal but may adjust its current level, voltage level, and/or power level. Inferred coupling, for example where one element is coupled to another element by inference, includes direct and indirect coupling between two elements in the same manner as “coupled”. The illustrative block diagrams and flow charts depict process steps or blocks that may represent modules, segments, or portions of code that include one or more executable instructions for implementing specific logical functions or steps in the process. Although the particular examples illustrate specific process steps or acts, many alternative implementations are possible and commonly made by simple design choice. Acts and steps may be executed in different order from the specific description herein, based on considerations of function, purpose, conformance to standard, legacy structure, and the like. While the present disclosure describes various embodiments, these embodiments are to be understood as illustrative and do not limit the claim scope. Many variations, modifications, additions and improvements of the described embodiments are possible. For example, those having ordinary skill in the art will readily implement the steps necessary to provide the structures and methods disclosed herein, and will understand that the process parameters, materials, and dimensions are given by way of example only. The parameters, materials, and dimensions can be varied to achieve the desired structure as well as modifications, which are within the scope of the claims. Variations and modifications of the embodiments disclosed herein may also be made while remaining within the scope of the following claims.
claims
1. A method for conducting uniform dose ion implantation of a target with an ion beam, the method comprising the steps of:providing an ion beam;determining an ion beam profile of the ion beam;determining a scan velocity profile based on the ion beam profile, the scan velocity profile dictating a non-uniform scan velocity across the target to provide a uniform dose;implanting the target using the ion beam including varying a scan velocity according to the scan velocity profile;rotating the target from a rotationally-fixed orientation about a location substantially at a center of the target to a subsequent rotationally-fixed orientation; andrepeating the implanting step. 2. The method of claim 1, further comprising the steps of:repeating the rotating step after the repeated implanting step;determining whether to change the scan velocity profile after the repeated rotating step for the subsequent rotationally-fixed orientation; andrepeating the scan velocity profile determining step in the case that the scan velocity profile is to be changed such that the scan velocity profile is different for a subsequent implanting step. 3. The method of claim 2, wherein the scan velocity profile is also based on the rotationally-fixed orientation of the target. 4. The method of claim 2, wherein the scan velocity profile change determination includes determining whether an average current density exceeds a threshold. 5. The method of claim 1, wherein the rotation is about 90°. 6. The method of claim 1, wherein the ion beam profile determining step includes measuring the ion beam using a multi-pixel Faraday detector. 7. The method of claim 1, wherein the ion beam is at least partially un-tuned. 8. The method of claim 1, wherein the ion beam profile includes a current density. 9. The method of claim 1, wherein the scan velocity profile determining step includes:identifying a starting scan velocity profile;computing a dose on the target at each position for the scan velocity profile combined with the ion beam profile, and computing a standard deviation of the dose;determining whether the standard deviation meets a target criterion;in the case that the standard deviation meets the target criterion, proceeding with the implanting step, otherwise determining whether a number of allowed attempts to find a satisfactory scan velocity profile has been exceeded; andin the case that the number of allowed attempts has been exceeded, indicating an error, otherwise computing a new scan velocity profile. 10. The method of claim 9, further comprising the step of determining whether the new scan velocity profile is acceptable and corralling the new scan velocity profile if the new scan velocity profile is unacceptable. 11. The method of claim 10, further comprising the steps of repeating the scan velocity determining step in the case that the new scan velocity profile is corralled or acceptable. 12. The method of claim 9, wherein the new scan velocity profile computing step includes one of making a systematic modification of the starting scan velocity profile, and computing a multi-dimensional search algorithm. 13. An apparatus for conducting uniform dose ion implantation of a target with an ion beam, the apparatus comprising:a source of an ion beam for implanting the target, the ion beam having an ion beam profile;a target scan translator configured to move the target through the ion beam according to a scan velocity profile that is based on the ion beam profile, the scan velocity profile dictating a non-uniform scan velocity across the target;a target rotator configured to rotate the target from the rotationally-fixed orientation about a location substantially at a center of the target to a subsequent rotationally-fixed orientation between at least two implanting scans; anda processor configured to operate the target scan translator and the target rotator to provide a substantially uniform dose of ions across the target. 14. The apparatus of claim 13, wherein the processor further operates to determine whether to change the scan velocity profile after the target rotator rotates the target to the subsequent rotationally-fixed orientation. 15. The apparatus of claim 13, wherein the scan velocity profile is also based on the rotationally-fixed orientation of the target. 16. The apparatus of claim 13, wherein the target rotator rotates the target about 90°. 17. The apparatus of claim 13, wherein the ion beam is at least partially un-tuned. 18. The apparatus of claim 13, wherein the ion beam profile includes a current density. 19. The apparatus of claim 13, further comprising means for determining the scan velocity profile including:means for identifying a starting scan velocity profile;means for computing a dose on the target at each position for the scan velocity profile combined with the ion beam profile, and computing a standard deviation of the dose;means for determining whether the standard deviation meets a target criterion;means for determining whether a number of allowed attempts to find a satisfactory scan velocity profile has been exceeded in the case that the standard deviation does not meet the target criterion, and otherwise proceeding with implanting; andmeans for computing a new scan velocity profile in the case that the number of allowed attempts has not been exceeded, and otherwise indicating an error. 20. The apparatus of claim 19, further comprising means for determining whether the new scan velocity profile is acceptable and corralling the new scan velocity profile if the new scan velocity profile is unacceptable. 21. The apparatus of claim 19, wherein the new scan velocity profile computing means includes one of: means for making a systematic modification of the starting scan velocity profile, and means for computing a multi-dimensional search algorithm. 22. A computer program product comprising a computer useable medium having computer readable program code embodied therein for controlling an ion implanter system to provide a substantially uniform dose to a target, the ion implanter system including a target translator configured to move the target through the ion beam and a target rotator configured to rotate the target about a location substantially at a center of the target, the program product comprising:program code configured to determine an ion beam profile of the ion beam;program code configured to determine a scan velocity profile based on the ion beam profile, the scan velocity profile dictating a non-uniform scan velocity across the target to be used by the target translator to provide a substantially uniform dose to the target; andprogram code configured to determine whether to rotate the target using the target rotator between ion implant procedures from a rotationally-fixed orientation about a location substantially at a center of the target to a subsequent rotationally-fixed orientation.
052971767
claims
1. A guide structure for aligning a top nozzle of a nuclear fuel assembly to an upper core plate of a nuclear reactor, the guide structure including a replacement for an original guide pin structure having an original guide pin rigidly mounted at a through bore in the upper core plate via a clamping nut rigidly attached to an upper surface of the upper core plate, said guide structure comprising: a shaft portion including an expansion fitting for rigidly engaging a bore extending through a portion of the original guide pin; wherein the shaft portion is attachable to said portion of the original guide pin exclusively from below the upper core plate; a guide portion affixed to the shaft portion, the guide portion having an upward facing shoulder for bearing against a lower surface of the upper core plate, and a nose dimensioned for receipt in the upper nozzle of the fuel assembly. a shaft portion including an expansion fitting for rigidly engaging at least one of a portion of the original guide pin; p1 a guide portion affixed to the shaft portion, the guide portion having an upward facing shoulder for bearing against a lower surface of the upper core plate, and a nose dimensioned for receipt in the upper nozzle of the fuel assembly; wherein the shaft portion is attachable to said at least one of the portion of the original guide pin exclusively from below the upper core plate; and wherein the expansion fitting is dimensioned to fit a bore in a shaft of the original guide pin, the expansion fitting bearing outwardly to engage within the shaft of the original guide pin. 2. The guide structure according to claim 1, wherein said expansion fitting being enlargeable to lock the replacement guide pin to said portion of the original guide pin. 3. The guide structure according to claim 2, wherein the guide portion includes a threaded shank, a conical threaded plug threaded on the shank, and an expansion bushing having a conical internal section complementary to the conical threaded plug, whereby rotation of the guide portion draws the plug into the bushing for engaging the replacement guide pin with the shaft of the original guide pin. 4. The guide structure according to claim 3, further comprising a ridge structure on an outer surface of the expansion bushing, the ridge structure bearing against the bore in the original guide pin for securely engaging the replacement guide pin relative to the upper core plate. 5. The guide structure according to claim 4, wherein the ridge structure defines a series of axially spaced rings and grooves for axially fixing an assembly of the guide portion, the plug and the expansion bushing relative to the upper core plate. 6. The guide structure according to claim 5, wherein rotation of the threaded shank with the shoulder of the guide pin disposed against the lower surface of the upper core plate tends to expand the plug in the expansion bushing. 7. The guide structure according to claim 6, wherein the shoulder is defined by a flanged sleeve fitted over the threaded shaft between a nose of the guide pin and the upper core plate. 8. The guide structure according to claim 7, wherein the flanged sleeve is made of a more wear resistant material than the expansion bushing. 9. The guide structure according to claim 7, wherein the nose of the guide pin is faceted for receiving at least one of a wrench and a socket. 10. A replacement guide pin for aligning a top nozzle of a nuclear fuel assembly to an upper core plate of a nuclear reactor, the replacement guide pin apparatus being arranged as a replacement for an original guide pin structure including an original guide pin rigidly mounted at a through bore in the upper core plate via a clamping nut rigidly attached to an upper surface of the upper core plate, said replacement guide pin comprising: 11. The replacement guide pin according to claim 10, wherein the guide portion includes a threaded shank, a conical threaded plug threaded on the shank, and an expansion bushing having a conical internal section complementary to the conical threaded plug, whereby rotation of the guide portion draws the plug into the bushing for engaging the replacement guide pin with the shaft of the original guide pin. 12. The replacement guide pin according to claim 11, further comprising a ridge structure on an outer surface of the expansion bushing, the ridge structure fixing an assembly of the guide portion, the plug and the expansion bushing relative to the upper core plate.
050531875
claims
1. In a water-cooled nuclear reactor including a core shroud with a bottom, a support plate disposed at the bottom of the core shroud, a television camera, and a mast for the inspection and/or repair of the core shroud having a foot with centering pins to be introduced into respective bores formed in the support plate under surveillance by the television camera, an apparatus for positioning the mast, comprising a first marking disposed at the support plate, and a marking element associated with the mast and disposed between the television camera and the support plate, said marking element having a second marking to be brought into alignment with said first marking, and the centering pins and the respective bores being disposed concentrically to one another when said markings are in alignment. 2. Apparatus according to claim 1, wherein said marking element is a baseplate being connected to the mast and having a region protruding beyond the cross-section of the mast, said baseplate receiving two of the centering pins in a spaced-apart disposition, and including a holder disposed on said baseplate for the television camera. 3. Apparatus according to claim 2, wherein said protruding region has a recess formed therein having an axis of symmetry and being symmetrical to the centering pins, said second marking is a thread spanning said recess, and including a cross-piece extending perpendicularly to said thread along the axis of symmetry of said recess and serving as a marking. 4. Apparatus according to claim 3, wherein an imaginary continuation of said thread intersects, centers of the centering pins. 5. Apparatus according to claim 3, wherein said first marking is a groove being formed in the support plate and having a width corresponding to the thickness of said thread, the support plate has a cross-groove formed therein at a central location between the bores being perpendicular to said groove and having a width corresponding to the width of said cross-piece, said thread and said crosspiece assume a given position with respect to the centering pins, and said groove and said cross-groove assume said given position with respect to the bores.
044252986
summary
BACKGROUND OF THE INVENTION This invention relates to nuclear reactor maintenance apparatus and more particularly to nuclear reactor inspection apparatus for remotely determining spacing between two members. Conventional pressurized water reactors comprise a reactor vessel with a reactive core disposed therein which produces heat in a manner well understood in the art. A water coolant is circulated through the reactor vessel and in heat transfer relationship with the core so that heat is transferred from the core to the water coolant. The reactive core generally comprises a plurality of fuel assemblies comprising nuclear fuel. The fuel assemblies are surrounded by a plurality of vertical metal baffle plates that define the outer limits of the core. Although the baffle plates are joined together to form an outer perimeter for the core, the individual baffle plates are not welded together. Since the baffle plates are not welded together, small gaps may exist between two adjacent baffle plates. Because the baffle plates serve to direct the flow of reactor coolant water through the reactor core, the small gaps between the baffle plates do not detract from the performance of this function. However, since a substantial pressure differential may exist across the baffle plates, it is possible for small streams of high velocity reactor coolant to be established through the gaps between these baffle plates. These streams of reactor coolant can cause vibrations in the fuel assemblies or otherwise damage the fuel assemblies. Therefore, what is needed is apparatus for determining the size of the gap between each baffle plate so that those gaps that are too large may be reduced. SUMMARY OF THE INVENTION The baffle maintenance apparatus comprises a gauging mechanism mounted on a movable support for determining the width of a gap between two adjacent baffle plates in a nuclear reactor. The gauging mechanism comprises a rotatable member with a plurality of feeler gauges disposed thereon that is capable of remotely rotating and laterally aligning each feeler gauge with the selected gap to determine the size of the gap. The apparatus may be equipped with underwater camera and lights for remotely viewing the inspection operation.
description
(A) Turbo Codes The MAP decoding method manifests its effectiveness in turbo codes. FIG. 1 is a block diagram of a communication system that includes a turbo encoder and a turbo decoder. Numeral 11 denotes the turbo encoder, which is provided on the data transmitting side, and numeral 12 denotes the turbo decoder, which is provided on the data receiving side. Numeral 13 denotes a data communication path. Further, character u represents transmit information data of length N; xa, xb, xc represent encoded data obtained by encoding the information data u by the turbo encoder 11; ya, yb, yc denote receive signals that have been influenced by noise and fading as a result of propagation of the encoded data xa, xb, xc through the communication path 13; and uxe2x80x2 represents results of decoding obtained by decoding the receive data ya, yb, yc by the turbo decoder 12. These items of data are as expressed below. The turbo encoder 11 encodes the information data u of information length N and outputs the encoded data xa, xb, xc. The encoded data xa is the information data u per se, the encoded data xb is data obtained by the convolutional encoding of the information data u by an encoder ENC1, and the encoded data xc is data obtained by the interleaving (xcfx80) and convolutional encoding of the information data u by an encoder ENC2. In other words, a turbo code is obtained by combining two convolutional codes. It should be noted that an interleaved output xaxe2x80x2 differs from the encoded data xa only in terms of its sequence and therefore is not output. FIG. 2 is a diagram showing the details of the turbo encoder 11. Numerals 11a, 11b denote convolutional encoders (ENC1, ENC2) that are identically constructed, and numeral 11c denotes an interleaving unit (xcfx80). The convolutional encoders 11a, 11b, which are adapted to output recursive systematic convolutional codes, are each constructed by connecting two flip-flops FF1, FF2 and three exclusive-OR gates EXOR1xcx9cEXOR3 in the manner illustrated. The flip-flops FF1, FF2 take on four states O(=00), 1(=10), 2(=01), 3(=11). If 0 or 1 is input in each of these states, the states undergo a transition as illustrated in FIG. 3 and the flip-flops output xa, xb. In FIG. 3, the left side indicates the state prior to input of receive data, the right side the state after the input, the solid lines the path of the state transition when xe2x80x9c0xe2x80x9d is input and the dashed lines the path of the state transition when xe2x80x9c1xe2x80x9d is input, and 00, 11, 10, 01 on the paths indicate the values of the output signals xa, xb. By way of example, if xe2x80x9c0xe2x80x9d is input in the state 0(=00), the output is 00 and the state becomes 0(=00); if xe2x80x9c1xe2x80x9d is input, the output is 11 and the state becomes 1(=10). FIG. 4 is a block diagram of the turbo decoder. Turbo decoding is performed by a first element decoder DEC1 using ya and yb first among the receive signals ya, yb, yc. The element decoder DEC1 is a soft-output element decoder and outputs the likelihood of decoded results. Next, similar decoding is performed by a second element decoder DEC2 using the likelihood, which is output from the first element decoder DEC1, and yc. That is, the second element decoder DEC2 also is a soft-output element decoder and outputs the likelihood of decoded results. Here yc is a receive signal corresponding to xc, which was obtained by interleaving and encoding the information data u. Accordingly, the likelihood that is output from the first element decoder DEC1 is interleaved (xcfx80) before it enters the second element decoder DEC2. The likelihood output from the second element decoder DEC2 is deinterleaved (xcfx80xe2x88x921) and then is fed back as the input to the first element decoder DEC1. Further, uxe2x80x2 is decoded data (results of decoding) obtained by rendering a xe2x80x9c0xe2x80x9d, xe2x80x9c1xe2x80x9d decision regarding the interleaved results from the second element decoder DEC2. Error rate is reduced by repeating the above-described decoding operation a prescribed number of times. MAP element decoders can be used as the first and second element decoders DEC1, DEC2 in such a turbo element decoder. (B) First Embodiment (a) Operation Sequence FIG. 5 is a diagram useful in describing the operation sequence of a first MAP decoding method according to the present invention. (1) At the beginning, all backward probabilities xcex2k(m) (k=N to 1) are calculated in the reverse direction up to a first backward probability at k=1 starting from an Nth backward probability at k=N, and (2) an m1th backward probability xcex2m1(m) to a first backward probability xcex21(m) are saved. Next, (3) first forward probabilities xcex111(m), xcex101(m) are calculated, first decoded data ui and likelihood L (ui) are obtained using the first forward probabilities and the saved first backward probability xcex21(m), and second to m1th decoded data u2 to um1 and likelihoods L (u2) to L (um1) are obtained in similar fashion. (4) Thereafter, backward probabilities are calculated in the reverse direction from the Nth backward probability to an (m1+1)th backward probability, and (5) an m1th backward probability xcex2m2(m) to the (m1+1)th backward probability xcex2m1+1(m) are saved. Next, (6) (m1+1)th forward probabilities xcex11m1+1(m), xcex10m1+1(m) are calculated, (m1+1)th decoded data um1+1 and likelihood L (um1+1) are obtained using the (m1+1)th forward probabilities and the saved (m1+1)th backward probability xcex2m1+1(m), and (m1+2)th to m2th decoded data um1+2 to um2 and likelihoods L (um1+2) to L (um2) are obtained in similar fashion. (7) Thereafter, (m2+1)th to Nth decoded data um2+1 to uN and likelihoods L (um2+1) to L (uN) are obtained in similar fashion. (b) MAP Decoder of First Embodiment FIG. 6 is a block diagram of a MAP decoder according to the first embodiment. A MAP controller 50 controls the overall MAP decoder, i.e., controls the calculation timing of each component and the read-out and writing of data from and to memory, etc., in accordance with the operation sequence of FIG. 5. An input/output interleaver 51, which is for changing the output sequence of receive data as appropriate, has a memory for storing all receive data and a data output unit for outputting the receive data in an order that is the reverse of or the same as that in which the data was input. With a turbo decoder, it is necessary to interleave the receive data and therefore the decoder has a memory for storing all receive data. This means that this memory for interleaving can also be used as the memory of the input/output interleaver 51. Hence there is no burden associated with memory. A shift-probability calculation unit 52 uses receive data (yak,ybk) at time k (=N) to calculate the following: probability xcex30,k that (xak,xbk) is (0,0) probability xcex31,k that (xak,xbk) is (0,1) probability xcex32,k that (xak,xbk) is (1,0) probability xcex33,k that (xak,xbk) is (1,1) Further, a backward-probability calculation unit 53 calculates the backward probability xcex2kxe2x88x921(m) (m=0 to 3) in each state m (=0 to 3) at time kxe2x88x921 using the backward probability xcex2k(m) and shift probability xcex3s,k (s=0, 1, 2, 3) at time k (=N). Thereafter, the shift-probability calculation unit 52 and backward-probability calculation unit 53 repeat the above-described calculations at k=kxe2x88x921, perform the calculations from k=N to k=1 and save the m1th backward probability xcex2m1(m) to the first backward probability xcex21(m) from k=m1 to 1 in a memory 54. Thereafter, the shift-probability calculation unit 52 uses receive data (yak,ybk) at time k (=1) to calculate the following: probability xcex30,k that (xak,xbk) is (0,0) probability xcex31,k that (xak,xbk) is (0,1) probability xcex32,k that (xak,xbk) is (1,0) probability xcex33,k that (xak,xbk) is (1,1) Further, the forward-probability calculation unit 55 assumes k=1 and calculates the forward probabilities xcex11k(m), xcex10k(m) in each state m (=0 to 3) at time k using the forward probabilities xcex11kxe2x88x921(m), xcex10kxe2x88x921(m) at time (kxe2x88x921) and the obtained shift probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k at time k. A joint-probability calculation unit 56 multiplies the forward probability xcex11k(m) and backward probability xcex2k(m) in each state m (=0 to 3) at time k to calculate the probability xcex1k(m) that the kth item of original data uk is xe2x80x9c1xe2x80x9d, and similarly calculates the probability xcex0k(m) that the original data uk is xe2x80x9c0xe2x80x9d using the forward probability xcex10k(m) and backward probability xcex2k(m) in each state m (=0 to 3) at time k. A uk and uk likelihood calculation unit 57 obtains the sum total xcexa3mxcex0k(m) of the probabilities of xe2x80x9c0xe2x80x9d and the sum total xcexa3mxcex1k(m) of the probabilities of xe2x80x9c1xe2x80x9d in each state m (=0 to 3) at time k and outputs the likelihood in accordance with the following equation: L(u)=log [xcexa3mxcex1k(m)/xcexa3mxcex0k(m)] Further, the decoded result uk=1 is output if L(u) greater than 0 holds and the decoded result uk=0 is output if L(u) less than 0 holds. Thereafter, the shift-probability calculation unit 52, a forward-probability calculation unit 55, the joint-probability calculation unit 56 and the uk and uk likelihood calculation unit 57 repeat the foregoing calculations at k=k+1, perform the calculations from k=1 to k=m1, calculate uk and the confidence (likelihood) L(uk) thereof at each time from k=1 to m1 and output the same. If the calculation of uk and L(uk) from k=1 to k=m1 is completed, then, under the control of the MAP controller 50, the shift-probability calculation unit 52 calculates the probabilities xcex3hd 0,k, xcex31,k, xcex32,k, xcex33,k using the receive data (yak,ybk) at time k (=N). Further, the backward-probability calculation unit 53 calculates the backward probability xcex2kxe2x88x921(m) (m=0 to 3) in each state m (=0 to 3) at time kxe2x88x921 using the backward probability xcex2k(m) and shift probability xcex3s,k (s=0 1, 2, 3) at time k=(N). The shift-probability calculation unit 52 and backward-probability calculation unit 53 subsequently repeat the above calculations at k=kxe2x88x921, perform calculations from k=N to k=m1+1 and save the m2th backward probability xcex2m2(m) to the (m1+1)th backward probability xcex2m1+1(m) from k=m2 to m1+1 in a memory 54. The shift-probability calculation unit 52 subsequently calculates the probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k using the receive data (yak,ybk) at time k (=m1+1). Further, the forward-probability calculation unit 53 assumes k=m1+1 and calculates the forward probabilities xcex11k(m), xcex10k(m), in each state m (=0 to 3) at time k using the forward probabilities xcex11kxe2x88x921(m), xcex10kxe2x88x921(m) at time (kxe2x88x921) and the obtained shift probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k at time k. The joint-probability calculation unit 56 and the uk and uk likelihood calculation unit 57 perform operations similar to those described above and output uk and the likelihood L(uk). Thereafter, the shift-probability calculation unit 52, forward-probability calculation unit 55, joint-probability calculation unit 56 and the uk and uk likelihood calculation unit 57 repeat the foregoing calculations at k=k+1, perform the calculations from k=m1+1 to k=m2, calculate uk and the confidence (likelihood) L(uk) thereof at each time from k=m1+1 to m2 and output the same. If the above operations are completed, then (m2+1)th to Nth decoded data um2+1 to uN and likelihoods L(um2+1) to L(uN) are subsequently obtained in similar fashion. In accordance with the first aspect of the present invention, just rxc3x97m (number of states) of memory capacity is needed to store backward probabilities in a case where m1=r, m2=2 r, m3 =3 r . . . holds. Moreover, since the backward probabilities are calculated from k=N at all times, backward probability xcex2k(m) is calculated accurately to make it possible to raise the precision of MAP decoding. (C) Second Embodiment (a) Operation Sequence FIG. 7 is a diagram useful in describing the operation sequence of a second MAP decoding method according to the present invention. (1) At the beginning, all backward probabilities xcex2k(m) (k=N to 1) are calculated in the reverse direction up to a first backward probability at k=1 starting from an Nth backward probability at k=N, an msth backward probability xcex2ms(m), m(sxe2x88x921)th backward probability xcex2m(sxe2x88x921)(m), . . . , m3th backward probability xcex2m3(m), m2th backward probability xcex2m2(m) are saved discretely and an m1th backward probability xcex2m1(m) to a first backward probability xcex21(m) are saved continuously. (2) Next, first forward probabilities xcex111(m), xcex101(m) are calculated, first decoded data u1 and likelihood L(u1) are obtained using the first forward probabilities and the saved first backward probability xcex21(m), and second to m1th decoded data u2 to um1 and likelihoods L(u2) to L(um1) are obtained in similar fashion. (3) Thereafter, backward probabilities up to a (m1+1)th backward probability xcex2m1+1(m) are calculated and stored starting from the saved m2th backward probability xcex2m2(m). (4) Next, (m1+1)th forward probabilities xcex11m1+1(m), xcex10m1+1(m) are calculated, (m1+1)th decoded data um1+1 and likelihood L (um1+1) are obtained using the (m1+1)th forward probabilities and the saved (m1+1)th backward probability xcex2m1+1(m), and (m1+2)th to m2th decoded data um1+2 to um2 and likelihoods L(um1+2) to L(um2) are obtained in similar fashion. (5) Thereafter, backward probabilities up to a (m2+1)th backward probability xcex2m2+1(m) are calculated and stored starting from the saved m3th backward probability xcex2m3(m). (6) Next, (m2+1)th forward probabilities xcex11m2+1(m), xcex10m2+1(m) are calculated, (m2+1)th decoded data um2+1 and likelihood L (um2+1) are obtained using the (m2+1)th forward probabilities and the saved (m2+1)th backward probability xcex2m2+1(m), and (m2+2)th to m3th decoded data um2+2 to um3 and likelihoods L(um2+2) to L(um3) are obtained in similar fashion. (7) Thereafter, and in similar fashion, (m3+1)th to Nth decoded data um3+1 to uN and likelihoods L(um3+1) to L(uN) are obtained using the saved m4th backward probability xcex2m4(m), . . . , m(sxe2x88x921)th backward probability xcex2m(sxe2x88x921), msth backward probability xcex2ms(m). (b) MAP Decoder of Second Embodiment FIG. 8 is a block diagram of a MAP decoder according to the second embodiment, in which components identical with those shown in FIG. 6 are designated by like reference characters. The MAP controller 50 controls the overall MAP decoder, i.e., controls the calculation timing of each component and the read-out and writing of data from and to memory, etc., in accordance with the operation sequence of FIG. 7. The input/output interleaver 51, which is for changing the output sequence of receive data as appropriate, has a memory for storing all receive data and a data output unit for outputting the receive data in an order that is the reverse of or the same as that in which the data was input. The shift-probability calculation unit 52 uses receive data (yak, ybk) at time k (=N) to calculate the following: probability xcex30,k that (xak,xbk) is (0,0) probability xcex31,k that (xak,xbk) is (0,1) probability xcex32,k that (xak,xbk) is (1,0) probability xcex33,k that (xak,xbk) is (1,1) Further, a backward-probability calculation unit 53 calculates the backward probability xcex2kxe2x88x921(m) (m=0 to 3) in each state m (=0 to 3) at time kxe2x88x921 using the backward probability xcex2k(m) and shift probability xcex3s,k (s=0, 1, 2, 3) at time k (=N). Thereafter, the shift-probability calculation unit 52 and backward-probability calculation unit 53 repeat the above-described calculations at k=kxe2x88x921 and perform the calculations from k=N to k=1. The backward-probability calculation unit 53 stores the msth backward probability xcex2ms(m), m(sxe2x88x921)th backward probability xcex2msxe2x88x921(m), . . . , m3th backward probability xcex2m3(m), m2th backward probability xcex2m2(m), which are obtained discretely in concurrence with the calculation of backward probabilities from k=N to 1, in a discrete backward probability storage section 54a of memory 54, and stores the m1th backward probability xcex2m1(m) to the first backward probability xcex21(m) in a continuous backward probability storage section 54b. The shift-probability calculation unit 52 subsequently uses the receive data (yak,ybk) at time k (=1) to calculate the following: probability xcex30,k that (xak,xbk) is (0,0) probability xcex31,k that (xak,xbk) is (0,1) probability xcex32,k that (xak,xbk) is (1,0) probability xcex33,k that (xak,xbk) is (1,1) Further, the forward-probability calculation unit 55 assumes k=1 and calculates the forward probabilities xcex11k(m), xcex10k(m) at time k using the forward probabilities xcex11kxe2x88x921(m), xcex10kxe2x88x921(m) at time (kxe2x88x921) and the obtained shift probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k at time k. The joint-probability calculation unit 56 multiplies the forward probability xcex11k(m) and backward probability xcex2k(m) in each state m (=0 to 3) at time k to calculate the probability xcex1k(m) that the kth item of original data uk is xe2x80x9c1xe2x80x9d, and similarly calculates the probability xcex0k(m) that the original data uk is xe2x80x9c0xe2x80x9d using the forward probability xcex10k(m) and backward probability xcex2k(m) in each state m (=0 to 3) at time k. A uk and uk likelihood calculation unit 57 obtains sum total xcexa3mxcex0k(m) of the probabilities of xe2x80x9c0xe2x80x9d and the sum total xcexa3mxcex1k(m) of the probabilities of xe2x80x9c1xe2x80x9d in each state m (=0 to 3) at time k and outputs the likelihood in accordance with the following equation: L(u)=log [xcexa3mxcex1k(m)/xcexa3mxcex0k(m)] Further, the decoded result uk=1 is output if L(u) greater than 0 holds and the decoded result uk=0 is output if L(u) less than 0 holds. Thereafter, the shift-probability calculation unit 52, forward-probability calculation unit 55, joint-probability calculation unit 56 and uk and uk likelihood calculation unit 57 repeat the foregoing calculations at k=k+1, perform the calculations from k=1 to k=m1, calculate uk and the confidence (likelihood) L(uk) thereof at each time from k=1 to m1 and output the same. If the calculation of uk and L(uk) from k=1 to k=m1 is completed, then, under the control of the MAP controller 50, the shift-probability calculation unit 52 calculates the probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k using the receive data (yak,ybk) at time k (=m2). Further, the backward-probability calculation unit 53 reads the backward probability xcex2k(m) [=xcex2m2(m)] at time k (=m2) out of the storage section 54a, calculates the backward probability xcex2kxe2x88x921(m) (m=0 to 3) in each state m (=0 to 3) at time kxe2x88x921 using the backward probability xcex2k(m) and shift probability xcex3s,k (s=0, 1, 2, 3) and stores the same in the storage section 54b. The shift-probability calculation unit 52 and backward-probability calculation unit 53 subsequently repeat the above calculations at k=kxe2x88x921, perform calculations from k=m2 to k=m1+1 and save the m2th backward probability xcex2m2(m) to the (m1+1)th backward probability xcex2m1+1(m) from k=m2 to k=m1+1 in the storage section 54b. The shift-probability calculation unit 52 subsequently calculates the probabilities xcex33,k, xcex31,k, xcex32,k, xcex33,k using the receive data (yak,ybk) at time k (=m1+1). Further, the forward-probability calculation unit 53 assumes k=m1+1 and calculates the forward probabilities xcex11k(m), xcex10k(m) in each state m (=0 to 3) at time k using the forward probabilities xcex11kxe2x88x921(m), xcex10kxe2x88x921(m) at time (kxe2x88x921) and the obtained shift probabilities xcex30,k, xcex31,k, xcex32,k, xcex33,k at time k. The joint-probability calculation unit 56 and uk and uk likelihood calculation unit 57 perform operations similar to those described above and output uk and the likelihood L(uk). Thereafter, the shift-probability calculation unit 52, forward-probability calculation unit 55, joint-probability calculation unit 56 and the uk and uk likelihood calculation unit 57 repeat the foregoing calculations at k=k+1, perform the calculations from k=m1+1 to k=m2, calculate uk and the confidence (likelihood) L(uk) thereof at each time from k=m1+1 to m2 and output the same. Thereafter, (m2+1)th to Nth decoded data um2+1 to uN and likelihoods L(um2+1) to L(uN) are subsequently obtained in similar fashion. In accordance with the second aspect of the present invention, just rxc3x97m+(sxe2x88x921) (m: number of states) of memory capacity is needed to store backward probabilities in a case where m1=r, m2=2 r, m3=3 r . . . holds. Further, it is so arranged that backward probabilities are calculated in the reverse direction from an Nth backward probability to a first backward probability, the obtained backward probabilities are stored discretely and, if necessary, backward probabilities of the required number are calculated and utilized starting from one of the discretely stored backward probabilities. As a result, backward probability xcex2k(m) can be calculated accurately to make it possible to raise the precision of MAP decoding. (C) Turbo Decoder FIG. 9 is a block diagram illustrating a case where a MAP decoder according to the present invention is used as the element decoders DEC1, DEC2 in a turbo decoder (see FIG. 4). It is so arranged that the decoding operation in the element decoders DEC1, DEC2 is performed by a single MAP decoder. Components identical with those of the MAP decoder in FIG. 8 are designated by like reference characters. The MAP controller 50 controls the various timings of the MAP decoder in accordance with the operation sequence shown in FIG. 7. The input/output interleaver 51, which has RAMs 51a to 51c for storing receive data ya, yb, yc and a RAM controller 51d for controlling the reading and writing of receive data, outputs receive data in the order in which the data was input and, when appropriate, changes the output sequence to perform interleaving of the receive data. The shift-probability calculation unit 52, which calculates shift probability, has first and second arithmetic units 52a, 52b. The backward-probability calculation unit 53 calculates backward probabilities, as described in conjunction with FIGS. 7 and 8. The memory 54, which stores the backward probabilities, has the RAM 54a for discretely storing backward probabilities, the RAM 54b for storing backward probabilities continuously, and a RAM controller 54c for controlling the reading and writing of backward probabilities. The forward-probability calculation unit 55 calculates forward probabilities. The joint-probability calculation unit 56 multiplies the forward and backward probabilities together to calculate the probability that the kth item of data uk is xe2x80x9c1xe2x80x9d and the probability that it is xe2x80x9c0xe2x80x9d. The likelihood calculation unit 57 outputs the decoded results u and the a posteriori probability L(u). An S/P converter 61 subjects the receive data to a serial-to-parallel conversion and inputs the converted data to the input/output interleaver 51. The receive data ya, yb, yc obtained by the conversion is soft-decision data quantized at n bits. An external-information likelihood calculation unit 62 outputs external-information likelihood Le(u). In a first cycle of MAP decoding, the external-information likelihood calculation unit 62 outputs the external-information likelihood Le(u) using the a posteriori probability L(u) output from the likelihood calculation unit 57 and the MAP-decoder input signal (=signal ya). A write controller 63 writes the external-information likelihood Le(u) to a memory 64. A read-out controller 65 subjects the external-information likelihood Le(u) to interleaving and deinterleaving as appropriate by reading the external-information likelihood Le(u) out of the memory 64, and outputs the result as a posteriori likelihood L(uxe2x80x2) used in the next cycle of MAP decoding. In MAP decoding from the second cycle onward, turbo decoding is such that [signal ya+a posteriori likelihood L(uxe2x80x2)] is used as the input signal ya. Accordingly, in the second cycle of MAP decoding, the external-information likelihood calculation unit 62 outputs the external-information likelihood Le(u) using the a posteriori likelihood L(u) output from the likelihood calculation unit 57 and the decoder-input signal [=signal ya+a posteriori likelihood L(uxe2x80x2)]. The write controller 63 writes the external-information likelihood Le(u) to the memory 64. The read-out controller 65 subjects the external-information likelihood Le(u) to interleaving and deinterleaving as appropriate by reading the external-information likelihood Le(u) out of the memory 64, and outputs the result as a posteriori likelihood L(uxe2x80x2) used in the next cycle of MAP decoding. The external-information likelihood Le(u) is output in similar fashion thereafter. The following equation is established using the log value of each value: L(u)=Lya+L(uxe2x80x2)+Le(u)xe2x80x83xe2x80x83(8) The external-information likelihood calculation unit 62 therefore is capable of obtaining the external-information likelihood Le(u) in accordance with the following equation: Le(u)=L(u)xe2x88x92Lyaxe2x88x92L(uxe2x80x2)xe2x80x83xe2x80x83(9) where L(uxe2x80x2)=0 holds the first time. In a case where the write controller 63 finally outputs the decoded data u, the decoded data is written to the memory 64; otherwise, the write controller 63 writes the external-information likelihood Le(u) to the memory 64. In a case where the read-out controller 65 outputs the decoded data u, the read-out controller 65 reads the decoded data u out of the memory in the order in which the data was written. In a case where the read-out controller 65 reads out the external-information likelihood Le(u), the read-out controller 65 reads out and outputs (interleaves) the data in accordance with a read-out sequence specified by an interleave controller 66. A memory 67 has a RAM 67a and a RAM controller 67b and stores the interleaved external-information likelihood Le(u) as L(uxe2x80x2). FIG. 10 is a diagram useful in describing the sequence of turbo decoding. As is obvious from FIG. 4, turbo decoding is repeated a plurality of times treating a first half of decoding which uses ya, yb and a second half of decoding which uses ya, yc as one set. In the first half of decoding processing the first time, decoding is performed using receive signals Lcya, Lcyb and the likelihood L(u1) obtained is output. Next, the a posteriori probability Le(u1) is obtained in accordance with Equation (9) [where L(u1xe2x80x2)=0 holds], this is interleaved and L(u2xe2x80x2) is obtained. In the second half of decoding processing the first time, a signal obtained by interleaving the receive signal Lcya and the posteriori likelihood L(u2xe2x80x2) obtained in the first half of decoding processing are regarded as being a new receive signal Lcyaxe2x80x2, decoding is performed using Lcyaxe2x80x2 and Lcyc, and the likelihood (u2) obtained is output. Next, the a posteriori likelihood Le(u2) is found in accordance with Equation (9) and this is interleaved to obtain L(u3xe2x80x2). In the first half of decoding processing the second time, the receive signal Lcya and the a posteriori likelihood L(u3xe2x80x2) obtained in the second half of decoding processing are regarded as being a new receive signal Lcyaxe2x80x2, decoding is performed using Lcyaxe2x80x2 and Lcyb, and the likelihood (u3) obtained is output. Next, a posteriori likelihood Le(u3) is found in accordance with the above equation, this is interleaved and L(u4xe2x80x2) is obtained. In the second half of decoding processing the second time, a signal obtained by interleaving the receive signal Lcya and the posteriori likelihood L(u4xe2x80x2) obtained in the first half of decoding processing are regarded as being a new receive signal Lcyaxe2x80x2, decoding is performed using Lcyaxe2x80x2 and Lcyc, and the likelihood (u4) obtained is output. Next, the a posteriori likelihood Le(u4) is found in accordance with Equation (9) and this is interleaved to obtain L(u5xe2x80x2). The above-described decoding processing is subsequently repeated. Thus, in accordance with the first aspect of the present invention, a memory capacity of just rxc3x97m (m: number of states) is required to store the backward probabilities, where m1=r, m2=2 r, . . . holds. Moreover, since the backward probabilities are calculated from k=N at all times, backward probability xcex2k(m) is calculated accurately to make it possible to raise the precision of MAP decoding. Further, in accordance with a second aspect of the present invention, a memory capacity of just rxc3x97m+(sxe2x88x921) (m: number of states) is required to store the backward probabilities, where m1=r, m2=2 r, . . . holds. Further, in accordance with the second aspect of the present invention, it is so arranged that backward probabilities are calculated in the reverse direction from an Nth backward probability to a first backward probability, the obtained backward probabilities are stored discretely and, if necessary, backward probabilities of the required number are calculated and utilized starting from one of the discretely stored backward probabilities. As a result, backward probability xcex2k(m) can be calculated accurately to make it possible to raise the precision of MAP decoding. Moreover, in accordance with the second aspect of the present invention, backward probabilities of the required number need not be obtained by calculating backward probabilities from k=N on as the occasion demands. Operation speed can be raised as a result. Further, a prescribed operation speed is obtained without using two arithmetic circuits to calculate backward probability xcex2k(m). Furthermore, backward probability xcex2k(m) need be calculated just one time, thereby providing an advantage in terms of power consumption.
abstract
A high pressure safety injection tank (HPSIT) system includes one safety injection tank (HIT) which replaces a core makeup tank (CMT) and a low pressure (approximately 4.3 Mpa or below) safety injection tank (SIT) and which can shift to and operate on a high pressure (approximately 17 Mpa) operation mode, to enable injection of emergency core coolant into a reactor system both under low pressure (approximately 4.3 Mpa or below) and high pressure (approximately 17 Mpa).
claims
1. A fuel exchange apparatus, comprising:a traveling carriage moving horizontally in one direction;a traversing carriage moving horizontally on said traveling carriage in a direction orthogonal to the one direction in which said traveling carriage moves; anda nuclear fuel holding unit attached to said traversing carriage, and including a telescopic tube having telescopic portions which extend and contract with respect to one another, a holding tool which holds a nuclear fuel assembly and which enables release of said held nuclear fuel assembly, and a lifter for raising and lowering said holding tool which holds and releases the nuclear fuel assembly by winding and running out linear members for suspending and supporting the holding tool from said traversing carriage;wherein said holding tool has a clamp mechanism that is selectively placed in a constrained state in which said holding tool is subject to a constraint by said clamp mechanism pressed on an inner surface of said telescopic tube and in a freely suspended state in which said holding tool is released from the constraint by said clamp mechanism which is kept away from the inner surface of said telescopic tube and is freely suspended by said linear members;wherein said clamp mechanism has at least one clamp body disposed in said holding tool, and a clamp driving apparatus for placing selectively said at least one clamp body in a pressed state, in which said at least one clamp body is pressed against the inner surface of said telescopic tube, and the freely suspended state which is a non-pressed state, in which said at least one clamp body is away from said inner surface, so that said holding tool is in said constrained state when said at least one clamp body is in said pressed state, and is in said freely suspended state when said at least one clamp body is in said non-pressed state;wherein said clamp driving apparatus has at least one top formed in a cone shape, a screw member vertically passed through and engaged with said at least one top, and a motor for rotating said screw member; andwherein forward and backward motion of said at least one top caused in the vertical direction by rotating said screw member by said motor moves horizontally said at least one clamp body in order to generate said pressed state and said non-pressed state. 2. The fuel exchange apparatus according to claim 1, further comprising:a rotation preventing mechanism for preventing rotation of said holding tool around a vertical axis thereof and for allowing movement of said holding tool in a horizontal direction when said holding tool is in said freely suspended state. 3. The fuel exchange apparatus according to claim 1,wherein said holding tool has a suspension member connected to said linear members and disposed in said telescopic tube, and a holding tool body, which is attached to a lower end portion of said suspension member, for holding said fuel assembly and for releasing said held fuel assembly; andwherein said suspension member has a holding tool rotating mechanism for rotating said holding tool around a vertical axis relative to said telescopic tube; andwherein said clamp mechanism is installed in said suspension member and disposed in said telescopic tube. 4. The fuel exchange apparatus according to claim 1, wherein said clamp mechanism is pressed in a transverse direction relative to an axial direction of said telescopic tube on the inner surface of said telescopic tube. 5. The fuel exchange apparatus according to claim 4, wherein said clamp mechanism is pressed in the transverse direction which is a direction perpendicular relative to the axial direction of said telescopic tube. 6. The fuel exchange apparatus according to claim 1, wherein the said holding tool enables holding and releasing of said fuel assembly of a nuclear reactor. 7. The fuel exchange apparatus according to claim 6, wherein said telescopic tube is suspended and supported by a linear member from said traversing carriage which enables extension and contraction of said telescopic tube. 8. The fuel exchange apparatus according to claim 7, wherein said holding tool is enabled to be placed with precision at a final position in the horizontal direction so as to engage and hold and thereafter release said fuel assembly of said nuclear reactor when said holding tool is placed in a freely suspended state in which said holding tool is released from the constraint by said clamp mechanism which is kept away from the inner surface of said telescopic tube and is freely suspended by said linear members even when telescopic portions of said telescopic tube do not extend in parallel to one another. 9. The fuel exchange apparatus according to claim 8, wherein when said holding tool is placed in the constrained state in which said holding tool is subjected to constraint by said clamp mechanism pressed on the inner surface of said telescopic tube, vibration caused in said fuel assembly by moving of said fuel assembly in the horizontal direction is suppressed. 10. A fuel exchange apparatus, comprising:a traveling carriage moving horizontally in one direction;a traversing carriage moving horizontally on said traveling carriage in a direction orthogonal to the one direction in which said traveling carriage moves; anda nuclear fuel holding unit attached to said traversing carriage, and including a telescopic tube having telescopic portions which extend and contract with respect to one another, a holding tool which holds a nuclear fuel assembly and which enables release of said held nuclear fuel assembly, and a lifter for raising and lowering said holding tool which holds and releases the nuclear fuel assembly by winding and running out linear members for suspending and supporting the holding tool from said traversing carriage;wherein said holding tool has a clamp mechanism that is selectively placed in a constrained state in which said holding tool is subject to a constraint by said clamp mechanism pressed on an inner surface of said telescopic tube and in a freely suspended state in which said holding tool is released from the constraint by said clamp mechanism which is kept away from the inner surface of said telescopic tube and is freely suspended by said linear members;wherein said clamp mechanism has at least one clamp body disposed in said holding tool, and a clamp driving apparatus for placing selectively said at least one clamp body in a pressed state, in which said at least one clamp body is pressed against the inner surface of said telescopic tube, and the freely suspended state which is a non-pressed state, in which said at least one clamp body is away from said inner surface, so that said holding tool is in said constrained state when said at least one clamp body is in said pressed state, and is in said freely suspended state when said at least one clamp body is in said non-pressed state; andwherein said clamp mechanism has first and second clamp bodies disposed in parallel in said holding tool, said clamp driving apparatus selectively placing said first and second clamp bodies in the pressed state, in which said first and second clamp bodies are pressed against the inner surface of said telescopic tube, and the freely suspended state which is a non-pressed state, in which said first and second clamp bodies are positioned away from said inner surface of said telescopic tube, so that said holding tool is in said constrained state when said first and second clamp bodies is in said pressed state, and is in said freely suspended state when said first and second clamp bodies are in said non-pressed state. 11. The fuel exchange apparatus according to claim 10, wherein said clamp driving apparatus has a first top formed in a cone shape and a second top formed in a cone shape for respective coactions with said first and second clamp bodies, and a screw member vertically passed through and engaged with said first and second tops, and a motor for rotating said screw member, and forward and backward motion of said first and second tops caused in the vertical direction by rotating said screw member by said motor moves horizontally said first and second bodies in order to generate the pressed state and the non-pressed state. 12. The fuel exchange apparatus according to claim 11, wherein the fuel exchange apparatus is a nuclear fuel exchange apparatus, said fuel holding unit is a nuclear fuel holding unit attached to said traversing carriage and including a telescopic tube having telescopic portions which extend and contract with respect to one another, said holding tool holding a nuclear fuel assembly and enabling release of said held nuclear fuel assembly, and a lifter for raising and lowering said holding tool which holds and releases said nuclear fuel assembly by winding and running out linear members for suspending and supporting the holding tool from said traversing carriage.
description
Preferred embodiments of the present invention will now be described in detail in accordance with the accompanying drawings. The present invention is not limited to these embodiments. [Embodiment of Weight Compensation Mechanism] FIG. 1A is a schematic view showing the main part of a pulley mechanism according to an embodiment of the present invention. A pulley shaft 101 integrated with a pulley 112 is supported by half hydrostatic bearings 103 (103a and 103b), and the half hydrostatic bearings 103a and 103b are fixed on a base plate 104 which supports the common bearings. A high-pressure fluid flows from an external pressure source (not shown) via the half hydrostatic bearing 103 into a small bearing gap made by the half hydrostatic bearing 103 and pulley shaft 101, and generates a high static pressure to support the pulley shaft 101. FIG. 1B is a sectional view for explaining an internal structure of the pulley mechanism shown in FIG. 1A. The pulley shaft 101 integrated with the pulley 112 is supported by a half hydrostatic bearing constituted by a half porous member 102 (102a and 102b) and a housing 103 (103a and 103b) which supports it. The half hydrostatic bearing is fixed on the base plate 104 which supports the common bearings. Thrust hydrostatic bearings 111a and 111b are arranged to restrict the degree of freedom of the pulley 112 in the horizontal direction. A high-pressure fluid 106 is supplied from an external pressure source (not shown) to a gas supply path 107, and flows into the porous member 102 of the half hydrostatic bearing 103 via a gas supply passage 108. The fluid 106 further flows into the thrust bearings 111a and 111b via a flow path 109. The fluid flows into a small bearing gap 105 formed by the half hydrostatic bearing (102 and 103) and pulley shaft 101 and a small bearing gap 110 formed by the thrust hydrostatic bearings 111a and 111b and pulley 112, and generates high static pressures to support the pulley shaft 101 and prevent horizontal offsets of the pulley 112. In the half hydrostatic bearing 103, compared to the conventional full hydrostatic bearing 1190 shown in FIG. 11, the portion which generates a static pressure in the bearing gap to support the bearing shaft is only the lower half of that of the full hydrostatic bearing 1190. For this reason, most of static pressures in the upper and lower gaps are canceled, and the load-carrying capacity of the bearing does not decrease. The load-carrying capacity of the half hydrostatic bearing 103 according to this embodiment is almost double that of the conventional hydrostatic bearing 1190. It can be considered that the static pressure in the gap of the bearing accomplishes the function like a spring. Therefore, a conventional full radial hydrostatic bearing 1190 receives the power of the spring from the perimeter of the shaft. As a result, upward power to support the weight of the shaft will not be generated if there is no eccentricity of the shaft. On the other hand, in the case of the half hydrostatic bearing of the embodiment, the power of the spring will be applied to the shaft from the lower side, and upward power can be generated for the shaft. If the gap of the bearing is narrowed, there is an effect which pushes and shortens the spring, the power of the spring can be enlarged, and the rigidity of the bearing can be improved. As a result, according to the half hydrostatic bearing, if power is given to the direction by which the shaft and the bearing are brought close, the load carrying capacity of the half hydrostatic bearing can be increased. Accordingly, it is desirable to install a pre-load mechanism. The pre-load mechanism generates the attraction by generating a magnetic force between the shaft and the bearing. For example, in the pre-load mechanism, the pre-load power is generated by installing a permanent magnet and/or the electromagnet on the bearing side, and composing the shaft of magnetic material. A permanent magnet and the like on the bearing side and the half hydrostatic bearing body may be integrated into one body. Also, the permanent magnet and the like can be arranged at the position adjacent to the half hydrostatic bearing. In this embodiment, the bearing 103 is a half hydrostatic bearing, but the shape of the bearing portion need not strictly be a semicircle, and suffices to be a hydrostatic bearing having an arcuated bearing portion which supports the pulley shaft. [Embodiment of Stage Apparatus] FIG. 2 is a view showing an application of a weight compensation mechanism having the half hydrostatic bearing according to the embodiment of the present invention to a stage apparatus. This mechanism is an X-Y stage having a Y stage 220 which freely reciprocates along the Y-axis (vertical or approximate direction) along a surface plate 210 standing on a base plate (not shown), an X stage 230 which freely reciprocates along the X-axis on the Y stage 220, a pair of Y linear motors 240 serving as a first driving means for moving the Y stage 220 along the Y-axis, and an X linear motor 250 serving as a second driving means for moving the X stage 230 along the X-axis. The left Y linear motor 240 is not illustrated in FIG. 2 in order to describe a Y guide 211 (to be described later). The surface plate 210 has an X-Y guide surface 210a which supports the lower surface of the Y and X stages 220 and 230 in a non-contact manner via air pads as hydrostatic bearing devices (not shown). The Y guide 211 (represented by a broken line) serving as a yaw guide for guiding the Y stage 220 along the Y-axis stands at one end of the surface plate 210 along the X-axis. A Y guide surface 211a of the Y guide 211 and the Y stage 220 are held in a non-contact manner via air pads 220a (magnetic pads 220b) as yaw guide hydrostatic bearing devices. When the two Y linear motors 240 are driven, the Y stage 220 moves along the Y guide 211 on the X-Y guide surface 210a of the surface plate 210. The Y stage 220 is formed from a frame made up of a pair of Y sliders 221 and 222, and an X linear motor stationary element 252 supported by them from two ends. The lower surfaces of the Y sliders 221 and 222 face the X-Y guide surface 210a of the surface plate 210, and are supported in a non-contact manner via air pads or the like, as described above. The left Y slider 222 shown in FIG. 2 is longer than the other, and its side surface 222a faces the Y guide surface 211a of the Y guide 211 and is guided in a non-contact manner via the air pads 220a or the like, as described above (see FIG. 3). The Y sliders 221 and 222 are integrally coupled to Y linear motor movable elements 241 via connecting plates 223. FIG. 3 is a view showing the section of the Y guide 211 of the stage apparatus in FIG. 2. In FIG. 3, the same reference numerals as in FIG. 2 denote the same parts. The X stage 230 is a hollow frame having a top plate 231, and the X linear motor stationary element 252 extends through the hollow portion. The surface of the top plate 231 forms a work stage which chucks and holds a wafer serving as a work (not shown). The Y linear motors 240 have the Y linear motor movable elements 241 integrally coupled to the Y sliders 221 and 222 of the Y stage 220 via the connecting plates 223, as described above, and Y linear motor stationary elements 242 which extend through the openings of the Y linear motor movable elements 241. A current supplied to each Y linear motor stationary element 242 generates a thrust along the Y-axis in a corresponding Y linear motor movable element 241, thus moving the Y and X stages 220 and 230 along the Y-axis. The X linear motor stationary element for moving the X stage 230 along the X-axis is fixed inside the top plate 231 of the X stage 230. A current supplied to the X linear motor stationary element 252 generates a thrust along the X-axis in the X linear motor stationary element, thereby moving the X stage 230 in the X-axis direction along the X linear motor stationary element 252. A counter mass mechanism 260 as a weight compensation mechanism for canceling the weights of the Y stage 220, X stage 230, and the like comprises belts 262 as a plurality of connecting members which suspend the Y sliders 221 and 222, i.e., Y stage 220 at one end and counter masses 261 at the other end, and pulleys 263 which support and wind the belts 262 around them. The weights of the counter masses 261 are set to be balanced with the weight of the stage movable portion including the Y stage 220, the X stage 230, and a wafer or the like held by them. When the X stage 230 moves along the X-axis, the barycentric position of the stage movable portion including the Y and X stages 220 and 230 changes to unbalance the rotational movement around the Z-axis (xcfx89 Z-axis). Only the counter mass mechanism 260 cannot absorb this moment, and an excessive load is supplied to the Y guide (yaw guide) 211 for guiding the Y stage 220. To prevent this, an actuator 270 as a damper for adjusting the tension and/or effective length of the belt 262 aiming at the damping in accordance with displacement of the X stage 230 is attached to the connecting portion between the Y stage 220 and each belt 262. The tensions and/or effective length of each belt 262 can be adjusted by individually controlling the driving amount of the actuator 270 of each of the belts 262 which suspend the two Y sliders 221, on the basis of position information of the X stage 230, as will be described later. In this manner, the rotational movement generated along with movement of the X stage 230 is canceled (compensated) to reduce the load of the Y stage 220 on the Y guide 211. The Y- and X-axis positions of the X stage 230 are respectively measured by position sensors 230c and 230d which receive beams reflected by Y and X measurement mirrors 230a and 230b integrated with the X stage 230. In FIG. 2, reference numeral 264 denotes a counter mass yaw guide for guiding the counter mass in a non-contact manner; and 261a and 261b, air and magnetic pads as counter mass hydrostatic bearing devices. [Embodiment of Exposure Apparatus] The exposure optical system of an X-ray exposure apparatus using the stage apparatus according to the embodiment of the present invention is now discussed. FIG. 4 is a view for explaining an X-ray exposure apparatus using the stage apparatus according to the embodiment of the present invention. As shown in FIG. 4, an SR beam 401b (charged-particle storage ring radiation) as an X-ray emitted by an SR generator (charged-particle storage ring) 401a is a sheet beam, and is scanned along the Y-axis by a mirror 402 apart from the emission point by a predetermined distance. The mirror 402 is not limited to one mirror and may be made up of a plurality of mirrors. The SR beam reflected by the mirror 402 passes through a master M such as a mask bearing a pattern made of an X-ray absorber on an X-ray transmission film, and irradiates a wafer W serving as a substrate coated with a resist as a photosensitive agent. The wafer W is held by a wafer chuck 403 (work stage) on the above-described stage apparatus, and moved step by step and aligned by the stage apparatus. A shutter 404 for controlling the exposure time is disposed upstream of the master M, and a driving device 404a of the shutter 404 is controlled by a shutter controller 404b. A beryllium film (not shown) is interposed between the mirror 402 and the shutter 404 to control the mirror side to ultrahigh vacuum and the shutter side to a reduced-pressure atmosphere of helium gas. [Embodiment of Semiconductor Production System] A production system for producing a semiconductor device (e.g., a semiconductor chip such as an IC or LSI, liquid crystal panel, CCD, thin-film magnetic head, micromachine, or the like) using the exposure apparatus which exploits the above-described stage apparatus will be exemplified. A trouble remedy or periodic maintenance of a manufacturing apparatus installed in a semiconductor manufacturing factory, or maintenance service such as software distribution is performed by using, e.g., a computer network outside the manufacturing factory. FIG. 5 shows the overall system cut out at a given angle. In FIG. 5, reference numeral 501 denotes a business office of a vendor (apparatus supply manufacturer) which provides a semiconductor device manufacturing apparatus. Assumed examples of the manufacturing apparatus are semiconductor manufacturing apparatuses for performing various processes used in a semiconductor manufacturing factory, such as pre-process apparatuses (e.g., a lithography apparatus including an exposure apparatus, a resist processing apparatus, and an etching apparatus, an annealing apparatus, a film formation apparatus, a planarization apparatus, and the like) and post-process apparatuses (e.g, an assembly apparatus, inspection apparatus, and the like). The business office 501 comprises a host management system 508 for providing a maintenance database for the manufacturing apparatus, a plurality of operation terminal computers 510, and a LAN (Local Area Network) 509 which connects the host management system 508 and computers 510 to build an intranet. The host management system 508 has a gateway for connecting the LAN 509 to Internet 505 as an external network of the business office, and a security function for limiting external access. Reference numerals 502 to 504 denote manufacturing factories of the semiconductor manufacturer as users of manufacturing apparatuses. The manufacturing factories 502 to 504 may belong to different manufacturers or the same manufacturer (pre-process factory, post-process factory, and the like). Each of the factories 502 to 504 is equipped with a plurality of manufacturing apparatuses 506, a LAN (Local Area Network) 511 which connects these apparatuses 506 to construct an intranet, and a host management system 507 serving as a monitoring apparatus for monitoring the operation status of each manufacturing apparatus 506. The host management system 507 in each of the factories 502 to 504 has a gateway for connecting the LAN 511 in the factory to the Internet 505 as an external network of the factory. Each factory can access the host management system 508 of the vendor 501 from the LAN 511 via the Internet 505. The security function of the host management system 508 authorizes access of only a limited user. More specifically, the factory notifies the vendor via the Internet 505 of status information (e.g., the symptom of a manufacturing apparatus in trouble) representing the operation status of each manufacturing apparatus 506, and receives response information (e.g., information designating a remedy against the trouble, or remedy software or data) corresponding to the notification, or maintenance information such as the latest software or help information. Data communication between the factories 502 to 504 and the vendor 501 and data communication via the LAN 511 in each factory adopt a communication protocol (TCP/IP) generally used in the Internet. Instead of using the Internet as an external network of the factory, a dedicated network (e.g., ISDN) having high security, which inhibits access of a third party, can be adopted. Also, the user may construct a database in addition to the one provided by the vendor and set the database on an external network, and the host management system may authorize access to the database from a plurality of user factories. FIG. 6 is a view showing the concept of the overall system of this embodiment that is cut out at a different angle from FIG. 5. In the above example, a plurality of user factories having manufacturing apparatuses and the management system of the manufacturing apparatus vendor are connected via an external network, and production management of each factory or information of at least one manufacturing apparatus is communicated via the external network. In the example of FIG. 6, a factory having manufacturing apparatuses of a plurality of vendors and the management systems of the vendors for these manufacturing apparatuses are connected via the external network of the factory, and maintenance information of each manufacturing apparatus is communicated. In FIG. 6, reference numeral 601 denotes a manufacturing factory of a manufacturing apparatus user (semiconductor device manufacturer) where manufacturing apparatuses for performing various processes, e.g., an exposure apparatus 602, a resist processing apparatus 603, and a film formation apparatus 604 are installed in the manufacturing line of the factory. FIG. 6 shows only one manufacturing factory 601, but a plurality of factories are networked in practice. The respective apparatuses in the factory are connected to a LAN 606 to build an intranet, and a host management system 605 manages the operation of the manufacturing line. The business offices of vendors (apparatus supply manufacturers) such as an exposure apparatus manufacturer 610, a resist processing apparatus manufacturer 620, and a film formation apparatus manufacturer 630 comprise host management systems 611, 621, and 631 for executing remote maintenance for the supplied apparatuses. Each host management system has a maintenance database and a gateway for an external network, as described above. The host management system 605 for managing the apparatuses in the manufacturing factory of the user, and the management systems 611, 621, and 631 of the vendors for the respective apparatuses are connected via the Internet or dedicated network serving as an external network 600. If trouble occurs in any one of a series of manufacturing apparatuses along the manufacturing line in this system, the operation of the manufacturing line stops. This trouble be quickly solved by remote maintenance from the vendor of the apparatus in trouble via the Internet 600. This can minimize the stoppage of the manufacturing line. Each manufacturing apparatus in the semiconductor manufacturing factory comprises a display, a network interface, and a computer for executing network access software and apparatus operating software which are stored in a storage device. The storage device is a built-in memory, hard disk, or network file server. The network access software includes a dedicated or general-purpose web browser, and provides a user interface having a window as shown in FIG. 7 on the display. While referring to this window, the operator who manages manufacturing apparatuses in each factory inputs, in input items on the windows, pieces of information such as the type of manufacturing apparatus 701, serial number 702, subject of trouble 703, occurrence date 704, degree of urgency 705, symptom 706, remedy 707, and progress 708. The pieces of input information are transmitted to the maintenance database via the Internet, and appropriate maintenance information is sent back from the maintenance database and displayed on the display. The user interface provided by the web browser realizes hyperlink functions 710, 711, and 712, as shown in FIG. 7. This allows the operator to access detailed information of each item, receive the latest-version software to be used for a manufacturing apparatus from a software library provided by a vendor, and receive an operation guide (help information) as a reference for the operator in the factory. Maintenance information provided by the maintenance database also includes information concerning the present invention described above. The software library also provides the latest software for implementing the present invention. A semiconductor device manufacturing process using the above-described production system will be explained. FIG. 8 shows the flow of the whole manufacturing process of the semiconductor device. In step 1 (circuit design), a semiconductor device circuit is designed. In step 2 (mask formation), a mask having the designed circuit pattern is formed. In step 3 (wafer manufacture), a wafer is manufactured by using a material such as silicon. In step 4 (wafer process) called a pre-process, an actual circuit is formed on the wafer by lithography using a prepared mask and the wafer. Step 5 (assembly) called a post-process is the step of forming a semiconductor chip by using the wafer manufactured in step 4, and includes an assembly process (dicing and bonding) and a packaging process (chip encapsulation). In step 6 (inspection), inspections such as the operation confirmation test and durability test of the semiconductor device manufactured in step 5 are conducted. After these steps, the semiconductor device is completed and shipped (step 7). For example, the pre-process and post-process are performed in separated dedicated factories, and maintenance is done for each of the factories by the above-described remote maintenance system. Information for production management and apparatus maintenance is communicated between the pre-process factory and the post-process factory via the Internet or dedicated network. FIG. 9 shows the detailed flow of the wafer process. In step 11 (oxidation), the wafer surface is oxidized. In step 12 (CVD), an insulating film is formed on the wafer surface. In step 13 (electrode formation), an electrode is formed on the wafer by vapor deposition. In step 14 (ion implantation), ions are implanted in the wafer. In step 15 (resist processing), a photosensitive agent is applied to the wafer. In step 16 (exposure), the above-mentioned exposure apparatus exposes the wafer to the circuit pattern of a mask. In step 17 (developing), the exposed wafer is developed. In step 18 (etching), the resist is etched except for the developed resist image. In step 19 (resist removal), an unnecessary resist after etching is removed. These steps are repeated to form multiple circuit patterns on the wafer. A manufacturing apparatus used in each step undergoes maintenance by the remote maintenance system, which prevents trouble in advance. Even if trouble occurs, the manufacturing apparatus can be quickly recovered. The productivity of the semiconductor device can be increased in comparison with the prior art. In this fashion, the weight compensation mechanism of the embodiment can be applied even when the load on the pulley is large, which widens the range of applications to the stage apparatus. The stage apparatus can be suitably used for an exposure apparatus, a measurement apparatus, and a processing apparatus. As has been described above, the present invention can increase the load-carrying capacity of a hydrostatic bearing for supporting a pulley shaft in the weight compensation apparatus using the pulley. As many apparently widely different embodiments of the present invention can be made without departing from the spirit and scope thereof, it is to be understood that the invention is not limited to the specific embodiments thereof except as defined in the claims.
description
The present patent document claims the benefit of German Patent Application DE 10 2007 058 986.9 filed on Dec. 7, 2007, which is incorporated by reference. The present embodiments relate to an anti-scatter grid constructed from a number of elements. X-ray imaging technology imposes high demands on the image quality of the x-ray images. To produce images, such as images produced in medical diagnostics, an object to be examined is illuminated by x-ray radiation of an approximately point-type x-ray source and the distribution of the attenuation of the x-ray radiation is detected two-dimensionally on the side of the object opposite to the x-ray source. A row-by-row detection of the x-ray radiation attenuated by the object can be undertaken in computer tomography systems, for example. In addition to x-ray films and gas detectors, solid-state detectors are increasingly being used as x-ray detectors. The detectors generally have a matrix-type arrangement of optoelectronic semiconductor components as opto-electric receivers. Each pixel of the x-ray image should correspond to an attenuation of the x-ray radiation through the object on a straight-line axis from the point-type x-ray source to the location corresponding to the pixel of the detector surface. X-rays that arrive in a straight line from the point-type x-ray source on this axis at the x-ray detector are referred to as primary rays. The x-ray radiation emitted by the x-ray source is scattered in the object because of inevitable interactions, so that the primary rays and secondary radiation hits the detector. The scattered radiation, which depending on the characteristics of the object with diagnostic images can cause more than 90% of the entire signal modulation of an x-ray detector, represents a source of noise and reduces the ability to detect fine differences in contrast. To reduce the proportion of scattered radiation falling on the detector plane, anti-scatter grids are used between the object and the detector. Anti-scatter grids include regularly-arranged structures absorbing the x-ray radiation, between which passage channels or passage slots for the most unattenuated possible passage of the primary radiation are embodied. With focused anti-scatter grids, these passage channels or passage slots are focused according to the distance to the point-type x-ray source, for example, the distance to the focus of the x-ray tube. With non-focused anti-scatter grids, the passage channels or passage slots are aligned over the entire surface of the anti-scatter grid perpendicular to the surface. This alignment leads to a perceptible loss of primary radiation at the edges of the recorded image, since at these points a greater part of the incident primary radiation hits the absorbent area of the anti-scatter grid. To achieve a high image quality, high demands are imposed on the characteristics of x-ray anti-scatter grids. The anti-scatter grids are to absorb the scattered rays as well as possible and allow a highest possible proportion of primary radiation to pass unattenuated through the anti-scatter grid. The scattered radiation falling on the detector surface can be reduced by a high ratio of the height of the anti-scatter grid to the thickness or the diameter of the passage channels or passage slots, for example, through a high aspect ratio. Because of the thickness of the absorbent structures or wall elements lying between the passage channels or passage slots, however, image faults can arise through absorption of a part of the primary radiation. When solid-state detectors are used in homogeneities of the grid, deviations of the absorbent areas from their ideal position lead to image faults through mapping of the grid in the x-ray image. With detector elements arranged in the form of a matrix, for example, there is the danger of the projection of the structures of detector elements and anti-scatter grid interfering with each other. This results in the occurrence of disruptive moiré appearances. The absorbent structure elements of anti-scatter grids cannot be produced thin enough or precisely enough. Accordingly, a significant part of the primary radiation is removed by these structure elements. The same problem arises in nuclear medicine, especially in the application of gamma cameras, such as Anger cameras, for example. With this imaging technique, in a similar way to x-ray diagnostics, as few scattered gamma quanta as possible should reach the detector. By contrast with x-ray diagnostics, in nuclear diagnostics, the radiographic source for the gamma quanta is within the object. The patient is injected with a specific metabolic preparation marked with unstable nuclides. The specific metabolic preparation then builds up in specific organs. An image of the organ is then obtained through detection of the corresponding decay quanta emitted from the body. The time gradient of the activity in the organ allows conclusions to be drawn about its function. To obtain an image of the inside of the body, a collimator is used in front of the gamma detector that determines the direction of projection of the image. The collimator corresponds in its functions and structure to the anti-scatter grid in x-ray diagnostics. The gamma quanta determined by the preferred direction of the collimator can pass through the collimator, quanta arriving at an angle to the collimator are absorbed in the collimator walls. Because of the higher energy of the gamma quanta by comparison with x-ray quanta collimators is designed to be a multiple higher than anti-scatter grids for x-ray radiation. Accordingly, quanta scattered during imaging is selected out by quanta of a specific energy being taken into account in the image. However, each detected scattered quantum causes a dead time of the gamma camera of, for example, one microsecond, during which no further events are able to be registered. When a primary quantum arrives shortly after the registration of a scatter quantum, the primary quantum cannot be registered and is lost for the image. If a scatter quantum coincides in time, within certain limits, with a primary quantum, a similar effect occurs. Since the evaluation electronics can then no longer separate the two events, too high an energy is determined and the event will not be registered. The two cases given explain that a highly effective scattered radiation suppression also leads in nuclear diagnostics to an improved quantum efficiency. In the final analysis this allows an improved image quality to be achieved with the same dosing of the applied radio nuclide or makes a smaller radio-nuclide dose possible with the same image quality, so that the patient's exposure to radiation is reduced and shorter imaging times can be achieved. There are different techniques and corresponding embodiments for producing anti-scatter grids for x-ray radiation and collimators for gamma radiation. For example, patent application DE 102 41 424 A1 describes various production methods and embodiments of anti-scatter grids. The present embodiments may obviate one or more of the drawbacks or limitations inherent in the related art. For example, in one embodiment, an anti-scatter grid can be produced simply and at low cost even with a high aspect ratio. In one embodiment, an anti-scatter grid includes a number of first elements, for example, made of a plastic foil, of a first material with second elements made of a second material integrated into them. The first material is more transparent to radiation than the second material. Accordingly, radiation is more strongly attenuated in its passage through the second material than in its passage through the first material. The second elements are integrated into the first elements. Accordingly, when the first elements are stacked on top of one another, a grid absorbing the scattered radiation for radiation entering perpendicular to the direction of the stacking of the first elements can be formed by the second elements. Microstructures may be produced for forming a grid with a very large aspect ratio. The first elements may be cuboids and stacked on each other with the two largest congruent surfaces. The radiation enters perpendicular to the longer side of the surface. Accordingly, a stack of first elements may be constructed in a simple manner. In a further embodiment, the second elements of the two or more first elements are arranged above one another. This produces a grid not focusing in this dimension. The second elements may be strips or ribs. The second elements may be arranged in parallel to the stack surfaces of the first elements at an angle to the perpendicular of the direction of the stack, with the angle continuously changing from one edge of the first element to the other. A focus effect can be created in this dimension. Furthermore the second elements can be formed by local removal of the first material and filling the pits produced with the second material. The pits may be formed using a photo lithographic method and filled with the second material using an electrical method. Accordingly, production methods may be used. In a further embodiment, the second material may have a higher atomic number than the first material. The radiation-absorbing effect of the anti-scatter grid is produced in this way. The first element may include plastic foil and the height of the second element may be at least 50% of the thickness of the plastic foil. This allows easily-stackable first elements with a large aspect ratio to be produced. In a further embodiment, the first elements may be connected to each other by an adhesive. The individual first elements are held together in this way. In a development the first elements can be stacked into the direction of radiation at an angle by which a focusing effect is able to be achieved. In one embodiment, a collimator for gamma rays is provided. The anti-scatter grid described above may be employed for use as a collimator for gamma radiation. Accordingly, collimators with a large aspect ratio can also be produced in a simple manner. In other embodiments, a number of methods for production of the anti-scatter grid are provided. An associated computer program product is also provided. In one embodiment, a method act for production of an anti-scatter grid is provided. The method includes selection of third elements from which a plurality of first elements is able to be formed by separation or by cutting, production of second elements in the third elements with the second elements forming a repeating structure spaced at the width of the first elements, stacking and connecting, preferably through gluing, of the third elements into a block-like module, and separation of the block-like module spaced at the width of the first elements, which produces a number of anti-scatter grids from stacked first elements. One advantage of this method is the simple, precise and simultaneous production of a plurality of anti-scatter grids. In another embodiment, a further method act includes selection of third elements from which a plurality of first elements is able to be formed by separation or by cutting, producing second elements in the third elements with the second elements forming a repeating structure spaced at the width of the first elements, separation of the third elements spaced at the width of the first elements which produces a number of first elements, and stacking and connecting, preferably through gluing, of the first elements at an angle to each other into a block-like module which forms the anti-scatter grid. This enables an anti-scatter grid focusing in two dimensions to be produced. In another embodiment, a further method act includes selection of a third element from which a plurality of first elements is able to be formed by folding, producing second elements in the third elements with the second elements forming a repeating structure spaced at the width of the first elements, folding of the third elements spaced at the width of the first element, and stacking and connecting, preferably through gluing, of the first elements into a block-like module which forms the anti-scatter grid. This enables an anti-scatter grid to be produced in a simple manner from a foliar third element. In one embodiment, a computer program product includes a computer program which includes software for executing one of the production methods, if the computer program is executed in an anti-scatter grid production facility. FIG. 1 shows a view from above a third element 3, for example, a plastic foil. Integrated into the plastic foil 3 are regularly-repeating second elements 2, such as microstructures. A number of second elements 2 form a first element 1. The second elements 2 are arranged in the radiation direction 13 with an angle 14 to the lateral edge 15 of the plastic foil 3. The angle 14 decreases continuously towards the middle of the plastic foil 3 or towards the middle of the first element 1 and then increases back to the second edge 16 again. A focus effect of an anti-scatter grid 10 formed therefrom in the direction of radiation 13, perpendicular to the width 5 of the third element 3, can be achieved. The plastic foil 3 has, for example, a width of 400 mm and a thickness of between 0.1 and 0.5 mm FIG. 2 shows a part of the third element 3 of one of the first elements 1, and a detailed view thereof. Located in the first element 1 are pits 8, in which the second elements 2 absorbing scattered radiation are arranged. The pits 8 have an average spacing 19 from one another, an average length 20, a depth 9 and a pit width 21. The first element 1 consists of a first material, has a width 17 and a height 18 and is preferably made of a plastic foil. For an optimum scattered radiation attenuation, the pit depths 9 should be at least 50% of the height 18 of the first element 1, or of the foil thickness. The pit depth 9 may be limited in the final analysis by the required minimum stability during the production of the pits 8 and the integration of the second elements 2. A ratio of around 1:10:100 may be used for the ratio of pit width 21 to average spacing 19 of the pits and to the average length 20 of the pits. The pits 8 may be created by different structuring techniques, for example, photolithography, by first material being etched out of the first element 1. The pits 8 are then filled, using a galvanic method, for example, with a second material and thus form the second element 2. FIG. 3 shows a plurality of third elements 3, for example, plastic foils, which are stacked above one another and thus form a module 12, such as a block-like structure. The third elements 3 are stacked so that the second elements integrated within them lie directly above one another. The third elements 3 are fixed together, for example, glued together. By separating the module 12 along the first elements 1, an anti-scatter grid 10, as is shown in the example of FIG. 4, is produced. The absorption effect of the second elements 2 may be produced for radiation arriving from the direction 13. FIG. 5 shows an anti-scatter grid 10 including first elements 1 with second elements integrated therein, which are stacked at an angle to each other and glued together with an adhesive 11. This produces an additional focus effect for the radiation 13 in a second dimension. The radiation again arrives perpendicular to the direction of the stack from direction 13 into the anti-scatter grid 10. FIG. 6 shows a third element 3. By folding along the boundaries of the first elements 1 of the third element 3 and subsequent stacking, an anti-scatter grid 10 is able to be produced. The second elements 2 are mirrored alternately in rows corresponding to the first elements 1. FIGS. 7 and 8 show flowcharts for producing anti-scatter grids 10. FIG. 7 describes a production method, with, in act 100, third elements 3 being selected from which, by separation, for example, by cutting, a plurality of first elements 1 is able to be formed. In act 101 second elements 2 are produced in the third elements 3, with the second elements 2 forming a repeating structure spaced at the width 17 of the first elements 1. Subsequently, in act 102, the third elements 3 are stacked into a module 12 and glued. Finally, in act 103 the module 12 is separated spaced at the width 17 of the first elements 1, where a number of anti-scatter grids 10 are created from stacked first elements 1. FIG. 8 describes a production method in which anti-scatter grids 10 are able to be produced in a second focusing effect direction. In the first act 100 third elements 3 are selected, from which by separation, for example by cutting, a plurality of first elements 1 is able to be formed. In act 101, second elements 2 are produced in the third elements 3, with the second elements 2 forming a repeating structure spaced at the width 17 of the first elements 1. Subsequently the third elements 3 are cut and separated spaced at the width 17 of the first elements 1 in act 104, which produces a number of first elements 1. In the concluding act 105 the first elements 1 thus produced are stacked at an angle to each other and glued together, which forms the anti-scatter grid 10. FIG. 9 shows the execution sequence of a further production method beginning with act 100, in which a third element 3 is selected, from which a plurality of first elements 1 is able to be formed by folding 106. In the subsequent act 101 second elements 2 are created in the third element 3, with the second elements 2 forming a repeating structure spaced at the width 17 of the first elements 1. In act 106 the third element 3 is folded spaced at the width 17 of the first element 1, and in the final act 107 the first elements 1 thus produced are stacked and glued together, which forms the anti-scatter grid 10. Collimators for gamma radiation are produced in a similar manner. Various embodiments described herein can be used alone or in combination with one another. The foregoing detailed description has described only a few of the many possible implementations of the present invention. For this reason, this detailed description is intended by way of illustration, and not by way of limitation. It is only the following claims, including all equivalents that are intended to define the scope of this invention.
abstract
An embodiment of the present invention may reduce the level of vibration experienced by a line, such as, but not limiting of, a pipe, a cable, tubing, or the like, that is connected to at least one separate structure. For example, but not limiting of, the structure includes: a reactor pressure vessel, a sparger pipe, steam generator, a pipe, a pressure vessel, a heat exchanger, a pump, a condenser, a tank, or the like. An embodiment of the present invention may provide support and a preload to the line at a new location or may replace an existing support, such as, but not limiting of, a weld; which may alter the natural frequencies to avoid resonance from occurring when the structure(s) is excited.
claims
1. A sterilisation device with electron beams for flexible thin wall containers comprising:an input or output unit shielded against radioactive emissions generated by the electron beams and comprising an outer casing and a swivelling body housed at least partially in a compartment inside the outer casing; anda sterilisation group having an inner sterilisation chamber, connected so as to be tight to radioactive emissions downstream of the input unit or upstream of the output unit, comprising at least one electron cannon suitable for emitting a beam of electrons in the sterilisation chamber;wherein the swivelling body is a solid cylindrical body swivelling upon command around a rotation axis and fitted with loading seats to bring a predefined number of containers from an outside environment into the sterilisation chamber, the loadings seats formed in the solid cylindrical body extend radially from the periphery of the solid cylindrical body towards the rotation axis of the solid cylindrical body, wherein remaining solid portions of cylindrical segments brush an inner surface of the compartment inside the outer casing, preventing leaks of radioactive emissions from the sterilisation chamber to the outside environment;wherein the sterilisation chamber comprises a main chamber extending along a first sterilisation axis, and a secondary chamber extending along a second sterilisation axis, incident to the first sterilisation axis; andwherein an emission axis of a second cannon is positioned on an emission plane defined by the emission axis of a first cannon and by a first sterilisation direction, on a side opposite the first cannon in relation to the first sterilisation direction. 2. The sterilisation device according to claim 1, wherein the swivelling body is fitted with at least two angularly distanced loading seats. 3. The sterilisation device according to claim 2, wherein the loading seats are positioned diametrically opposite to the rotation axis of the swivelling body. 4. The sterilisation device according to claim 1, comprising a pre-sterilisation chamber downstream of a loading unit and upstream of the sterilisation group, extending along an input axis, incident to the first sterilisation axis. 5. The sterilisation device according to claim 1, comprising a post-sterilisation chamber downstream of the sterilisation group, extending along an output axis coincident with the second sterilisation axis. 6. The sterilisation device according to claim 1, wherein a first cannon is positioned along the first sterilisation axis. 7. The sterilisation device according to claim 6, wherein a further cannon is positioned along the second sterilisation axis. 8. The sterilisation device according to claim 6, wherein a second cannon is positioned along the first sterilisation axis. 9. The sterilisation device according to claim 8, wherein an emission axis of a further cannon is inclined in relation to a second sterilisation direction, in a different way to the emission axis of the first cannon. 10. The sterilisation device according to claim 9, wherein the emission axis of the second cannon is positioned on an emission plane defined by the emission axis of the first cannon and by a first sterilisation direction, on a side opposite the first cannon in relation to the first sterilisation direction. 11. The sterilisation device according to claim 1, comprising:a first support, positioned along a first sterilisation direction, suitable for guiding the containers so that they are positioned alongside each other in rows; anda second support, positioned along a second sterilisation direction, suitable for guiding the containers so that they are positioned behind each other in columns. 12. The sterilisation device according to claim 1, comprising an input unit configured to introduce the containers to be sterilised to the sterilisation group and an output unit configured to remove the sterilised containers from the sterilisation group. 13. A method of sterilising flexible thin wall containers, comprising:providing a sterilisation device with electron beams for flexible thin wall containers;loading containers to be treated in a loading seat of a solid swivelling body of an input unit;rotating the swivelling body to bring the containers into a sterilisation chamber;extracting the containers from the loading seat facing the sterilisation chamber and making them advance along the sterilisation chamber;emitting a cloud of electrons by means of an electron cannon into the sterilisation chamber; andsubjecting the containers to sterilisation by the cloud of electrons;wherein the sterilisation device with electron beams for flexible thin wall containers comprises:an input or output unit shielded against radioactive emissions generated by the electron beams and comprising an outer casing and a swivelling body housed at least partially in a compartment inside the outer casing; anda sterilisation group having an inner sterilisation chamber, connected so as to be tight to radioactive emissions downstream of the input unit or upstream of the output unit, comprising at least one electron cannon suitable for emitting a beam of electrons in the sterilisation chamber;wherein the swivelling body is a solid cylindrical body swivelling upon command around a rotation axis and fitted with loading seats to bring a predefined number of containers from an outside environment into the sterilisation chamber, the loadings seats formed in the solid cylindrical body extend radially from the periphery of the solid cylindrical body towards the rotation axis of the solid cylindrical body, wherein remaining solid portions of cylindrical segments brush an inner surface of the compartment inside the outer casing, preventing leaks of radioactive emissions from the sterilisation chamber to the outside environment;wherein the sterilisation chamber comprises a main chamber extending along a first sterilisation axis, and a secondary chamber extending along a second sterilisation axis, incident to the first sterilisation axis; andwherein an emission axis of a second cannon is positioned on an emission plane defined by the emission axis of a first cannon and by a first sterilisation direction, on a side opposite the first cannon in relation to the first sterilisation direction. 14. The method according to claim 13, comprising the steps of:loading the sterilised containers in a loading seat of a swivelling body of an output unit, the loading seat facing towards the sterilisation chamber;rotating the swivelling body to bring the containers from the sterilisation chamber to an external environment; andextracting the containers from the loading seat facing the external environment. 15. A method of sterilising flexible thin wall containers, comprising the steps of:providing a sterilisation device with electron beams for flexible thin wall containers;inserting the containers in a sterilisation chamber and making them advance along the sterilisation chamber;emitting a cloud of electrons by means of an electron cannon into the sterilisation chamber;subjecting the containers to sterilisation by the cloud of electrons;loading sterilised containers in a loading seat of a swivelling body of an output unit;rotating the swivelling body to bring the containers to an external environment; andextracting the containers from the loading seat facing the external environment and bringing them into the external environment;wherein the sterilisation device with electron beams for flexible thin wall containers comprises:an input or output unit shielded against radioactive emissions generated by the electron beams and comprising an outer casing and a swivelling body housed at least partially in a compartment inside the outer casing; anda sterilisation group having an inner sterilisation chamber, connected so as to be tight to radioactive emissions downstream of the input unit or upstream of the output unit, comprising at least one electron cannon suitable for emitting a beam of electrons in the sterilisation chamber;wherein the swivelling body is a solid cylindrical body swivelling upon command around a rotation axis and fitted with loading seats to bring a predefined number of containers from an outside environment into the sterilisation chamber, the loadings seats formed in the solid cylindrical body extend radially from the periphery of the solid cylindrical body towards the rotation axis of the solid cylindrical body, wherein remaining solid portions of cylindrical segments brush an inner surface of the compartment inside the outer casing, preventing leaks of radioactive emissions from the sterilisation chamber to the outside environment;wherein the sterilisation chamber comprises a main chamber extending along a first sterilisation axis, and a secondary chamber extending along a second sterilisation axis, incident to the first sterilisation axis; andwherein an emission axis of a second cannon is positioned on an emission plane defined by the emission axis of a first cannon and by a first sterilisation direction, on a side opposite the first cannon in relation to the first sterilisation direction. 16. The method according to claim 15, wherein the step of inserting the containers in a sterilisation chamber and making them advance along the sterilisation chamber comprises the step of:loading the containers to be sterilised in a loading seat of a swivelling body of a loading unit, the loading seat facing the environment outside the sterilisation chamber;rotating the swivelling body to bring the containers towards the sterilisation chamber; andextracting the containers from the loading seat facing the sterilisation chamber.
summary
summary
abstract
Techniques for providing optical ion beam metrology are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for controlling beam density profile, the apparatus may include one or more camera systems to capture at least one image of an ion beam and a control system coupled to the one or more camera systems to control a beam density profile of the ion beam. The control system may further include a dose profiler to provide information to one or more ion implantation components in at least one of a feedback loop and a feedforward loop to improve dose and angle uniformity.
abstract
A system for removing thermal energy generated by radioactive materials is provided. The system comprises an air-cooled shell-and-tube heat exchanger, comprising a shell and plurality of heat exchange tubes arranged in a substantially vertical orientation within the shell, the heat exchange tubes comprising interior cavities that collectively form a tube-side fluid path, the shell forming a shell-side fluid path that extends from an air inlet of the shell to an air outlet of the shell, the air inlet at a lower elevation than the air outlet; a heat rejection closed-loop fluid circuit comprising the tube-side fluid path, a coolant fluid flowing through the heat rejection closed-loop fluid circuit, the heat rejection closed-loop fluid circuit thermally coupled to the radioactive materials; and the air-cooled shell-and-tube heat exchanger transferring thermal energy from the coolant fluid flowing through the tube-side fluid path to air flowing through the shell-side fluid path.
041705173
abstract
A nuclear reactor containment arrangement incorporating a permanent cavity to vessel seal in the form of a flexible quarter-circular annular steel gasket affixed to and extending between the reactor vessel seal plate and cavity wall. The seal functions to isolate the periphery of the vessel from a permanent water-tight compartment above the reactor vessel which is flooded during refueling operations to minimize the release of radiation upon exposure of the nuclear core. The seal is designed to accommodate both radial and axial expansion of the pressure vessel relative to the cavity wall occurring during reactor operation without breaking the water-tight integrity of the seal. The pressure vessel ventilation system is provided with an air exit duct at the reactor nozzle level to facilitate the circulation of air around the periphery of the vessel which would otherwise be restricted at the seal to vessel interface.
abstract
The invention relates to a beam filter (10) that can particularly be used in spectral CT-applications for producing a desired intensity profile of a radiation beam without changing its spectral composition. In a preferred embodiment, the beam filter (10) comprises a stack of absorbing sheets (111) that are separated by wedge-shaped spaces (112) and focused to a radiation source (1). Furthermore, the absorbing sheets have a varying width in direct ion of the radiation. Different fractions of the radiation source (1) area are therefore masked by the beam filter (10) at different points (A, B) on a detector area (2). The absorbing sheets preferably comprise a material that is highly absorbing for the radiation to be filtered.
045499853
abstract
Method for precipitating and removing soluble metal compounds from solutions of phosphoric acid. The method is useful in the disposal of metal-containing phosphoric acid waste from electrolytic operations, including such acid solutions contaminated with uranium compounds.
summary
description
This application claims the benefit of Korean Patent Application Nos. 10-2018-0103257, filed Aug. 31, 2018, and 10-2019-0077955, filed Jun. 28, 2019, which are hereby incorporated by reference in their entireties into this application. The present invention relates generally to a surge protection apparatus suitable for a High-altitude Electro-Magnetic Pulse (HEMP) protection apparatus. Generally, when a nuclear explosion occurs at an altitude of 30 km or more, radiation reacts with an ionization layer in the air, and then energy is transformed into electromagnetic waves that reach the ground. Such an electromagnetic wave is called a High-Altitude Electromagnetic Pulse (HEMP). When a HEMP meets a power line, which is a conductor, it is coupled to free electrons in the power line, thus inducing a strong pulse current, by which electronic devices connected to the power line malfunction or break down. In order to prevent damage attributable to such a HEMP, a HEMP protection apparatus, which satisfies insertion loss and Pulse Current Injection (PCI) test requirements presented in the U.S. military standard MIL-STD-188-125-1, is installed on a power line. The HEMP protection apparatus for a power line is composed of a surge protection apparatus and a Radio-Frequency Interference (RFI) filter. (Patent Document 1) Korean Patent No. 10-1217031, Date of Registration: Dec. 24, 2012, Title: Overvoltage Protection Circuit and Apparatus of Filter for EMP Shelter (Patent Document 2) Korean Patent No. 10-1171228, Date of Registration: Jul. 31, 2012, Title: Protection Devices for Power Line against High-altitude Electromagnetic Pulse Accordingly, the present invention has been made keeping in mind the above problems occurring in the prior art, and an object of the present invention is to provide a surge protection apparatus that decreases the leakage current and the voltage drop of an RFI filter by more sufficiently reducing a pulse current in a PCI. The objects of the present invention are not limited to the above-described objects, and other objects, not described here, will be clearly understood by those skilled in the art from the following descriptions. In accordance with an aspect of the present invention to accomplish the above object, there is provided a surge protection apparatus, including a signal determination unit configured to generate a control signal by detecting a surge on a power line; and a switching unit connected between the power line and a ground terminal and configured to include a power transistor that is turned on in response to the control signal. In an embodiment, the power transistor may be implemented as any one of an Insulated Gate Bipolar Transistor (IGBT), a Bipolar Junction Transistor (BJT), a Metal Oxide Semiconductor Field Effect Transistor (MOSFET), a thyristor, and a Silicon carbide (SiC) transistor. In an embodiment, the signal determination unit may include a first capacitor connected to the power line; a first resistor connected between the first capacitor and a gate of the power transistor; a second resistor connected between the gate of the power transistor and the ground terminal; and a Zener diode connected between the ground terminal and the gate of the power transistor. In an embodiment, the switching unit may further include a first diode connected between the power line and a collector of the power transistor; and a second diode connected between the ground terminal and the collector of the power transistor. In an embodiment, the surge protection apparatus may further include an inductor connected to the power line; and a capacitor connected between the inductor and the ground terminal. In an embodiment, the surge protection apparatus may further include an overvoltage protection element including an input inductor connected to the power line; and a Metal Oxide Varistor (MOV) connected between the input inductor and the ground terminal. In an embodiment, the switching unit may further include a first diode connected between an emitter and a collector of the power transistor; a second diode connected to the power line; a fuse connected between the second diode and the collector of the power transistor; and a current transformer connected between the emitter of the power transistor and the ground terminal. In an embodiment, the signal determination unit may include a second capacitor connected between a gate of the power transistor and the ground terminal; a third capacitor connected to the power line; a second resistor connected between the gate of the power transistor and the ground terminal; a third resistor connected to the third capacitor; a third diode connected between the third resistor and the gate of the power transistor; and a Zener diode between the ground terminal and the gate of the power transistor. In an embodiment, the signal determination unit may include a second capacitor connected between a gate of the power transistor and the ground terminal; a third capacitor connected to the collector of the power transistor; a second resistor connected between the gate of the power transistor and the ground terminal; a third resistor connected to the third capacitor; a third diode connected between the third resistor and the gate of the power transistor; and a Zener diode connected between the ground terminal and the gate of the power transistor. In accordance with another aspect of the present invention to accomplish the above object, there is provided a surge protection apparatus, including a switching unit connected between a first power line and a ground terminal; and a signal determination unit connected between the first power line and a first terminal, and configured to generate a control signal by detecting a surge on the first power line, wherein the switching unit includes a power transistor for connecting a first node to the first terminal in response to the control signal so as to electrically connect the first power line to the ground terminal and wherein the first terminal is a terminal for detecting a fault in or damage to the power transistor. In an embodiment, the switching unit may further include a fuse connected to the first node; a first diode connected between the first power line and the fuse; a second diode connected between the first terminal and the first node; a fourth diode connected between the first terminal and the ground terminal; a third capacitor connected between the first terminal and the ground terminal; and a third resistor connected between the first terminal and the ground terminal. In an embodiment, the switching unit may further include a fifth diode connected between a second power line and the fuse. In an embodiment, the signal determination unit may include a first capacitor connected to the first power line; a second capacitor connected between a gate of the power transistor and the first terminal; a first resistor having a first end connected to the first capacitor; a second resistor connected between the gate of the power transistor and the first terminal; a third diode connected between a second end of the first resistor and the gate of the power transistor; and a Zener diode connected between the first terminal and the gate of the power transistor. In an embodiment, the signal determination unit may further include a fourth capacitor connected to a second power line; and a fourth resistor connected between the fourth capacitor and the third diode. In accordance with a further aspect of the present invention to accomplish the above object, there is provided a surge protection apparatus, including a switching unit connected between a first power line and a ground terminal; and a signal determination unit connected between a first node and a first terminal, and configured to generate a control signal by detecting a surge on the first node, wherein the switching unit includes a power transistor for connecting the first node to the first terminal so as to electrically connect the first power line to the ground terminal and wherein the first terminal is a terminal for detecting a fault in or damage to the power transistor. In an embodiment, the switching unit may further include a first diode connected to the first power line; a second diode connected between the first terminal and the first node; a fuse connected between the first diode and the first node; a third capacitor connected between the first terminal and the ground terminal; a third resistor connected between the first terminal and the ground terminal; and a fourth diode connected between the first terminal and the ground terminal. In an embodiment, the switching unit may further include a fifth diode connected between a second power line and the fuse. In an embodiment, the signal determination unit may include a first capacitor connected to the first node; a second capacitor connected between a gate of the power transistor and the first terminal; a first resistor having a first end connected to the first capacitor; a second resistor connected between the gate of the power transistor and the first terminal; a third diode connected between a second end of the first resistor and the gate of the power transistor; and a Zener diode connected between the ground terminal and the gate of the power transistor. In an embodiment, the surge protection apparatus may further include a filter including an inductor connected to the first power line; and a capacitor connected between the inductor and the ground terminal. In an embodiment, the surge protection apparatus may further include an overvoltage protection element including an input inductor connected to the first power line; and a Metal Oxide Varistor (MOV) connected between the input inductor and the ground terminal. Embodiments of the present invention are described with reference to the accompanying drawings in order to describe the present invention in detail so that those having ordinary knowledge in the technical field to which the present invention pertains can easily practice the present invention. Reference will now be made in detail to various embodiments of the present invention, specific examples of which are illustrated in the accompanying drawings and described below, since the embodiments of the present invention can be variously modified in many different forms. However, this is not intended to limit the present invention to particular modes of practice, and it is to be appreciated that all changes, equivalents, and substitutes that do not depart from the spirit and technical scope of the present invention are encompassed in the present invention. It will be understood that, although the terms “first” and “second” may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. For instance, a first element discussed below could be termed a second element without departing from the teachings of the present invention. Similarly, the second element could also be termed the first element. It will be understood that when an element is referred to as being “coupled” or “connected” to another element, it can be directly coupled or connected to the other element, or intervening elements may be present therebetween. In contrast, it should be understood that when an element is referred to as being “directly coupled” or “directly connected” to another element, there are no intervening elements present. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. In the present invention, the singular forms are intended to include the plural forms as well, unless the context clearly indicates otherwise. It should be further understood that the terms “comprise”, “include”, and “have”, when used in this specification, specify the presence of stated features, integers, steps, operations, elements, components, and/or combinations thereof, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or combinations thereof. Unless differently defined, all terms used here including technical or scientific terms have the same meanings as terms generally understood by those skilled in the art to which the present invention pertains. Terms identical to those defined in generally used dictionaries should be interpreted as having meanings identical to contextual meanings of the related art, and are not to be interpreted as having ideal or excessively formal meanings unless they are definitely defined in the present specification. Generally, a surge protection apparatus uses a Metal Oxide Varistor (MOV) device. The MOV is a nonlinear device that has a very high resistance value when the absolute value of a voltage across the two ends thereof is less than a specific value and that has a sharply decreasing resistance value when the absolute value of the voltage is equal to or greater than the specific value. The voltage across the two ends of the MOV at a through current of 1 mA is referred to as a “varistor voltage”. When the surge protection apparatus sufficiently decreases a pulse current, a High-altitude Electro-Magnetic Pulse (HEMP) protection apparatus may reduce the leakage current and voltage drop of a Radio-Frequency Interference (RFI) filter because the capacitance and inductance of a capacitor and an inductor included in the RFI filter are decreased. Therefore, it is desired to decrease the varistor voltage of the MOV, but as the varistor voltage approaches a rated voltage, the MOV is further stressed, and thus the lifespan of the MOV is shortened. As a result, there are limitations in the extent to which the capacitance and inductance of the capacitor and the inductor in the RFI filter can be decreased. A surge protection apparatus according to an embodiment of the present invention may decrease the leakage current and the voltage drop of the RFI filter by sufficiently decreasing a pulse current in the Pulse Current Injection (PCI) test. FIG. 1 is a diagram exemplarily illustrating a surge protection apparatus 100 according to an embodiment of the present invention. Referring to FIG. 1, the surge protection apparatus 100 may include a signal determination unit 110 connected between a power line PL and a ground terminal GND and a switching unit 120 connected between the power line PL and the ground terminal GND. The surge protection apparatus 100 according to the present invention may eliminate a surge that abnormally occurs on the power line PL. The signal determination unit 110 may be implemented to determine whether an abnormal surge has occurred on the power line PL. For example, the signal determination unit 110 may generate a control signal using the difference between the frequency components of power and a surge when the occurrence of a surge is determined. The switching unit 120 may determine whether to connect the power line PL to the ground terminal GND or to disconnect the power line PL from the ground terminal GND in response to the control signal (e.g. a surge detection signal). In other words, the switching unit 120 may determine whether to connect the power line PL to an electronic device or disconnect the power line PL from the electronic device in response to the control signal. FIG. 2 is a diagram exemplarily illustrating the spectrum of a PCI short pulse according to an embodiment of the present invention. Referring to FIG. 2, a short pulse (rising time=20 ns, half pulse width=500 ns) in a PCI test has a frequency component, the frequency bandwidth of which ranges from DC to 300 kHz (at −3 dB), which is much higher than that at 50/60 Hz AC power and at DC power. FIG. 3 is a diagram exemplarily illustrating the impedance graph of a capacitor in a surge protection apparatus. Referring to FIG. 3, when a capacitor having a capacitance of 10 nF is used, the impedance of the capacitor is infinite at 0 Hz, and is 318 kΩ and 265 kΩ at 50 Hz and 60 Hz, respectively, and thus 50/60 Hz AC power and DC power can hardly pass through the capacitor. In contrast, impedance is less than 16 kΩ at frequencies of 1 kHz or higher, and thus some component of the short pulse can pass through the 10 nF capacitor, unlike 50/60 Hz AC power. FIG. 4 is a diagram exemplarily illustrating a surge protection apparatus 100 according to an embodiment of the present invention. Referring to FIG. 4, the surge protection apparatus 100 may include a signal determination unit 110 and a switching unit 120. The signal determination unit 110 may include a first capacitor C1, a first resistor R1, a second resistor R2, and a first Zener diode Z1. A first end of the first capacitor C1 may be connected to a power line PL, and a second end of the first capacitor C1 may be connected to a first end of the first resistor R1. A second end of the first resistor R1 may be connected to a first end of the second resistor R2, and a second end of the second resistor R2 may be connected to a ground terminal GND. A first end of the first Zener diode Z1 may be connected to the ground terminal GND, and a second end of the first Zener diode Z1 may be connected to the second end of the first resistor R1. The switching unit 120 may include an Insulated-Gate Bipolar Transistor (IGBT) (hereinafter also referred to as a ‘power transistor’), a first diode D1, and a second diode D2. The IGBT may include a gate connected to the second end of the first resistor R1, a collector connected both to a second end of the first diode D1 and to a second end of the second diode D2, and an emitter connected both to a first end of the second diode D2 and to the ground terminal GND. Meanwhile, the configuration of the power transistor in the switching unit 120 according to the present invention is not limited to the IGBT. In addition to the IGBT of the switching unit 120 according to the present invention, various types of power transistors (e.g. a Silicon Carbide (SiC) transistor) may be used to implement the power transistor. Also, the switching unit 120 of the present invention may be implemented using a Bipolar Junction Transistor (BJT), a Metal Oxide Semiconductor Field Effect Transistor (MOSFET), a thyristor, or the like. In an embodiment, the resistance of the signal determination unit 110 and the threshold voltage of the Zener diode may have values suitable for the gate input voltage of the IGBT in the switching unit. FIG. 5 is a diagram exemplarily illustrating a circuit in which an input signal source 10 and a load resistor RL for PCI test conditions are added to the surge protection apparatus 100 of FIG. 4. The input signal source 10 may be composed of a power source and a resistor RG, and may generate a short pulse. The load resistor RL may have a resistance of 2Ω. FIG. 6 is a diagram exemplarily illustrating a short pulse from the input signal source 10 coupled to the surge protection apparatus 100. FIG. 7 is a diagram exemplarily illustrating a residual current flowing through the load resistor RL corresponding to the short pulse input from the input signal source 10 in the surge protection apparatus 100. It can be seen that the maximum value of the residual current flowing through the load resistor RL is about 900 A. FIG. 8 is a diagram exemplarily illustrating insertion loss requirements according to the U.S. military standard MIL-STD-188-125. Referring to FIG. 8, for HEMP protection, not only a typical PCI test but also insertion loss requirements must be satisfied. Meanwhile, the surge protection apparatus according to an embodiment of the present invention may additionally include an RFI filter so as to satisfy insertion loss requirements. FIG. 9 is a diagram exemplarily illustrating a surge protection apparatus 100a according to another embodiment of the present invention. Referring to FIG. 9, the surge protection apparatus 100a may have a structure in which an LC filter 130 is added to the structure illustrated in FIG. 4. In an embodiment, the RFI filter that satisfies insertion loss requirements may be implemented as the LC filter 130, as illustrated in FIG. 9. FIG. 10 is a diagram exemplarily illustrating the results of simulation of the insertion loss of the surge protection apparatus 100a of FIG. 9. In the simulation, an inductor L having an inductance of 50 μH and a capacitor C having a capacitance of 10 μF were used. As illustrated in FIG. 10, as a result of simulating the insertion loss, insertion loss requirements are satisfied. FIG. 11 is a diagram exemplarily illustrating a circuit in which an input signal source 10 and a load resistor RL for PCI test conditions are added to the surge protection apparatus 100a of FIG. 9. FIG. 12 is a diagram exemplarily illustrating a residual current flowing through the load resistor RL corresponding to a short pulse input from the input signal source 10 in the surge protection apparatus 100a of FIG. 9. Referring to FIG. 12, as a result of simulating the PCI test, the maximum value of the residual current is less than 10 A, and thus not only insertion loss requirements according to the U.S. military standard but also PCI test requirements may be satisfied. Meanwhile, since the price of a Metal Oxide Varistor (MOV) is lower than that of an IGBT having the same current specification, a cheaper IGBT may be used if the MOV and an inductor are used in the input stage of the surge protection apparatus. FIG. 13 is a diagram exemplarily illustrating a surge protection apparatus 100b according to a further embodiment of the present invention. Referring to FIG. 13, the surge protection apparatus 100b may further include an overvoltage protection element 140, in contrast with the surge protection apparatus 100a illustrated in FIG. 9. The overvoltage protection element 140 may include a MOV and an input inductor L0. FIG. 14 is a diagram exemplarily illustrating a residual current flowing through a load resistor RL corresponding to a short pulse input from an input signal source 10 in the surge protection apparatus 100b of FIG. 13. FIG. 15 is a diagram exemplarily illustrating a surge protection apparatus 200 according to yet another embodiment of the present invention. Referring to FIG. 15, the surge protection apparatus 200 may include a signal determination unit 210 and a switching unit 220. The signal determination unit 210 may be implemented to gradually decrease the gate-input voltage of an IGBT by adding a third diode D3 and a second capacitor C2 to the signal determination unit 110 illustrated in FIG. 4. In this way, switching noise that may occur when the IGBT is rapidly turned off may be reduced. The third diode D3 may be connected between a first resistor R1 and the gate of the IGBT. The second capacitor C2 may be connected between the gate and the emitter of the IGBT. The switching unit 220 may be implemented to provide a first terminal P1 for detecting a short circuit or an open circuit that may occur due to a fault in or damage to the IGBT by adding a fuse F1, a third capacitor C3, and a third resistor R3 to the switching unit 120 of FIG. 4. The fuse F1 may be connected between the first diode D1 and the collector of the IGBT, that is, a first node N1. The third resistor R3 may be connected between the emitter of the IGBT, that is, the first terminal P1, and a ground terminal GND. The fourth diode D4 may be connected between the first terminal P1 and the ground terminal GND. FIG. 16 is a diagram exemplarily illustrating a surge protection apparatus 300 according to still another embodiment of the present invention. Referring to FIG. 16, the surge protection apparatus 300 may include a signal determination unit 310 and a switching unit 320. Here, the switching unit 320 may be implemented in the same way as the switching unit 220 illustrated in FIG. 15. Compared to the signal determination unit 210 illustrated in FIG. 15, the signal determination unit 310 may be configured such that the gate-input signal of the IGBT is input through the diode D1 and the fuse F1 of the switching unit 320. FIG. 17 is a diagram exemplarily illustrating a residual current in the results of simulation of a PCI test conducted in the surge protection apparatus 100b of FIG. 13 and the surge protection apparatuses 200 and 300 of FIGS. 15 and 16. The solid line indicates the case of the surge protection apparatus 100b of FIG. 13, and the dotted line indicates the case of the surge protection apparatuses 200 and 300 of FIGS. 15 and 16. It can be seen that the maximum value of the residual current is slightly decreased, thus enabling a surge protection function to be improved. FIG. 18 is a diagram exemplarily illustrating the gate-emitter voltage waveform of an IGBT in the results of simulation of the PCI test conducted in the surge protection apparatus 100b of FIG. 13 and the surge protection apparatuses 200 and 300 of FIGS. 15 and 16. The solid line indicates the case of the surge protection apparatus 100b of FIG. 13, and the dotted line indicates the case of the surge protection apparatuses 200 and 300 of FIGS. 15 and 16. As illustrated in FIG. 18, the gate-emitter voltage of the IGBT is maintained for a predetermined period of time by adding a diode D3 and a capacitor C2 to each of the signal determination units 210 and 310. FIG. 19 is a diagram exemplarily illustrating the results of simulation of output voltages at a detection terminal P1 in the case (indicated by the solid line) where the IGBT is normal and in the case (indicated by the dotted line) where the IGBT is short-circuited or open-circuited when AC power of 220 V is applied to the surge protection apparatuses 200 and 300 of FIGS. 15 and 16. When the voltage gradually approaches ‘0’ with the lapse of time, it can be seen that the IGBT is abnormal. Since a high initial voltage is a temporary phenomenon appearing at the moment at which the AC power of 220 V is applied, the detection function is not influenced by the high initial voltage. Meanwhile, when a power line is a single-phase power line, the surge protection apparatus according to an embodiment of the present invention may be implemented using a structure in which the IGBT of a switching unit is shared between two lines (i.e. a hot (H) line and a neutral (N) line). FIG. 20 is a diagram exemplarily illustrating a surge protection apparatus 400 in which the surge protection apparatus 200 of FIG. 15 is applied to a single-phase power line. Referring to FIG. 20, the surge protection apparatus 400 may include a first capacitor C1 connected to a first power line N, a first resistor R1 having a first end connected to the first capacitor C1, a fourth capacitor C4 connected to a second power line H, a fourth resistor R4 connected to the fourth capacitor C4, a third diode D3 connected between a second end of the first resistor R1 and a gate of the IGBT, a second resistor R2 connected between the gate of the IGBT and a first terminal P1, a second capacitor C2 connected between the gate of the IGBT and the first terminal P1, a first Zener diode Z1 connected between the gate of the IGBT and the first terminal P1, a first diode D1 connected between the first power line N and a fuse F1, a fifth diode D5 connected between the second power line H and the fuse F1, a second diode D2 connected between a collector N1 and an emitter P1 of the IGBT, a third capacitor C3 connected between the first terminal P1 and a ground line G, a third resistor R3 connected between the first terminal P1 and the ground line G, and a fourth diode D4 connected between the first terminal P1 and the ground line G. In an embodiment, the ground line G may be connected to a ground terminal GND. In an embodiment, the fuse F1 may be connected between the first node N1 and a second node N2. The first diode D1 may be connected between the first power line N and the second node N2, and the fourth diode D4 may be connected between the second power line H and the second node N2. In an embodiment, the IGBT of the switching unit may be turned on in response to a control signal in order to electrically connect the first power line N and the second power line H to the ground terminal GND. FIG. 21 is a diagram exemplarily illustrating a surge protection apparatus 500 in which the surge protection apparatus 300 of FIG. 16 is applied to a single-phase power line. Referring to FIG. 21, the surge protection apparatus 500 may include a first diode D1 connected between a first power line N and a fuse F1, a fifth diode D5 connected between a second power line H and the fuse F1, a second diode D2 connected between a collector and an emitter of an IGBT, a first capacitor C1 connected to the collector of the IGBT, a first resistor R1 having a first end connected to the first capacitor C1, a third diode D3 connected between a second end of the first resistor R1 and a gate of the IGBT, a second resistor R2 connected between the gate of the IGBT and a first terminal P1, a second capacitor C2 connected between the gate of the IGBT and the first terminal P1, a first Zener diode Z1 connected between the gate of the IGBT and the first terminal P1, a third capacitor C3 connected between the first terminal P1 and a ground line G, a third resistor R3 connected between the first terminal P1 and the ground line G, and a fourth diode D4 connected between the first terminal P1 and the ground line G. In an embodiment, the ground line G may be connected to a ground terminal GND. Meanwhile, the present invention may use a current transformer (CT) so as to determine whether the IGBT is faulty. FIG. 22 is a diagram exemplarily illustrating a surge protection apparatus 600 using a current transformer according to an embodiment of the present invention. Referring to FIG. 22, the surge protection apparatus 600 may include a signal determination unit 610 and a switching unit 620. The signal determination unit 610 may include a second capacitor C2 connected between a gate of a power transistor IGBT and a ground terminal GND, a second resistor R2 connected between the gate of the power transistor IGBT and the ground terminal GND, a Zener diode Z1 connected between the ground terminal GND and the gate of the power transistor IGBT, a third capacitor C3 connected to a power line PL, a third resistor R3 having a first end connected to the third capacitor C3, and a third diode D3 connected between a second end of the third resistor R3 and the gate of the power transistor IGBT. The switching unit 620 may include the power transistor IGBT, a first diode D1 connected between a first terminal P1 and a first node N1, a second diode D2 connected to the power line PL, a fuse F1 connected between the second diode D2 and the first node N1, and a current transformer CT connected between the first terminal P1 and the ground terminal GND. FIG. 23 is a diagram exemplarily illustrating a surge protection apparatus 700 using a current transformer according to another embodiment of the present invention. Referring to FIG. 23, the surge protection apparatus 700 may include a signal determination unit 710 and a switching unit 720. The signal determination unit 710 may include a second capacitor C2 connected between a gate of a power transistor IGBT and a ground terminal GND, a second resistor R2 connected between the gate of the power transistor IGBT and the ground terminal GND, a Zener diode Z1 connected between the ground terminal GND and the gate of the power transistor IGBT, a third capacitor C3 connected to a first node N1, a third resistor R3 having a first end connected to the third capacitor C3, and a third diode D3 connected between a second end of the third resistor R3 and the gate of the power transistor IGBT. The switching unit 720 may include the power transistor IGBT, a first diode D1 connected between a first terminal P1 and the first node N1, a second diode D2 connected to the power line PL, a fuse F1 connected between the second diode D2 and the first node N1, and a current transformer CT connected between the first terminal P1 and the ground terminal GND. FIG. 24 is a diagram exemplarily illustrating the results of detection by the power transformer CT when AC power of 60 Hz 220 V is applied. Referring to FIG. 24, in the case (indicated by the solid line) where the IGBT is normal, a periodic signal of 60 Hz may be detected. Therefore, when the average of P1 signals is obtained or a component of 60 Hz is calculated, whether the IGBT is normal may be determined. In the case (indicated by the dotted line) where the IGBT is faulty, current may be ‘0’. Meanwhile, FIGS. 15, 16, 22, and 23 may be applied not only to a single-phase power line but also to a three-phase or four-phase power line. The surge protection apparatus according to embodiments of the present invention may be implemented in a similar structure even in the case of the three-phase power line. The surge protection apparatus according to an embodiment of the present invention may more effectively decrease a surge or a transient voltage/current induced on the power line. The surge protection apparatus according to embodiments of the present invention may more effectively decrease a surge or a transient voltage/current induced on a power line by determining a signal on the power line and then turning on/off a power device. Meanwhile, the descriptions of the present invention are only detailed embodiments for practicing the present invention. The present invention may include not only means itself that is detailed and is actually available but also the technical spirit indicating abstract and conceptual ideas that can be utilized as technology in the future.
summary
description
This application is a continuation of U.S. application Ser. No. 12/369,604 filed Feb. 11, 2009, which is a continuation of U.S. application Ser. No. 11/589,415 filed Oct. 30, 2006, which claims the benefit of and priority to U.S. Provisional Application No. 60/793,907 filed Apr. 21, 2006 entitled SYSTEM AND METHOD FOR HIGH RESOLUTION FIELD SHAPING, the disclosures of all of which are incorporated herein by reference. This application relates to a system and method for shaping a projected radiation field such that it can be used to provide medical treatments. Use of radiation therapy to treat tumors, and possibly other areas of targeted tissue, is widely known. There are a number of challenges associated with using radiation to treat targeted areas of tissue in a patient's body. One challenge is identifying the location of the volume of tissue in the patient's body which is targeted for treatment. Another challenge is shaping the radiation field so that the radiation field directed to the patient's body conforms to the area of tissue which is identified for treatment. A number of different techniques can be used to control the shape of the radiation field which is directed the targeted area of the patient's tissue. One widely used device for controlling the shape of the radiation field is a multi-leaf collimator, which may be referred to at points herein as a MLC for ease of reference. Previous MLCs have been provided in a wide range of different designs, and generally utilize a number of movable leaves which interposed in the path of radiation, to block a portion of the radiation emitted by a radiation source, and the unblocked radiation forms a radiation field shape which is then incident at an isocenter plane. One example of a prior MLC is shown in U.S. Pat. No. 5,166,531, entitled LEAF-END CONFIGURATION FOR MULTI-LEAF COLLIMATOR, which is assigned to the same assignee as the present application, and which is incorporated herein by reference. Additional aspects of other examples of MLC implementations are shown in U.S. Pat. No. 6,891,178; U.S. Pat. No. 5,012,506; and U.S. Pat. No. 6,600,810, each of which is incorporated herein by reference. In operation a radiation treatment system using an MLC is designed to balance a number of competing factors in order to provide a combination of characteristics which will provide a tool which medical personnel can use to provide a patient with effective and efficient targeted and controlled radiation treatment. The basic layout of a radiation treatment system 100 of an embodiment herein is illustrated in FIG. 1A. FIG. 1A provides a radiation source 102 which emits radiation energy 104. As used herein radiation energy should be interpreted to include a range of different types of energy which is used to apply a medical treatment to a patient's tissue, including for example photon radiation, and proton radiation, and other possible types of radiation. The radiation energy 104 spreads out as it travels away from the radiation source 102. The radiation source 102 can be disposed in a support arm. In many systems the support arm 112, which is sometimes referred to as a gantry, will be mounted to gantry bearing 114, which provides rotatable member coupling the gantry to stand 116 which operates as fixed support structure. The gantry bearing 114 allows the gantry 112 which supports the radiation source 102 and the MLC to be rotated around the patient so as to allow for targeting the radiation field toward different areas of tissue for treatment. The gantry 112 can be formed of metal, or other structurally supportive materials, and included in the gantry can be various control lines, and power lines which operate to provide power and control to various elements, such as the MLC 108, and the radiation source 102 which are coupled in the gantry 112. The patient 110 is secured to a patient support assembly table 118, which is movable such that the targeted tissue of the patient can be positioned at an isocenter of the radiation energy 104. The shape of the radiation energy which is projected onto an area 106 the patient's body is then controlled using a multi-leaf collimator 108. In one embodiment the MLC is positioned between the radiation source 102 and the isocenter, such that there is a clearance distance L1 of at least approximately 400 mm or more between the isocenter and the side of the MLC which is closest to the isocenter. An embodiment of the system herein provides for among other things a high resolution multi-leaf collimator, which can provide for leaves of the multiple leaf collimator that project a shape step resolution size of about 3 mm or less at the isocenter. One embodiment of a system herein would provide for a radiation source 102 as shown in FIG. 1. In one embodiment the distance between the source and the patient would be in the range of approximately 800-1000 mm. In one embodiment with a source at a distance of 1000 mm from the isocenter, the distance between a horizontal centerline of the MLC 108 and the radiation source is provided at 510 mm. Thus, there is a distance of 490 mm between the horizontal centerline of the MLC and the isocenter. The radiation energy 104 is projected through the MLC 108, and the position of the leaves of the MLC can be adjusted to control the shape, or pattern, of the radiation which is projected onto the patient, and the shape at the isocenter. FIG. 1B shows another view of an embodiment of a radiation treatment system 120. The illustration of system 120 shown in FIG. 1B shows a gantry 122, which operates as a support arm, which has been rotated slightly on the axis 124 of a gantry bearing 126. The radiation source 127 emits radiation through the MLC and other radiation field shaping elements contained an beam shaping housing 128 also referred to as collimator cover, coupled to the gantry 122. The system 120 includes a patient support assembly table 130 with controllable height adjustment of the patient support assembly 132, which allows for positioning the tissue to be treated at the isocenter of the radiation treatment system. Control lines 134 and 136 couple the controllable height adjustment support 132, and the gantry 122, and the elements which are contained in, or coupled to the gantry, with a computer 138, and a printer could be included for printing information and images generated by the computer. The computer 138 is shown as a single computer where such a computer could be standard PC programmed to control the operations of the various elements of the system; in some embodiments the computer could be implemented as multiple computers, or processors which could be provided for at various location in the radiation system, or located remotely from the radiation system, but communicatively coupled with various elements of the system. The computer 138 then controls various elements of the system to provide the prescribed radiation treatment to a patient. The computer can be a standard personal computer, or other computer system, which is programmed to interface with the components of the radiation treatment system. The computer 138 could be coupled with user interface devices 140, such as a mouse, and a keyboard. Information, and images could be presented to a user by a display 142 coupled to the computer 138. For example, in one embodiment a processor of the computer will be programmed such that the display will operate to show an image 144 having a dosimetry matrix, also referred to as a dose calculation matrix, with grid line units, where the grid line units have a length which corresponds to a length of 0.3 mm or less for a projected radiation image at the isocenter, and the image 144 can include a corresponding radiation shape image which will correspond with the radiation image projected at the isocenter where the tissue to be treated is positioned, wherein at least a portion of the projected image at the isocenter will have a step resolution which corresponds to the length of the units of the dosimetry matrix. The relationship between the projected radiation field shape and the dosimetry matrix will be discussed in greater detail below. The computer 138 will, via the control line 136, which can include multiple control lines, control the position of the leaves of the MLC to provide for a projected radiation field shape at the isocenter which will correspond to the radiation field shape 146 shown in the image 144. In one embodiment, a user of the system will be provided with input controls through the computer 138 such that user can control the positioning of the MLC leaves to provide a radiation shape which will correspond to the area of tissue selected for treatment. FIG. 1C shows a cross sectional view taken through the collimator cover 128 and shows beam shaping components contained in the collimator cover. Annular support structures 154 and 156 provide for the lateral sides of the collimator cover, and provide lateral structural support for upper jaw components 148 and another upper jaw not shown. These support structures 154 and 156 can be composed of metal or structural synthetic materials. The source 102 emits the radiation field 104 which is transmitted through a first beam shaping area where upper jaw components 148 operate to provide lateral side shaping of the emitted radiation energy 104. The emitted radiation energy then passes through a second beam shaping area where lower jaw components 150 and 152 provide additional lateral side shaping to the radiation energy. The radiation energy 104 then passes through the MLC 108 which is disposed in support structure 158. The leaves of the MLC are then positioned to provide specific shaping to the radiation energy so as to provide a projected radiation energy shape at the isocenter 160 which corresponds to the targeted area of tissue to be exposed to the radiation energy. The isocenter 160 can be a plane which is at a predetermined distance from the energy source 102. The radiation treatment system is configured and calibrated such that it provides a known, and controllable amount energy at the isocenter 160. Also the MLC 108 is at fixed distance of the from the isocenter, so the positioning of the leaves of the MLC will result in specific projected radiation energy shapes, where the projected shape of the radiation is a function of both thickness of the leaves of the MLC and the distance of the leaves of the MLC from the isocenter. FIG. 1C also shows the clearance distance L1 between the bottom of the MLC and the isocenter 160. In one embodiment this clearance distance will be approximately 415 mm, and this clearance distance could be greater, or somewhat less. However, ideally this clearance distance would not be less than 400 mm. It should be noted that the clearance L1 between the bottom of the MLC 108 and the isocenter 160 as shown in FIG. 1C is substantially the same as the clearance between the bottom most part of the support structure 158 and the isocenter. However, in many embodiments the support structure 158 of other elements of the system might extend further toward the isocenter. As discussed herein clearance, unless otherwise specified will be a reference to the distance between the bottom MLC and the isocenter. In different embodiments of radiation system of the present invention different implementations of the collimator cover 128 and the support structure and other elements of the system may extend beyond the bottom most portion of the MLC and thus be closer to the isocenter. However, regardless of the position of the various supporting elements the bottom of the MLC can operate to determine an important clearance parameter in that clearance cannot be greater than distance between the bottom of the MLC and the isocenter. This clearance distance between the MLC and the isocenter provided for by an embodiment of the system herein is such that even where elements of the collimator cover or other elements of the system extend beyond the bottom of the MLC, the system still provides sufficient clearance such that a wide range of patients will be able to be treated in the system. In some prior radiation treatment system the amount of clearance between the isocenter and the bottom of the MLC, or the housing supporting the MLC, was not great enough to accommodate very large patients, for example when a targeted tumor was located at a deep level in patient's tissue. Thus, when the targeted tissue was positioned at the isocenter the upper area of the patient's body might actually protrude against the MLC or the housing supporting the MLC. Indeed, in such cases the radiation treatment system could likely not be used to treat the patient. In most cases, however, a clearance of 400 mm or more will be suitable to provide treatment. FIG. 1D shows additional aspects of an embodiment of a radiation system 161 of the present invention. The system provides for a source where an electron beam is transmitted into a target 162. The target can be comprised of tungsten. A primary collimator 168 is provided which operates to collimate the radiation. An open area 164 within the primary collimator provides for a transmission path for the radiation beam. A filter 166 operates to evenly distribute the energy of the radiation beam. Upper Jaws 170 and 172, and lower jaws 174 and 176 operate to further shape the radiation beam. In FIG. 1D the position of the lower jaws 174 and 176 has been rotated by 90 degrees for illustrative purposes. The MLC leaves 178 and 180 are then positioned between the lower jaws 174 and 176, and the isocenter plane 184. FIG. 1D does not show the collimator cover and other structural elements of the radiation system 161. In one embodiment the collimator cover would provide a mounting bracket where an upper wedge compensator 182 could be positioned between the MLC leaves and the isocenter plane. In some embodiments additional beam conditioning elements could also be provided for between the MLC and the isocenter plane 184. In one embodiment the distance between the source and the isocenter LD1 is approximately 1000 mm or greater. The distance LD2 between the horizontal centerline of the MLC leaves 178 and 180 and the isocenter plane 184 is approximately 490 mm. The clearance distance LD3 between the bottom of the MLC leaves 178 and 180 is approximately 458 mm. The distance LD4 between the bottom of the upper wedge compensator 182 and the isocenter is approximately 426 mm. It should be noted that the basic configuration of elements shown in FIG. 1D has been used in prior art radiation systems. However the radiation system 161 is unique in part because the clearance LD3 between the bottom of the MLC and the isocenter, and the configuration of the leaves of the MLC provide for a unique combination of substantial clearance between the MLC and the isocenter and a step resolution of approximately 3 mm or less. FIG. 2 is a simplified cross sectional view taken along A-A′ as shown in FIGS. 1A and 1C, which illustrates the basic configuration of an MLC 108. The simplified view of the MLC 108 shown in FIG. 2 shows a number of the basic elements of the MLC. The MLC includes two opposing support carriages 202 and 204. In one embodiment each of these support carriages are made of single piece of machined metal. Each support carriage secures a set of multiple leaves. The position of the set of leaves 206 can be individually controlled by drive motors secured to the housing support structure 202, and similarly the position of the leaves 208 can be controlled by motors secured to the housing support structure 204, where these motors receive control signals from the computer 138. The position of the leaves 206 and 208 as shown in FIG. 2 is not representative of a likely treatment configuration but is provided for illustrative purposes. In the position shown in FIG. 2, the radiation source, which would be positioned directly above the MLC, would emit radiation energy, and a portion of the energy would be blocked by the leaves 206 and 208, and a portion of the energy would pass through the gap 210 between the leaves 206 and 208. This energy passing through the gap 210 would then be incident on a generally rectangular area of the patient's tissue located at the isocenter. The position of the leaves of the MLC 108 can be controlled to provide for a wide range of different field shapes to provide treatment to wide variety of different selected areas of treatment, which can allow, for example, for applying radiation to a wide range of different shaped tumors located in patient. FIGS. 3A and 3B show different views of the support carriage 202 and the leaves 206 for one half of the MLC 108. FIGS. 3A and 3B also show additional elements of the MLC. In one embodiment the width W1 of the support carriage is about 300 mm; the length L1 of the support carriage is about 240 mm; the height H1 of the support carriage is about 85 mm. FIG. 3A shows a number of very small high precision screw motors in area 302. Each of the screw motors is coupled with one of the individual leaves of the set of leaves 206. The individual screw motors can be controlled to cause the leaf to which the motor is coupled to either project outward from the support carriage 202, or retract into the support carriage 202. In one embodiment the set of leaves 206 of the MLC can include different types of leaves. The regions 306 and 308 of the leaves 206, are lower resolution leaves which have in one embodiment a transitional thickness in the general range of approximately 0.25 cm, which corresponds to a projected step resolution on the patient's tissue of approximately 0.5 cm. The leaves in the region 304 are higher resolution leaves having a transitional thickness in the general range of approximately 0.125 cm, which corresponds to a projected step resolution on the patient's tissue of approximately 0.25 cm, in an embodiment where the source is approximately 1000 mm from the isocenter and the MLC is located about 500 mm away from the source. The transitional thickness refers to a center area of the leaf where the thickness of the leaf is changing from a thicker portion of the leaf to a thinner portion of the leave as is described in more detail below. FIGS. 4A and 4B illustrate additional views of the support carriage 202 and the set of leaves 206. The area 402 and the area 404 illustrate a hook and tab sliding coupling between the support carriage and the leaf. This hook and tab arrangement is advantageous because it distributes the friction and contact wear and tear between the leaves and the support carriage along both the top edge and the bottom edge of the leaf, and this configuration will substantially increase the usable life of the MLC. Additionally, the coupling of the leaves at the top and bottom edge of the leaf to the support carriage via the hook and tab arrangement serves to reduce system noise. It should be noted that as shown clearly in FIG. 4B the leaves can be composed of two sections with narrow section and a wide section. Also, in one embodiment the lateral spacing between the leaves is in the range of 125-12 microns. If the spacing is too great then there can be unwanted radiation leakage between the leaves, and if the spacing is too close there can be mechanical interference between leaves. In one embodiment the leaves are composed of a tungsten composite material. The leaves include one type of leaf which is referred to as a target side leaf. Leaf 406 is an example of a target side leave. The target side leaf provides that the thicker part of the leaf, and, its hook element, are directed toward the radiation source side of the MLC. A second type of leave is an isocenter leave 408, where the isocenter leave has its thicker part and its hook element directed toward the patient side of the MLC. The arrangement of the leaves provides that the orientation of the leaves tends to point toward the radiation source. By inclining the position of the leaves relative to the source, the quality of the radiation shaping at the isocenter is improved. It should be noted that the number of leaves used could vary depending on the specific implementation of MLC. For example, in one embodiment approximately 60 leaves are used on each side of the MLC, with about 30 high resolution leaves in the center area of the MLC and about 15 lower resolution leaves on the either side of the center area. In one embodiment the leaves of the MLC will have a length of approximately 205 mm, and height of 67 mm, with thickness dimensions as described below. FIGS. 5A-5C show additional views of the leaves. FIG. 5A shows an isometric view of a target side leaf 502. The elongated arm 504 is provided to support the leaf 502 when the leaf is projected out from the support carriage. The length L2 in one embodiment of the MLC leave is in the range of 205 mm. The screw drive can be coupled to the inside body 506 of the leaf 502. FIG. 5B shows an end view of a target side leaf, and FIG. 5C shows an end view of an isocenter side leaf 508 as viewed from the drive end. It is noted that while the lateral sides of the thick portions of the leaves shown in FIGS. 5B and 5C appear to be parallel to each other, they are actually inclined relative to each other at approximately 0.5 degree. This inclined shape has been found to improve the imaging of the MLC. More specifically, the trapezoidal cross section of the leaf operates to minimize the side penumbra (shadows cast from the leaf sides). The incline on the lateral sides of the leaves points toward the radiation source. The table below gives some exemplary dimensions of an embodiment herein, where the source is 1000 mm from the isocenter, and the horizontal centerline of the MLC is 510 mm from the source, providing a centerline clearance of 490 mm. Average width atThick dimensionelevation of leafat thick part of leafThin dimension at(this is the middle(cm) (this isthin part of leafAverage projectedpoint (W1) wheremeasured(cm) (this iswidth at isocenterthe leave isbetween the topmeasured at the(cm) (patienttransitioning fromcorners (W2) ofbottom cornersLeaf type/Sizetreatment plane)thin to thick)the leaf)(W3) of the leaf)Quarter target leaf.25.125.15.09(high resolution)Quarter isocenter.25.125.17.07leaf (highresolution)Half target leaf.5.25.27.22(low resolution)Half isocenter leaf.5.25.30.20(low resolution) The fact that the leaves are shaped with a thin portion and thick portion allows for an overlap between the lateral sides of adjacent leafs. This overlap then operates to block the radiation, such that radiation can be selectively blocked by the MLC; thereby allowing for the shaping of the radiation field applied to the patient tissue at the isocenter. It should also be noted that the dimensions herein provide for a certain amount of blocking of radiation for a given leaf thickness dimension. For example, in an embodiment herein, a leaf thickness of 0.125 cm would provide approximately 0.25 cm shielding of radiation at the isocenter, when the isocenter is 1 meter from the source, and the center of the MLC is 510 mm from the source. However, if the MLC were positioned further away from the radiation source and closer to the isocenter then the amount of radiation shielding provided by the 0.125 cm thick leaf would be less than 0.25 cm. Thus, the projected resolution step at the isocenter is a function of both the thickness of the leaf, and the position of the leaf relative to the isocenter and the radiation source. Thus, one system could provide for very high resolution steps at the isocenter by positioning the leaves very close to the patient, but this can create a problem logistically, because there are big patients and there are various positioning devices that need sufficient clearance between the isocenter and the bottom of the MLC. As the distance is increased by moving the leaves up away from the patient, better clearance is obtained, but the leaves have to become much thinner to achieve the same projected dimension. An embodiment herein utilizes an MLC having leaves with a thickness sufficient to achieve high step resolution, such as 0.25 cm, and still provide for a clearance of in the range of 400 mm or greater, between the lowest surface of a structure including imaging components (typically the bottom of the MLC will be at, or very close to, this position) and the isocenter. Thus, an embodiment herein provides for a balance between the need to provide for good clearance above the patient, and still provide for a high step resolution. Referring back to the discussion above regarding the screw motors of the MLC, the screw motors can utilize a screw having a diameter of about 1.2 mm. This screw can then be secured against the back of the corresponding leaf, and as the motor spins then it is either projected or retracted. The leaf drive screw motors are coupled to a precision gearbox and accompanying encoder; the configuration should provide for high precision motors so that the leafs position can be precisely controlled. Additionally, the screw motors can be provided with a tunable axial clutch component. The tunable axial clutch component is responsive to the amount of axial force being applied to the screw, and if the applied force reaches a threshold amount, then the clutch will operate to disengage the motor from the screw, such that the axial force in excess of a threshold amount is not applied to the screw, and thus force in excess of a prescribed amount is not applied to force the projection of the corresponding leaf. This tunable axial clutch type of operation can be achieved using a spring loaded spline type device, and other fault tolerant drive train type implementations could be used. It can be very advantageous to employ some type of drive system which limits the amount of pressure applied to the MLC leaves, so that the leaves do not become damaged due the application of excessive force. This is particularly true in connection with the thinner high resolution leaves. Referring back to FIG. 3A, reference is made to elements 310. Elements 310 provide for a plurality of circuit traces which provide for sensing the position of the various leaves of the MLC. These circuit traces can provide for a potentiometer type of device which uses electrical field information to determine the position of each of the leaves. The screw motors can also be used to mechanically sense the position of the leaves. Thus, two independent measures can be used to determine the position of the leaves of the MLC. Positional information can be transmitted via control lines to the computer 138. In one embodiment of a radiation treatment system herein the system could provide for radiation treatment at any location within an area of 22×40 cm2. In such an embodiment if all of the leaves of the MLC are fully retracted then the area to which the radiation would be applied would be 22×40 cm2. In another embodiment a larger field of in the range of 26×40 cm2 could be provided. Indeed a range of different field sizes could be provided for. FIG. 6A illustrates an area 602 which corresponds to a shape of a radiation energy field that could be projected on to a selected area of tissue for treatment located at the isocenter. In one embodiment, as shown in FIG. 6A, the radiation shape 602 has center area 604 which has first step resolution. In one embodiment this first step resolution will be a higher step resolution, then the step resolution provided in the areas 606 and 608 which area adjacent to the center area 604. The step resolution is determined in part by the thickness of the leaves of the MLC. Thus, for an MLC which has thinner, high resolution leaves in a center area of the MLC, the shape will have higher step resolution in the center area than on the sides of the image which correspond to the leaves of the MLC which are thicker, lower resolution leaves. FIG. 6B illustrates the area 602, but with rectangular images laid over the projected radiation shape 602. These rectangular images illustrate the area of the image that would correspond to areas defined by the retraction of the leaves of an MLC, and also make it easier to recognize the high resolution area 604 of the shape versus the low resolution areas of the shape 606 and 608. FIG. 6C illustrates an image, or screen shot, 610 which could be shown on a display of a computer as previously discussed in connection with FIG. 1B. In operation a doctor or other medical provider using the radiation treatment system, would have information to identify the shape and position of the area of tissue to be treated. This information can be used by the computer of the radiation treatment system to determine the positioning of leaves of the MLC, so that the leaves will block some portion of the radiation energy emitted by the energy source, and allow another portion of the radiation energy to be transmitted through the MLC and be incident on the tissues to be treated at the isocenter. In one embodiment of the system herein, as part of this treatment operation the operator of the system will review the corresponding area of the projected image as shown on the computer display. The operator can use the user interface control to make specific adjustments to the MLC leaves to change the position of the leaves, and the corresponding projected image will change. In providing for radiation treatment, it can be important to precisely determine the total tissue area to which the radiation is applied, and the location of the tissue to which the radiation is applied. In connection with tracking the area of tissue to which the radiation is applied, a dosimetry matrix, also referred to as a dose calculation matrix can be used. FIG. 6C illustrates a dose calculation matrix 612 which could be used in an embodiment herein. The dose calculation matrix 612 provides for units, which are shown as grid squares 614 in FIG. 6C. By determining the number of units of area that the projected radiation will cover in the dose calculation matrix 612, the amount of radiation applied to the patient's tissue can be determined. In one embodiment of a system according to the invention herein, the dose calculation matrix will have a unit length, as determined by the side of one of the unit squares which would correspond to the projected step resolution of the high resolution leaves of the MLC. For example, the displayed step resolution length L3 shown in FIG. 6C corresponds to the length of the dose calculation matrix unit. In one embodiment the displayed step resolution L3 could, instead of being the same as the length of the dose calculation matrix unit length, be a whole number integer of the matrix unit length. In the embodiment shown in FIG. 6C, the displayed step resolution length L4 in one of the lower resolution areas of projected images would be whole number integer multiple of the of the matrix unit length. In the case shown the lower resolution area of the step resolution is twice the length of the higher step resolution area. In one embodiment a first area of the actual projected image at the isocenter will have a step resolution of 3 mm or less, and the dose calculation matrix unit length will correspond to the step resolution of the first area of the projected image at isocenter. In one embodiment the step resolution of the first area of the actual image at the isocenter will be approximately 2.5 mm, and the dose calculation matrix unit length will correspond to the approximately 2.5 mm. This step resolution and matrix unit length value and relationship works well because it provides a user with the a step resolution unit value which is intuitive, and easy for the user to do simple calculations, in part because the unit value divides evenly into the value of 10, which means that for example four units at 2.5 mm will equal 10 mm, or 1 cm. FIG. 6D is simplified illustration of the positioning of the leaves 616 of an MLC, to provide a projected radiation shape which would correspond to the image 602 as shown FIGS. 6A-6C. The positioning of the leaves operates to block the emitted radiation which is directed to the area occupied by the leaves, and the combined positioning of all the leaves operates to define a space 618 through which emitted radiation passes, and this space 618 operates to define the shape of the projected radiation image which is incident at the isocenter. In the embodiments described above the MLC provides for center area with high resolution leaves, and outer areas with lower resolution leaves. Additionally, MLC embodiments could include additional leaves having different thicknesses which would provide for still lower resolution steps in the projected radiation shape at the isocenter. It should be noted that the above descriptions illustrate certain embodiments for illustrative purposes and one of skill in the art would recognize that specific implementations of the invention herein could be implemented in different ways. Thus, while various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example, and not limitation. It will be apparent to persons skilled in the relevant art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention. This is especially true in light of technology and terms within the relevant art(s) that may be later developed. Thus, the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.
summary
claims
1. A method, comprising:identifying, by a processor, at least a first benchmark test procedure to evaluate at least a first and a second target platform for use with an application, said at least first benchmark test procedure including at least a first unit test;receiving by a communication device test result data from tests performed on said at least first and second target platform using said at least first benchmark test procedure; andperforming, by said processor, a matching analysis to determine which of said at least first and second target platform is a best fit for said application;wherein said identifying, by the processor, at least a first benchmark test procedure further includes generating an application resource usage profile associated with the application;wherein said application resource usage profile includes a plurality of canonical forms, each canonical form associated with a relevance weighting;wherein said plurality of canonical forms include at least one canonical form selected from the group consisting off multithreaded, networking, floating point, memory intensive, integer intensive, and I/O disk processing. 2. The method of claim 1, wherein said application resource usage profile includes at least one canonical form associated with the application. 3. The method of claim 1, wherein the benchmark test procedure is selected from a library of available benchmark test procedures. 4. The method of claim 3, wherein the benchmark test procedure comprises a plurality of unit test procedures, and said test result data includes test result data from each of said plurality of unit test procedures. 5. A method comprising:identifying, by a processor at least a first benchmark test procedure to evaluate at least a first and a second target platform for use with an application, said at least first benchmark test procedure including at least a first unit test;receiving, by a communication device, test result data from tests performed on said at least first and second target platform using said at least first benchmark test procedure; andperforming, by said processor, a matching analysis to determine which of said at least first and second target platform is a best fit for said application;wherein the matching analysis by the processor further comprises: grouping said test result data by target platform, by benchmark test, and by unit test;generating a set of platform placement scores within each benchmark test;normalizing said set of platform placement scores within each benchmark test; andgenerating a set of platform rankings. 6. A processing apparatus, comprising:a communication device to receive and transmit information via a communication network;a processor coupled to the communication device; anda storage device in communication with said processor and storing instructions adapted to be executed by said processor to:identify at least a first benchmark test procedure to evaluate at least a first and a second target platform for use with an application, said at least first benchmark test procedure including at least a first unit test;receive test result data from tests performed on said at least first and second target platform using said at least first benchmark test procedure; andperform a matching analysis to determine which of said at least first and second target platform is a best fit for said application;wherein said instructions adapted to identify at least a first benchmark test procedure further include instructions to generate an application resource usage profile associated with the application;wherein said application resource usage profile includes a plurality of canonical forms, each canonical form associated with a relevance weighting;wherein said plurality of canonical forms include at least one canonical form selected from the group consisting of: multithreaded, networking, floating point, memory intensive, integer intensive, and I/O disk processing. 7. The apparatus of claim 6, wherein said application resource usage profile includes at least one canonical form associated with the application. 8. The apparatus of claim 6, wherein the benchmark test procedure is selected from a library of available benchmark test procedures. 9. The apparatus of claim 8, wherein the benchmark test procedure comprises a plurality of unit test procedures, and said test result data includes test result data from each of said plurality of unit test procedures. 10. A processing apparatus comprising:a communication device to receive and transmit information via a communication network;a processor coupled to the communication device; anda storage device in communication with said processor and storing instructions adapted to be executed by said processor to:identify at least a first benchmark test procedure to evaluate at least a first and a second target platform for use with an application, said at least first benchmark test procedure including at least a first unit test;receive test result data from tests performed on said at least first and second target platform using said at least first benchmark test procedure; andperform a matching analysis to determine which of said at least first and second target platform is a best fit for said application;wherein said instructions adapted to perform a matching analysis further comprise instructions adapted to:group said test result data by target platform, by benchmark test, and by unit test;generate a set of platform placement scores within each benchmark test;normalize said set of platform placement scores within each benchmark test; andgenerate a set of platform rankings. 11. A platform matching system, comprising:an application resource profile generator to: (i) monitor an application during runtime, (ii) generate data identifying the time spent in resource access system calls, (iii) generate an application resource profile identifying a ratio of time spent in said resource access system calls to the overall application runtime;a platform analysis system to: (i) identify a set of benchmark tests; (ii) receive benchmark test data associated with one or more target platforms; and (iii) store said benchmark test data; anda matching system to: (i) receive said application resource profile; (ii) receive said benchmark test data associated with at least a first target platform; (iii) compare said application resource profile with said benchmark test data; and (iv) match said application with at least a first target platform based on said comparison. 12. The platform matching system of claim 11, further comprising:a monitoring system to: (i) receive production runtime data from a plurality of applications deployed in a production environment; and (ii) store said runtime data for use by said matching system. 13. The method of claim 5, wherein the benchmark test procedure is selected from a library of available benchmark test procedures. 14. The method of claim 13, wherein the benchmark test procedure comprises a plurality of unit test procedures, and said test result data includes test result data from each of said plurality of unit test procedures. 15. The apparatus of claim 10, wherein the benchmark test procedure is selected from a library of available benchmark test procedures. 16. The apparatus of claim 15, wherein the benchmark test procedure comprises a plurality of unit test procedures, and said test result data includes test result data from each of said plurality of unit test procedures.
summary
047624027
abstract
The invention relates to a system making it possible to obtain a selective reaction in photochemical processes from laser beams, comprising means for distributing said beams permitting a good utilization of the light energies.. This system comprises in a sealed enclosure: the matter being in the state of a vapor from which it is wished to extract a chemical or isotopic species, laser sources emitting into said enclosure; a beam S.sub.1 permitting a selective excitation of the species to be extracted and a beam S.sub.2 permitting a transformation of said excited species. This system also comprises means for distributing the beams and incorporating means for superimposing the beams S'.sub.1 . . . S.sub.1.sup.(n) with n being an interger at least equal to 1 and for forming several beams S.sub.1 which it is wished to introduce at several points through the vapor to be treated, means for introducing beams S.sub.1 and beam S.sub.2 into the enclosure in such a way that their paths are different and means for inverting them along parallel arms.. Application to the isotopic separation of an atom or molecule, or to the photoisomerization of a molecule.
062495666
abstract
An incident monochromator and a microfocus X-ray source with an apparent focal spot size of less than 30 micrometers are combined so that the X-ray source can be close to the monochromator and the intensity of X-rays focused on a sample is greatly increased. A side-by-side composite monochromator is arranged between the X-ray source and the sample. The composite monochromator has a first and a second elliptic monochromators each having a synthetic multilayered thin film with graded d-spacing. The first elliptic monochromator has one side which is connected to one side of the second elliptic monochromator. A preferable apparent focal spot size D of the X-ray source may be 10 micrometers. Because the invention provides a high focusing efficiency for X-rays, it is not required to use a high-power X-ray tube. The X-ray tube according to the invention, moreover, may have a stationary-anode, whose power may be about 7 Watts.
044477341
claims
1. Radiation-shielding transparent material consisting of an aqueous solution of deoxidized thallium formate dissolved in deoxidized distilled water, said material having a density of 2.5 to 3.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm wavelength. 2. Radiation-shielding transparent material as set forth in claim 1, wherein said material consists of 300 to 670 grams of thallium formate per 100 cubic centimeters of water. 3. Radiation-shielding transparent material consisting of an aqueous solution of deoxidized thallium formate and deoxidized thallium malonate dissolved in deoxidized distilled water, said material having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm wavelength. 4. Radiation-shielding transparent material as set forth in claim 3, wherein said material consists of 300 to 800 grams of thallium formate and thallium malonate per 100 cubic centimeters of water. 5. A method of producing radiation-shielding transparent material, comprising separately deoxidizing thallium formate and distilled water, and dissolving the thus deoxidized thallium formate in the deoxidized distilled water in a non-oxidizing atmosphere at a rate of 300 to 670 grams of thallium formate per 100 cubic centimeters of water, so as to produce radiation-shielding transparent materials having a density of 2.5 to 3.3 g/cm.sup.3, a radiation length of 3.8 to 2.6 cm, and a transmission of not less than 93% for light of 400 nm wavelength. 6. A method of producing radiation-shielding transparent material, comprising separately deoxidizing thallium formate, thallium malonate, and distilled water, mixing 10 to 90% of the deoxidized thallium malonate based on the amount of thallium formate into the deoxidized thallium formate, and dissolving 300 to 800 grams of the mixture thus prepared into 100 cubic centimeters of the deoxidized water, so as to produce radiation-shielding transparent material having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, land a transmission of not less than 93% for light of 400 nm wavelength. 7. A window through a radiation-shielding wall surrounding a radiation source, comprising a hollow tubular casing airtightly embedded in said wall so as to extend across opposite surfaces of said wall through a thickness thereof, two glass plates airtightly secured to opposite end openings of said hollow tubular casing, and an aqueous heavy solution of thallium formate filling a hollow inside space of said tubular casing with opposite ends thereof closed by said glass plates, said aqueous heavy solution having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm wavelength. 8. A window as set forth in claim 7, wherein said aqueous heavy solution further contains thallium malonate. 9. A shielding block, comprising a concrete block forming a substantial portion of said shielding block, a hollow tubular casing airtightly embedded in said concrete block so as to extend across opposite surfaces of said concrete block through a thickness thereof, said concrete block solidly filling up the entire inside space of said shielding block except said hollow tubular casing, two glass plates airtightly secured to opposite end openings of said hollow tubular casing, and an aqueous heavy solution of thallium formate filling a hollow inside space of said tubular casing with opposite ends thereof closed by said glass plates, said aqueous heavy solution having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm wavelength. 10. A shielding block as set forth in claim 9, wherein said aqueous heavy solution further contains thallium malonate.
042750458
description
At the time of dissolution of irradiated fuels in the dissolver 1, the nitrous vapors produced are recombined with a view to recovering the nitric acid which, when recovered, is passed back into the dissolver 1 either continuously or in a batch process. The equilibrium constant [I] gas/[I] liquid and the relative flow rates of gas and of solution are such that the greater part of the iodine passes back into the recombined acid AR. Direct recycling 2 of this acid to the dissolver 1 therefore results in an accumulation of iodine which crystallizes locally within the condensation circuit 3 and absorption circuit 4 for the nitrous vapors, no matter which mode of continuous or periodic recycling is contemplated for the recombined acid. The method according to the invention permits complete removal of the iodine contained in the recovered acid as well as trapping and storage of said iodine in a stable form. In a first embodiment (FIG. 1), the recombined acid AR which leaves the nitrous vapor absorption column 4 is passed by means of a pump 5 either continuously or batchwise from a collecting tank 4a to the top of a desorption column 6 of the packing type (Raschig rings, Berl saddles and the like) or of the plate type in counterflow to a "desorbent" gas which can be air or an inert gas and which enters the column through the pipe 7. The column 6 can be heated by means of a jacket 8. A knowledge of the partition coefficients of the iodine between the recombined acid solution and the gas as a function of the operating temperature makes it possible to adjust the flow rates of gas and of solution so as to obtain a desorption factor which is considerably larger than 1. The residual quantity of iodine in the acid after desorption depends only on the number of theoretical plates of the column and therefore both on the efficiency of the column packing and on the column height. This accordingly makes it possible to obtain an iodine removal efficiency which is higher than 95%. The acid thus treated can then be transferred to the dissolver or to any other point of the installation without any difficulty. The iodine which is entrained in the gas stream can then be trapped in accordance with different variants. VARIANT A The gas circulates within a scrubbing tower 9 in counterflow to an alkaline and reducing solution A (sodium hydroxide+hydrazine or thiosulphate, etc.) which is fed to the tower by means of a pump 11 and the pipe 10. The gas is then evacuated through a pipe 12 to a purifying column 13 before being discharged. The alkaline solution is then either sent to the effluent treatment unit 14 in which it is neutralized (pH 8.5) and in which the addition of a reducing agent stabilizes the iodine in solution in the form of iodide or treated at 15 by addition of a salt which produces an insoluble compound. This is followed by precipitation of the lead iodide PbI.sub.2 which is very sparingly soluble and highly stable since its decomposition temperature exceeds 950.degree. C. The lead iodide is retained on a filter 16. After separation from the mother-liquors by filtration, said precipitate is passed to a solid-waste conditioning station 17 for long-term storage in the form of bituminous coated products. The precipitation mother-liquors 18 are sent to the effluent treatment unit 14. VARIANT B The gas to be freed from iodine is passed in counterflow to a solution B containing a salt which forms an insoluble compound such as lead iodide, for example. The column 9 can be heated in order to prevent formation of the precipitate within this latter, precipitation of the insoluble compound being obtained by cooling the solution outside the column. Separation of the precipitate of the mother liquors and their respective treatment take place as in the previous case. VARIANT C The gas containing the iodine passes within a column 19 over a bed of catalytic supports impregnated with silver nitrate or with silver-exchanged zeolites. This variant permits high trapping efficiency but is undoubtedly the most costly. In short, the advantage of this embodiment of the method lies in the fact that the operation is carried out on a small gas stream. This makes it possible to employ devices of small size and to increse their efficiency by virtue of the higher concentration of iodine in the gases to be treated. VARIANT D The gas containing the iodine passes within a column 19' heated to more than 50.degree. C. in counterflow to a wash liquor 20' containing Pb.sup.++ ions. This liquor 20' which passes successively through the heat exchanger 21' and through the heater 22' receives at 23' a make-up quantity of lead nitrate and hydrazine nitrate prior to introduction into the top of the column 19'. At the bottom of this column, the liquor 20' is partly fed back to the top of the column and partly directed to the heat exchanger 21' and the cooler 24' in which the iodine precipitates in the form of PbI.sub.2. In a second embodiment of the method (FIG. 2), the recombined acid AR containing the iodine is passed into a distillation unit 20 in which it is brought to the boil. The iodine can be removed quantitatively by distilling less than 10% of the volume of acid solution by reason of the high vapor tension of iodine. It has been possible to demonstrate the predominant influence of the nitrous NO.sub.2.sup.- ions on the volatilization of iodine. In point of fact, these ions play the part of reducing agents and stabilize the iodine in the elementary form I.sub.2 and prevent the formation of oxidized species such as the hypoiodites IO.sup.- or iodates IO.sub.3.sup.-. The table hereunder gives a record of the decontamination factors obtained as a function of the volume percentage of distilled acid and of the proportion of nitrous ions, the initial proportion of iodine being 3.times.10.sup.-3 M. TABLE ______________________________________ Volume percentage of initial initial NO.sub.2.sup.- concentration distilled 2.1.times. 10.sup.-6 1.1.times. 10.sup.-2 2.2.times. 10.sup.-2 4.4.times. 10.sup.-2 8.8.times. 10.sup.-2 solution M M M M M ______________________________________ 0 1 1 1 1 1 0.05 28 31 36 39 43 0.1 47.5 102 123 218 354 0.15 50 152 202 365 785 0.20 50 158 251 454 1230 0.25 50 158 252 500 1470 ______________________________________ It can be noted that, in the case of 10% of distilled acid, there remains only 2% of iodine in respect of a proportion of 2.10.sup.-6 M of nitrous acid and less than 0.3% in respect of 8.8.times.10.sup.-2 M of nitrous acid. It should be pointed out that these nitrous ions exist naturally in the recombined acid, their concentration being dependent on the efficiency and conditions of oxidation and absorption of the nitrous vapors. Recovery of iodine from the recombined acid takes place in different ways, a number of variants being given hereunder. VARIANT E The iodine is separated directly from the distillate by crystallization in the cold state at 21 followed by filtration at 22. In fact, the iodine has very low solubility (300 mg/1 at 30.degree. C.). There are thus obtained iodine crystals which can be treated at 23 either in order to be stored in leak-tight containers or to be conditioned for subsequent fabrication of sources of radioactive iodine 129.sub.I. The crystallization mother-liquors 24 are recycled in the distillation unit 20. VARIANT F The iodine crystals collected at the time of filtration at 22 are dissolved in an alkaline solution 25 with or without reducing agent. This solution is neutralized and sent to the effluent treatment unit 26 as in the case of the variant A of the first embodiment of the method. VARIANT G Starting from the alkaline solution in which the iodine has been dissolved, an insoluble compound such as lead iodide PbI.sub.2 is precipitated at 27 as in the first embodiment of the method. The mother liquors are sent to the effluent treatment unit at 28. VARIANT H The water vapor containing iodine is condensed directly at the exit of the distillation unit 20 in a solution containing a reducing agent and a salt which forms with the iodine an insoluble compound (such as PbI.sub.2) in order to precipitate the formed compound at 29 and separate this latter directly by filtration at 30, the precipitation mother-liquors being recycled in the precipitation reactor at 29. In accordance with a third embodiment of the method (FIG. 3), the aqueous solution containing the recombined acid AR loaded with iodine is introduced by means of the pump 5 into an extractor 31 in which the solution is contacted with an organic solvent 32 which is thereby loaded with iodine. The iodine-loaded solvent is then introduced into a stripper 33 in which it is treated with the liquor 20' as in the variant D. The unloaded solvent is then directed to a treatment unit 34 prior to re-use in the extractor 31.
claims
1. A high speed, substantially non-invasive, low-irradiance method for eradicating a plant via signaling in a treatment time under one minute, using indigo region illumination and medium wavelength infrared illumination about said plant, said method comprising any of [A], [B], [C] and [D]:[A] a full IRID twin component exposure, directed for eradicating a plant that is in a vegetative or later phase, comprising:[A1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.125 W/cm2 and 2 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination A1 exposure;[A2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR between 0.045 W/cm2 and 0.72 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination A2 exposure;wherein said exposures A1 and A2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures;[B] a low IRID summed twin component exposure, with compensating MWIR, directed for eradicating a plant that is in a vegetative or later phase, comprising:[B1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.05 W/cm2 and 0.125 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination B1 exposure;[B2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR such that the sum of the Indigo Region Illumination Distribution average irradiance EIRID from step [B1] and said Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR is at least 0.25 W/cm2 and less than 7 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination B2 exposure;wherein said exposures B1 and B2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures;[C] a saturation twin component exposure, directed for eradicating a plant that is in a vegetative or later phase, comprising:[C1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID of at least 0.125 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination C1 exposure;[C2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR such that the sum of the Indigo Region Illumination Distribution average irradiance EIRID from step [C1] and said Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR is at least 0.125 W/cm2 and less than 7 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination C2 exposure;wherein said exposures C1 and C2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures; and[D] a twin component exposure, directed for eradicating a seed or seedling, comprising:[D1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.1 W/cm2 and 1 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination D1 exposure; and[D2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR between 0.035 W/cm2 and 0.35 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination D2 exposure;wherein said exposures D1 and D2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures. 2. The method of claim 1, additionally comprising heating an MWIR emitter (E) to produce at least a portion of said Medium Wavelength Infrared radiation. 3. The method of claim 1, additionally comprising heating an MWIR emitter (E) to a temperature between 400 F and 1000 F to produce at least a portion of said Medium Wavelength Infrared radiation. 4. The method of claim 3, wherein said MWIR emitter comprises a glass selected from borosilicate glass, and soda lime glass. 5. The method of claim 1, wherein said treatment time has a duration of 7 seconds or less in total. 6. The method of claim 1, wherein said treatment time has a duration of 2 seconds or less in total. 7. The method of claim 1, wherein said Indigo Region Illumination Distribution comprises radiation in the range of 400-500 nm wavelength. 8. The method of claim 1, additionally comprising superposing at least a portion of said Indigo Region Illumination Distribution and said Medium Wavelength Infrared radiation to allow them to be so directed at least partly together. 9. The method of claim 1, additionally comprising creating a proximity pass-through configuration by passing a portion of said Indigo Region Illumination Distribution through a MWIR emitter (E) that provides at least some of said Medium Wavelength Infrared radiation. 10. The method of claim 9, wherein said MWIR emitter comprises a glass selected from borosilicate glass, and soda lime glass. 11. The method of claim 8 additionally comprising directing at least a portion of said Indigo Region Illumination Distribution so as to reflect off a surface (S) before emerging to be so directed. 12. The method of claim 9 additionally comprising creating a proximity reflect-through configuration by making at least a portion of said Indigo Region Illumination Distribution reflect off a surface (S) before emerging to be so directed and superposing at least a portion of said Indigo Region Illumination Distribution and said Medium Wavelength Infrared radiation to allow them to be so directed at least partly together. 13. The method of claim 1, additionally comprising heating an MWIR emitter (E) to produce at least a portion of said Medium Wavelength Infrared radiation, where said MWIR emitter comprises a powder coat. 14. The method of claim 13, additionally comprising optically exciting said powder coat via a radiant source (HL) external thereto. 15. The method of claim 13, wherein said powder coat comprises a glass selected from borosilicate glass, and soda lime glass. 16. The method of claim 1, wherein said Indigo Region Illumination Distribution and said Medium Wavelength Infrared radiation are so directed at least partly simultaneously. 17. The method of claim 1, additionally comprising locating said plant using machine recognition, and performing the method of claim 1 on the plant so located. 18. A high speed, substantially non-invasive, low irradiance method to apply stress to a plant via signaling in a treatment time under one minute, using indigo region illumination and medium wavelength infrared illumination about said plant, said method comprising any of [A], [B], [C] and [D]:[A] a full IRID twin component exposure, directed for eradicating a plant that is in a vegetative or later phase, comprising:[A1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.125 W/cm2 and 2 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination A1 exposure;[A2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR between 0.045 W/cm2 and 0.72 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination A2 exposure;wherein said exposures A1 and A2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures;[B] a low IRID summed twin component exposure, with compensating MWIR, directed for eradicating a plant that is in a vegetative or later phase, comprising:[B1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.05 W/cm2 and 0.125 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination B1 exposure;[B2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR such that the sum of the Indigo Region Illumination Distribution average irradiance EIRID from step [B1] and said Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR is at least 0.25 W/cm2 and less than 7 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination B2 exposure;wherein said exposures B1 and B2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures;[C] a saturation twin component exposure, directed for eradicating a plant that is in a vegetative or later phase, comprising:[C1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID of at least 0.125 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination C1 exposure;[C2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR such that the sum of the Indigo Region Illumination Distribution average irradiance EIRID from step [C1] and said Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR is at least 0.125 W/cm2 and less than 7 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination C2 exposure;wherein said exposures C1 and C2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures; and[D] a twin component exposure, directed for eradicating a seed or seedling, comprising[D1] Exposing any of a foliage of said plant and a root crown of said plant to an Indigo Region Illumination Distribution (IRID) of an average irradiance EIRID between 0.1 W/cm2 and 1 W/cm2 during at least a portion of said treatment time, to provide a foliage and root crown illumination D1 exposure; and[D2] Exposing any of a root crown of said plant and a soil grade immediately adjacent said root crown to infrared radiation that is substantially Medium Wavelength Infrared (MWIR) radiation of an average irradiance EMWIR between 0.035 W/cm2 and 0.35 W/cm2 during at least a portion of said treatment time, to provide a root crown and soil grade illumination D2 exposure;wherein said exposures D1 and D2 are for respective times that together allow said signaling, but not sufficient together to cause substantial high temperature thermally-induced leaf and plant component failure during said exposures. 19. The method of claim 18, additionally comprising:based upon a plant response to any of the exposures of [A], [B], [C] and [D], further selecting the plant for one of retention, treatment, eradication or neglect.
048470422
description
Referring now to the figures of the drawing in detail and first particularly to FIG. 1 thereof, there is seen a water pit 2 filled with water to a water level 3. A hollow metal cylinder or vessel 4 with a vertical longitudinal axis is disposed at the bottom of this water pit 2, i.e. below the water level 3. The hollow cylinder 4 has an opening 5 at the top thereof, i.e. it is open at the top and closed at the bottom 6. In this manner, the interior of the hollow cylinder 4 forms a well 7 for introducing an elongated nuclear reactor fuel assembly 8. At the upper end of the cylinder 4, i.e. in vicinity of the opening 5, the hollow cylinder 4 has an ultrasonic oscillator 9 which emits ultrasound into the well 7. At the bottom of the hollow cylinder 4, a suction connection 10 opens into the well 7. This suction connection 10 is brought to a water-jet pump 11 which is likewise under water and is connected through a line 12 to a water pump 20'. The water pump 20' draws water from the water pit 2 through a pipeline 14 which leads into the water pit 2 and the pump continuously pushes the water to the water-jet pump 11 through the line 12. Water from this water-jet pump 11 is charged with the deposits suctioned from the bottom of the well 7 and is conducted through a line 13 and a filter 15 which is located in a shielding chamber 16. The deposits are filtered off in the filter 15, while the water returns through a pipeline 17 and a non-illustrated water pit purification system into the water pit 2. The shielding chamber 16 shields the environment against the radioactive radiation which emanates from the deposits collected in the filter 15. The line 13 is also brought through a shielding tube 18 at the edge of the pit, for the purpose of shielding the environment from the radioactive radiation emanating from the deposits. The water pump 20' and the shielding chamber 16 are mounted in a tray 19 for safety reasons. The shielding chamber 16 is capable of being ultimately conditioned and can be taken to ultimate storage after the filter 15 is completely filled with radioactive deposits. The irradiated nuclear reactor fuel assembly 8 discharged from a nuclear reactor, is vertically suspended by one end from a mast 20 of a loading machine associated with a nuclear reactor. The fuel assembly is vertically introduced into the well 7 and subsequently pulled out again from the well 7 with the mast 20. In the process, the nuclear reactor fuel assembly 8 passes the ultrasonic oscillator 9 which is attached at the opening 5 in the top of the well 7. The ultrasound emanating from this ultrasonic oscillator 9 frees the surface of the nuclear reactor fuel assembly 8 and particularly also frees the outer surface of the cladding tubes of the fuel rods of this nuclear reactor fuel assembly 8, of deposits adhering thereto. Since the opening 5 of the well is in communication with the water space of the pit 2, water is continuously suctioned off at the bottom 6 of the well 7 by the waterjet pump 11 and deposits removed from the fuel assembly 8 are taken along immediately by the suctioned-off water. After the nuclear reactor fuel assembly is pulled out of the well, the nuclear reactor fuel assembly 8 can be deposited under water in the water pit 2 and stored there, by means of the mast 20 of the loading machine. The water pit 22 shown in FIG. 2 is filled with water to a water level 23. A hollow metal cylinder 24 with a vertical longitudinal axis is attached to a side wall of the water pit 22 in the water space of the water pit 22, i.e. below the water level 23. The cylinder 24 is closed at the bottom 26 and open at the top, i.e. an opening 25 is formed therein. This hollow cylinder or vessel 24 therefore forms a vertical shaft 27 communicating through the opening 25 with the water space of the water pit 22, for introducing a nuclear reactor fuel assembly 28 which is suspended at one end thereof from a hook 30 of a loading machine. A suction connection 30 opens into the shaft 27 at the bottom 26 of the hollow cylinder 24. This suction connection 30 is brought to a water-jet pump 31 which is connected by a line 32 to a centrifugal pump 41 mounted at the edge of the pit. A further line 33 connects the water-jet pump 31 to a filter 35 which is located outside the edge of the pit in a shielding chamber 36. For safety reasons, the centrifugal pump 41 as well as the shielding chamber 36 are mounted in a tray 39. A suction pipe 34 leading into the water space of the water pit 2 is connected to the centrifugal pump 41. Besides the water-jet pump 31, spraying nozzles 42 are further connected through hose lines 43 to the centrifugal pump 41. These spraying nozzles 42 are located at the top of the hollow cylinder 24 in vicinity of the opening 25 of the shaft 27, and are aimed into the shaft 27. The centifugal pump 41 draws water through the pipeline 34 from the water pit 22 and pushes it through the line 32 as well as through the water-jet pump 31 and the hose lines 43 into the shaft 27 through the spray nozzles 42. The fuel assembly 28 passes the spray nozzles 42 in the lengthwise direction when it is introduced into the shaft 27 and when it is pulled out of this shaft 27, so that the water aimed toward the fuel assembly 28 from these spraying nozzles 42 rinses off particularly loosely adhering deposits from the surface of the nuclear reactor fuel assembly 28, i.e. particularly from the outer surface of the cladding tubes of the fuel rods. These flushed-off deposits are suctioned off immediately by the water-jet pump 31 and are taken along through the line 33 to the filter 35 by the water passing through the water-jet pump 31. The deposits are filtered out in the filter 35, while the filtered water is conducted through a pipeline 37 into a non-illustrated water pit purification system, and is conducted from there back into the water pit 22. It is advantageous to move the spraying nozzles 42 back and forth. This achieves the result that the water jets issuing from the spraying nozzles 42 enter between the outer fuel rods of the nuclear reactor fuel assembly 28 and become effective at the inner fuel rods. An ultrasonic oscillator shown in FIG. 1 can also be used in the embodiment of FIG. 2 along with the nozzles 42. As in the water pit according to FIG. 1, the shielding chamber 36 of the water pit according to FIG. 2 can also be taken to ultimate storage together with the filter 35, after the filter 35 is completely filled with radioactive deposits. The foregoing is a description corresponding in substance to German application P No. 32 32 539.8, filed Sept. 1, 1982, the Interational priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter.
summary
RE0339555
abstract
An improved method of disposing of radioactive or hazardous liquids comprises placing the liquids in a container and adding a sodium montmorillonite over intervals until the composition is substantially solid in the container.
abstract
A method for removing contaminant particles, such as atoms, molecules, clusters, ions, and the like, produced by a radiation source during generation of short-wave radiation having a wavelength of up to approximately 20 nm, includes guiding a first gas between the radiation source and a particle trap arranged in a wall of a chamber. In order to protect an optical device and/or articles to be irradiated against contamination, the method introducing a second gas into the chamber and its pressure is adjusted such that it is at least as high as the pressure of the first gas.
summary
abstract
The disclosure relates to an optical element, including: a substrate, a first coating, which is disposed on a first side of the substrate and is configured for reflecting radiation having a used wavelength (λEUV) in the EUV wavelength range, and a second coating, which is disposed on a second side of the substrate, for influencing heating radiation that is incident on the second side of the substrate. The disclosure also relates to an optical arrangement having at least one such optical element.
047073241
summary
BACKGROUND OF THE INVENTION This invention relates to a method and apparatus for controlling the response of a pressurized water reactor in a nuclear power plant to rapid fluctuations in load. More particularly, it is directed to such a method and apparatus in which a control system setpoint is varied in response to small rapid changes in plant turbine load in such a manner that the setpoint variations match the expected variations in the controlled parameter. It is also directed to such a method and apparatus in which the width of the deadband in the response of the rod control system to changes in a load signal is varied as a function of the magnitude of rapid fluctuations in the magnitude of the load signal. PRIOR ART Nuclear power plants have typically been operated at constant high power levels due to their low fuel costs and high capital investment. When the total nuclear generating capacity exceeds the utilities minimum load demand, however, it is necessary for some or all of these nuclear units to operate in a "load follow" mode. In other words, they must adjust their power level so that total generation balances total demand. In general, the utility desires to determine which plants will load follow based on the economic consideration of minimizing total generation cost. This function is often performed by an Economic Dispatch Computer which optimizes the distribution of power generation among the various units supplying the grid. Signals are then sent to each plant instructing the control system in each as to how much power the plant should produce. This signal typically consists of a slowly changing component representing normal daily grid load variations plus small, rapid changes representing load changes induced by individual users. Alternately, small rapid changes in plant load may be induced by systems (either remote or local) which act to maintain grid frequency at its reference value as grid load changes. The slow component is accommodated by normal control systems. The fast component can be accommodated by the normal control systems, but the result is excessive wear on the control rod drive mechanisms coupled with increased operating difficulty due to core power distribution fluctuations resulting in reduced availability. This may be due to difficulty in complying with power distribution technical specifications and/or reduced margin to trip resulting in increased probability of a reactor trip. The fast component of load can also cause excessive operation of other systems such as the spray system and heaters used to control pressurizer pressure, the pressurizer water level control and the boron system. A recent design of an Integrated Control System by the assignee of this invention, provided for manual widening of the rod control system deadband which would minimize rod motion by allowing larger temperature variations to occur in the nuclear steam supply system. This avoided the rod wear problem because reactivity changes associated with the power changes were accomplished via feedback of the moderator temperature coefficient. It also avoided the power distribution changes associated with control rod motion. Unfortunately such control was only possible below approximately 85% power since at high power levels the wider deadband would allow the reactor coolant system temperature to exceed the full power (license) value. SUMMARY OF THE INVENTION In the present invention, the setpoint for a control system in a pressurized water reactor is adjusted by an amount corresponding to the expected variation in the value of the controlled parameter resulting from rapid fluctuations in the load imposed on the reactor. In this manner, the control system accommodates to the load change by allowing the value of the parameter to vary with the small rapid load changes rather than taking numerous control actions to maintain the parameter at the programmed setpoint value. This is accomplished by extracting the high frequency component of the load signal and applying to it a transfer function representative of the relationship between the value of the setpoint and the corresponding value of the parameter taking into account system response times to generate an adjustment signal which is added to the setpoint signal. The adjustment signal is limited in magnitude so that the control system responds to large changes in load with normal control action, and if required, the correction is applied to the setpoint signal only if the parameter is below a preset value in order to prevent excursions which might result in a reactor trip. Also in the present invention, the deadband in the rod control system of a pressurized water reactor automatically widens in order to accommodate for the small rapid load fluctuations described above. The amount of widening is a function of the magnitude of the fluctuations of the load signal occurring above a predetermined frequency. In the preferred embodiment of the invention, the width of the deadband is varied as a function of the average squared value (variance) of the magnitude of these flucuations. In a pressurized water reactor, the temperature is usually controlled as a function of power level. Accordingly, the load signal is converted to a temperature reference signal which is used in a feedback control loop to position the control rods to drive the measured reactor temperature toward the value commanded by the temperature reference signal. As another feature of this invention, the temperature reference signal for the rod control system is modified, if required (normally at high power levels only), so that the high-temperature edge of the rod control system deadband does not exceed a maximum value which provides adequate margin to reactor trip and in addition, does not violate the license maximum temperature limit.
063209225
abstract
The extraction tool includes an inner rod pivotally mounting at its distal end a pair of collet sections having mechanical clamping jaws. An outer tube may be advanced and retracted axially relative to the inner rod by a locking tube nut threaded on a sleeve forming part of the inner rod. The inner rod and outer tube are non-rotationally engaged with one another. By axially displacing the outer tube relative to the inner rod, the collet sections are movable between collet-open and closed positions such that the jaws of the collet can respectively grasp and release the barbed end of the end plug of the fuel rod.
claims
1. Method for producing a tropospheric volume element having a lasting enriched concentration of at least one vital element (essential element) andlor at least one protective substance in comparison to its presently existing composition of elements and matter, wherein said at least one vital element and/or said at least one protective substance is chosen from the group consisting of iron compounds and titanium compounds, characterized in that the volume element is produced by a controlled addition of flue gas that contains said at least one vital element and/or said at least one protective substance, whereby said flue gas is produced by combustion of fuel and/or fuel additives. 2. Method according to claim 1, characterized in that the additives contain iron and/or titanium in elementary form and/or in a chemical compound, the chemical compound being oil- or petrol-soluble, and/or in a metal and/or in an alloy, the alloy being an iron-silicon-magnesium alloy, a magnesium-titanium alloy or an iron-cerium-titanium alloy. 3. Method according to claim 1, characterized in that the fuel contains one or more substances selected from the group consisting of hydrogen, natural gas, liquid gas, mineral oil, refined mineral oil products, pyrolysis oil, oils processed from renewable raw materials, diesel oil, kerosene, light oil, heavy oil, silicon oil, gasoline, methanol, metal, and metalloid and containing, in enriched form, at least one vital element and/or at least one substance which on pyrolysis and/or on combustioli results in its conversion into a protective substance. 4. Method for the removal of contaminants or toxic substances from a tropospheric volume element, characterized by adding flue gas containing protective substances chosen from the group consisting of iron compounds and/or titanium compounds, whereby said flue gas is produced by combustion of fuel and/or fuel additives. 5. The method of claim 4, wherein the contaminants or toxic substances are radioactive elements. 6. The method of claim 4, wherein the contaminants or toxic substances are microbes. 7. Method for photolytic purification of waste air or fresh air currents, characterized by adding flue gas containing protective substances chosen from the group consisting of iron compounds andlor titanium compounds, whereby said flue gas is produced by combustion of fuel and/or fuel additives. 8. Method for increasing the growth of phyto-plankton under a tropospheric volume element produced according to claim 1, comprising:enriching a global or regional tropospheric volume element with vital elements and/or protective substances in a controlled manner,whereby the dosage of vital elements and/or protective substances leads to an average distribution, duration of stay and concentration in the tropospheric volume element,whereby the vital elements and/or protective substances are iron compounds and/or titanium compounds, andwhereby the vital elements and/or protective substances are transported by flue gas into the trospospheric volume element. 9. Method for cooling the troposphere, characterized by a controlled addition of flue gas containing protective substances chosen from the group consisting of iron compounds and/or titanium compounds, whereby said flue gas is produced by combustion of fuel andlor fuel additives. 10. Method for reducing the carbon load of the troposphere and for thereby achieving a lasting climate stabilization, characterized by enriching a global or regional tropospheric volume element with vital elements andlor protective substances in a controlled manner, whereby the dosage of vital elements andlor protective substances leads to an average distribution, duration of stay and concentration in the tropospheric volume element,whereby the vital elements and/or protective substances are iron and/or titanium in oxide bonding,whereby the vital elements and/or protective substances are transported by flue gas into the tropospheric volume element. 11. Method for reducing the carbon load of the troposphere according to claim 10, whereby the amount of vital elements and/or protective substances and the position of the respective location of distribution depends on one or more of the following parameters:regional, hemispheric and global contents of methane and dimethyl sulfide measured at different heights in the troposphere and above the tropopause;regional, and hemispheric contents of protective substances and/or vital elements in the air, on/in vegetation, on/in the ground and in waters;average covering of cloud in the tropospheric volume element;carbon dioxide contents measured in the air and sea water, globally, in the hemisphere and in the tropospheric volume element;concentration of phyto-plankton below the tropospheric volume element;oxygen content of sea water beneath the tropospheric volume element at different depths;content of turbid matter in sea water beneath the tropospheric volume element at different depths;sedimentation rates in the sea beneath the tropospheric volume element at different depths; and/orstudying the ecological systems beneath the tropospheric volume element.
description
This invention relates to the field of integrated circuit fabrication. More particularly, this invention relates to analyzing the properties, such as thickness and composition, of a sample, such as layers used to form integrated circuits on a semiconducting substrate. Integrated circuit fabrication is typically accomplished by forming many different layers on a substrate. As used herein, the phrase integrated circuit refers to circuits such as those formed on monolithic substrates of a semiconducting material, such as group IV materials like silicon and germanium, and group III–V compounds such as gallium arsenide. Because the design tolerances of an integrated circuit are so strict, it is desirable to monitor the properties, such as thickness and elemental composition, of the various layers as they are formed. One way to measure the properties of film layers is to use electron microprobe x-ray spectrometry. Electron microprobe x-ray spectrometry uses an electron beam source to excite a sample. X-rays having wavelengths that are characteristic of the elements of the sample are emitted from the sample over a continuous range of takeoff angles, defined as the angle between the x-ray and the sample surface. An x-ray detector assembly is positioned to detect a fraction of the x-rays that are emitted from the sample. The detector assembly can capture x-rays emitted over a finite range of takeoff angles. The detector assembly includes both a spectrometer and an x-ray detector. The spectrometer selects x-rays within a narrow range of wavelengths and directs only those x-rays to the x-ray detector. This is typically accomplished by rotating a diffractor through a range of angles, where at each angular position of the diffractor, the diffractor deflects x-rays with a given wavelength range towards the detector. The rate of impingement of the x-rays within subsets of the desired range of wavelengths is sequentially detected and measured. From this information, properties such as the elemental composition and thickness of the sample can eventually be determined. The x-ray detector assembly collects x-rays over a finite range of takeoff angles and counts them as an aggregated unit. For a given electron beam energy, data collected from each element in the sample consists of a single number, the x-ray counts per second within the characteristic wavelength range for that element. Since there are usually only two or three elements present, the entire data set likewise consists of only two or three numbers. This is just barely a sufficient amount of data to determine the thickness and the composition of the sample, as there are typically many different variables that are confounded within this data. There is no redundancy in the data that can be used to check for inconsistencies or departures from the mathematical model that is used to analyze the data. Additional data can be obtained by changing the electron beam energy and counting the x-rays again, but this is time consuming and requires more complex electron optics and control electronics. Because electron microprobe x-ray spectrometry can provide information in a nondestructive manner, it would appear that x-ray spectrometry would be an excellent tool for use in the integrated circuit fabrication industry. Unfortunately, electron microprobe x-ray spectrometry tends to be too slow for use as an in-process tool because of the slow scanning process that must be performed, as introduced above. Not only must a spectrometer be scanned through the desire range of wavelengths, but each scan position must be held for a given length of time so that a sufficient amount of x-rays can be collected to give a valid reading. Further, if the energy of the sample excitation is changed to produce additional, confirmatory information, then the entire scanning process must be performed again as many times as necessary. This tends to make electron microprobe x-ray spectrometry too time consuming for use as an in-process measurement tool. What is needed, therefore, is an x-ray spectrometer that overcomes some of the problems described above. The above and other needs are met by an apparatus for detecting properties of a sample. An electron beam generator produces an electron beam and directs the electron beam at a desired point on the sample. The sample thereby emits characteristic x-rays over a full hemispheric range of takeoff angles, which is defined herein as being from zero degrees to ninety degrees from the plane of the sample. A diffractor receives and deflects to a detector only those x-rays within a desired range of wavelengths, while converting the takeoff angles of the deflected x-rays to positional differences between the deflected x-rays. A position sensitive detector receives the deflected x-rays and detects the positional differences between the x-rays, and generates signals that are characteristic of the received x-rays. An analyzer receives the signals from the detector and determines the properties of the sample based at least in part on the positional differences between the x-rays. In this manner, the apparatus of the present invention provides a relatively greater amount of information in regard to the sample in a relatively shorter period of time, because more information in regard to the sample is gathered by the apparatus simultaneously. Specifically, the takeoff angles of the x-rays from the sample, which takeoff angles contain additional information about the sample, are preserved in positional differences between the x-rays. Because the detector is able to determine the positional differences between the x-rays, the information contained in the various takeoff angles of the x-rays is preserved and not discarded, as happens in prior art spectrometers. This additional information enables the analyzer to determine the properties of the sample at a generally faster rate than that of prior art spectrometers. In some embodiments, the system includes a collimator, such as a nested set of parabolic surfaces or an array of bent capillary tubes. Preferably, each of the parabolic surfaces has a common focal point, and the focal point coincides with the desired point on the sample where the electron beam is directed, and each of the parabolic surfaces receives x-rays within a given range of takeoff angles. The collimator redirects the cone of x-rays emitted from the desired spot on the sample into a substantially parallel, collimated beam of x-rays. The collimated beam is deflected by a flat diffractor that only deflects x-rays within a desired wavelength range. The flat diffractor is oriented such that the angle between the collimated beam and the diffractor is substantially equal to the Bragg angle for the desired wavelength range. The deflected x-rays leaving the diffractor are still collimated, and directed toward the detector. The takeoff angles of the x-rays are changed when the x-rays are diffracted, but the position of each x-ray in the collimated beam is determined at least in part by its original takeoff angle, and the information is preserved through the deflection process. In some embodiments the diffractor is a crystal, multilayer, or grating diffractor curved into a partially cylindrical shape. The axis of the cylinder lies in a plane that is substantially horizontal, and the x-rays are deflected towards the detector in a primarily vertical plane. The curved diffractor focuses the x-rays toward a focal region that is small in at least one direction, but as the x-rays emerge from the focal region, their positions are spatially separated in a manner that is dependent at least in part on the takeoff angle. A position sensitive detector records the positions of the x-rays, and thereby senses the takeoff angles of the x-rays as well. In yet another embodiment, a single curved diffractor is disposed with a cylinder axis in a substantially vertical plane. The x-rays are deflected primarily in a horizontal plane, and their takeoff angles are substantially preserved within the vertical plane during deflection. At the detector, the x-rays are spatially separated in the vertical direction, based at least in part on their takeoff angles, and the vertical position of impingement on the detector is a measure of their takeoff angle. In this embodiment a position sensitive detector is used to record the position of each x-ray impinging on the detector. In another embodiment, there are two or more curved diffractors, both with cylinder axes in any direction, and both set to deflect x-rays with substantially the same wavelength range, emitted by the same element. The two diffractors are disposed so that one of the diffractors collects x-rays with an average takeoff angle that is relatively low, and the other diffractor collects x-rays with an average takeoff angle that is relatively high. Each diffractor deflects x-rays into its own dedicated detector, so that a measure can be made of the x-ray intensities emitted by the desired element at both high and low takeoff angles. In this embodiment the detectors are not necessarily position sensitive detectors, and each detector measures the x-ray intensity as averaged over a range of takeoff angles that is determined by the collection aperture of its curved diffractor. In a further embodiment the detector assembly includes a curved diffractor and a detector which is not position sensitive. The distance between the diffractor and the sample is selected such that the diffractor is relatively close to the sample and thus receives a relatively large solid angle of x-rays. Alternately, the diffractor can be made relatively larger so as to receive a larger solid angle of x-rays. It is appreciated that a combination of making the diffractor relatively larger or placing the diffractor relatively closer to the sample could also be used to increase the solid angle of x-rays received by the diffractor. Because the diffractor receives a larger solid angle of x-rays, the energy and wavelength resolution generally decreases, and thus a relatively larger range of x-ray wavelengths are deflected off the diffractor. In this embodiment the loss of resolution is intentionally traded off so as to receive a general reduction in the measurement time that comes as a result of the larger solid angle that is collected for analysis. In this embodiment the solid angle is in the range of about ten millisteradians to about fifty millisteradians. In yet another embodiment the detector assembly consists of a collimating assembly, a flat diffractor, and a detector, which may or may not be position sensitive. The diffractor and detector are mounted together on a common rotatable stage, so that the diffractor and detector can rotate as a unit with respect to the collimator. The x-rays from the collimator form a collimated beam with a fixed direction in space. The x-rays reflect from the diffractor into the detector. As the diffractor and detector combination rotates, the wavelength of the x-rays that reflect most efficiently changes, and the spectrum of the emitted x-rays is measured. If the detector is position sensitive, the spectrum of x-rays with different takeoff angles is measured. In any of the embodiments given, a filter can be disposed between the sample and the x-ray detector, where the filter is adapted to substantially permit transmission of the desired x-rays while substantially prohibiting transmission of other energy and particles from the sample to the detector. In some embodiments the filter substantially prohibits transmission of backscattered electrons from the sample to the detector. In other embodiments the filter substantially prohibits transmission of x-rays with wavelengths longer than those in the desired range, thereby enhancing the selectively of the system. In some embodiments both types of filters are used simultaneously. In various embodiments the diffractor is a flat crystal, a curved crystal, a flat multilayer diffractor, a multilayer, curved surface diffractor, a flat grating, or a curved grating. The detector may variously be a two dimensional array of detector elements, a charge coupled device containing a two dimensional array of pixels, a linear array of semiconductor detectors, a position sensitive proportional counter, or a multi wire proportional counter. Preferably, the detector also detects positions of the x-rays, and most preferably the analyzer determines the properties of the sample based at least in part on the positional differences between the x-rays, the positions of the x-rays, and a number of x-rays impinging the detector at a given x-ray position per unit time. The properties detected by the apparatus preferably include elemental composition of the sample and thickness of the sample. According to another aspect of the invention there is described an apparatus for detecting properties of a sample. An electron beam generator produces an electron beam and directs the electron beam at a desired point on the sample. The sample thereby emits characteristic x-rays that are received and parallelized by a collimator. A diffractor receives and deflects the parallelized x-rays, and a position sensitive detector receives the deflected x-rays and generates signals that are characteristic of the received x-rays. The diffractor and the detector are mounted on a common rotatable stage that is rotatable relative to at least one of the electron beam generator, the sample, and the collimator. An analyzer receives the signals from the detector and determines the properties of the sample. According to yet another aspect of the invention there is described an apparatus for detecting properties of a sample. An electron beam generator produces an electron beam and directs the electron beam at a desired point on the sample, which causes the sample to emit characteristic x-rays. A collimator receives and parallelizes the x-rays. A diffractor receives and deflects the parallelized x-rays, and a position sensitive detector receives the deflected x-rays and generates signals that are characteristic of the received x-rays. A filter is disposed between the sample and the detector. The filter substantially permits transmission of the x-rays while substantially prohibiting transmission of other energy and particles from the sample to the detector. An analyzer receives the signals from the detector and determines the properties of the sample. In any of the embodiments that include a collimator, a set of Soller slits can be positioned after the collimator in the collimated beam. The Soller slits reduce unwanted x-rays that are not traveling in the collimated direction from passing on to the detector and contaminating the x-ray signal. The Soller slits thereby improve the resolution of the detector assembly and the quality of the x-ray signal. With reference now to FIG. 1, there is depicted a functional block diagram of an x-ray spectrometer system 10 according to a preferred embodiment of the present invention. The system 10 includes an electron beam source 12 adapted to emit an electron beam 14. The electron beam 14 impinges on a sample 16 at a desired position on the sample 16. Most preferably, the sample is an integrated circuit, such as a monolithic integrated circuit formed on a semiconducting substrate, such as that formed of a group IV material like silicon or germanium or a mixture of such, or a group III–V compound such as gallium arsenide. As the electrons in the electron beam 14 impinge on the sample 16, x-rays 18 are emitted from the sample 16. The x-rays 18 have properties that are characteristic of the properties of the sample 16. For example, the x-rays 18 have properties that are characteristic of the thickness and elemental composition of the sample 16, which may include one or more layers on the sample 16 into which the electron beam 14 can penetrate. Different elements tend to emit x-rays 18 that have different wavelengths when they are bombarded by the electron beam 14. Thus, the wavelength of a given x-ray tends to indicate what element is present in the sample 16. If there is a greater amount of a given element in the sample 16, then there tends to be a generally higher rate of x-rays 18 emitted from the sample 16 with the wavelength that is characteristic of that element. By increasing and decreasing the energy of the electron beam 14 so that it penetrates the sample 16 to a greater or a lesser depth, a profile of the sample 16 can be produced. Thus, this information can be used to determine layer thickness and layer composition of the sample 16. Not only are the wavelengths of the x-rays 18 characteristic of the properties of the sample 16, but the relative numbers of x-rays 18 emitted from the sample 16 at various take off angles is also characteristic of the properties of the sample 16. This is described in more detail at a later point in this discussion. The energy of the electron beam 14 is preferably controlled so as to be substantially non destructive to the sample 16, and thus the apparatus 10 can be used during fabrication of integrated circuits without damaging the integrated circuits. The sample can also be positioned so that the electron beam falls in the region between two integrated circuits. This region is known as the scribe line and is a narrow region, usually no wider than about one hundred microns, that is cut away during the last stage of integrated circuit manufacturing when the substrate is cut into individual circuits. Measurement in the scribe line also helps to prevent damage to the integrated circuits. As mentioned above, prior art x-ray spectrometers tend to lose the takeoff angle information of the x-rays that are collected within a solid angle. However, the number of x-rays 18 within a given takeoff angle tends to include information in regard to the properties of the sample 16, and thus it is preferred that this information not be lost. Specifically, x-rays 18 that are emitted from a buried layer within the sample 16 travel through overlying layers of material to escape the sample 16. The x-rays emitted from a given element within the buried layer have a common characteristic wavelength. As those x-rays escape through the overlying layers of the sample 16, some tend to get absorbed by the overlying layers. The number of x-rays 18 that get absorbed as they escape the sample 16 is a function of several parameters, including the composition of the overlying material, the thickness of the overlying material, and the take off angle at which the x-rays 18 escape the sample 16. For example, if it is detected that x-rays 18 of a given wavelength have very little difference in intensity, or number of x-rays per unit time, from one take off angle to another, then that is an indication that those x-rays 18 were emitted from a material that is relatively nearer the surface of the sample 16. On the other hand, if it is detected that x-rays 18 of a given wavelength have a more significant difference in intensity from one take off angle to another, then that is an indication that those x-rays 18 were emitted from a material that is relatively deeper within the sample 16. Thus, by preserving the information in regard to the take off angle of the x-rays 18, more information in regard to the sample 16 can be collected. Some of the preferred embodiments of the present invention preserve the information in regard to the take off angles of the x-rays 18. This is most preferably accomplished using at least one of two different methods. The first method is to use a collimator 22 as depicted in FIG. 1, and the second method is to use a curved diffractor 26 as depicted in other figures. Each of these two methods additionally relies on a position sensitive detector, and are described in more detail below. The emitted x-rays 18 in the first embodiment pass through a collimator 22 which produces parallelized x-rays 18. The collimator 22 preferably parallelizes the x-rays 18 in a manner such that the information about the takeoff angles of the x-rays 18 is preserved. However, the takeoff angle itself of each x-ray 18 is definitely lost as it passes through the collimator 22, because the collimator 22 preferably places all of the x-rays 18 in a spaced relationship along a common angular path, as depicted in FIG. 1. In the present invention, the collimator 22 preferably encodes the takeoff angle of each x-ray 18 by providing each x-ray 18 with a positional component that is dependent at least in part upon the takeoff angle of the x-ray 18 as it enters the collimator 22. Thus, x-rays 18 with different takeoff angles preferably have different positional characteristics after passing through the collimator 22, where the positional characteristics of the x-rays 18 are at least partially dependent on the takeoff angle of the x-rays 18 as they entered the collimator 22. As depicted in FIG. 1, the emitted x-rays 18 emit from the sample 16 at different angles, and are caught by the collimator 22. The collimator 22 produces x-rays 18 that are parallelized, but which have positional differences that are dependent at least in part on the difference in the takeoff angle with which they entered the collimator 22. The collimator 22 preferably achieves this encoding of the takeoff angles with the use of one or more parabolic surfaces 38 and 40, which parabolic surfaces 38 and 40 are preferably spaced and nested one inside another. As can be seen, those x-rays 18 which enter the collimator 22 at a takeoff angle that is relatively more parallel to the plane of the sample 16 tend to be positioned relatively lower in the parallelized column of x-rays 18 that exits the collimator 22. Similarly, those x-rays 18 which enter the collimator 22 at a takeoff angle that is relatively more perpendicular to the plan of the sample 16 tend to be positioned relatively higher in the parallelized column of x-rays 18 that exits the collimator 22. Thus, the collimator 22 preferably encodes the takeoff angles of the x-rays 18 as positional differences between the x-rays 18. One such collimator 22 is described, for example, in U.S. Pat. No. 5,682,415, the disclosure of which in regard to the parabolic surfaces and collimation of the x-rays therewith is incorporated by reference as if fully set forth herein. It is appreciated that the number of parabolic surfaces 38 and 40 as depicted in FIG. 1 is representational only, and that in actual use the collimator 22 may have only one parabolic surface 38 or may have a large number of parabolic surfaces 38. Thus, the present invention is not to be limited to the exact number of parabolic surfaces 38 and 40 as depicted in FIG. 1. Soller slits 42 may also be used to further parallelize the x-rays 18 in various embodiments. Another embodiment of the invention is depicted in FIG. 2. The electron beam 14 (not depicted) creates x-rays 18 that emit from the sample 16. A fraction of the x-rays 18 are intercepted by a curved diffractor 26. The diffractor 26 is preferably curved in a cylindrical shape, with the cylinder axis disposed primarily in what is designated as a horizontal plane in the embodiment as depicted in FIG. 2. X-rays 18 within a narrow range of wavelengths are deflected from the diffractor 26 and directed into a focal region that is small in at least one dimension. An aperture 44 is used to block unwanted x-rays that are not focused at the focal region from passing through to the detector 30. The aperture 44 is preferably a thin plate of x-ray absorbing material with a hole disposed at the small focal region. The x-ray absorbing material is preferably one or more of a variety of different materials, including stainless steel, tungsten, tantalum, or any other convenient x-ray absorbing material known in the art. The detector 30 of this embodiment is preferably a position sensitive detector 30 which records the position of each x-ray 18 that impinges upon it. The position at which an x-ray 18 impinges upon the detector 30 is determined at least in part by the takeoff angle of the x-ray 18 from the sample 16, and therefore the takeoff angle is also recorded. The information in regard to the distribution of takeoff angles is preferably used to determine the structure and composition of the sample 16 as described above. Alternately, a detector 30 that does not have position sensitivity is used instead of a position sensitive detector 30. The takeoff angle information is lost in such an embodiment, but an advantage is gained by designing the diffractor 26 to accept a relatively large solid angle of x-rays 18. The size of the solid angle or conical section of x-rays 18 that is captured for analysis by the system 10 tends to impact various operating characteristics of the system 10. It is desirable to collect a relatively large solid angle so as to attain a higher signal to noise ratio within a shorter length of time. However, when a wider solid angle is captured, or in other words when a greater range of takeoff angles is captured for analysis, the wavelength resolution, and hence the energy resolution of the system is generally reduced. A wider range of wavelengths is deflected into the focal region and passes through the aperture. Thus, the resolution of the system 10 tends to be generally reduced when a wider solid angle of x-rays 18 is captured. Conversely, the resolution of the system 10 tends to be generally enhanced when a narrower solid angle of x-rays 18 is captured. In the application of the electron microprobe technique to measurements on semiconductors, the speed at which the measurements can be taken is generally more important than the resolution of the measurement, and thus some amount of wavelength resolution can be sacrificed without seriously degrading the measurement process. When a wider solid angle is captured, more information per unit time is collected by the system 10, and thus the system 10 is able to take readings at a faster rate, which increases the throughput of the system 10. However, this increase in reading speed comes at the cost of a decrease in resolution. When a narrower solid angle is captured, less information per unit time is collected by the system 10, and thus the system 10 takes readings at a slower rate, which decreases the throughput of the system 10. However, this decrease in reading speed is offset with an increase in resolution. Prior art systems tend to be designed for relatively higher resolution, even though this means they run relatively slowly. The preferred embodiments of the present system, by contrast, are designed for relatively lower resolution so that they will run faster and thus be useful as in-process inspection tools. Thus, in the preferred embodiments of the system 10 according to the present invention, a solid angle of from about ten millisteradians to about fifty millisteradians is captured for analysis, which is much greater than prior art systems. In the present system 10, the size of the solid angle captured for analysis is preferably determined either by the proximity of the diffractor 26 to the sample or the size of diffractor 26, or a combination of the two. The x-rays 18 are preferably received and deflected by a diffractor 26. The diffractor 26 may have either a flat surface or a curved surface, and the surface of the diffractor 26 may be curved in one axis or two axes. In one embodiment, the diffractor 26 includes a plurality of diffractive layers, or in other words is a multilayer diffractor. The diffractor 26 may be made of alternating layers of tungsten and carbon, or more preferably of crystalline materials such as lithium fluoride. The deflected x-rays 18 tend to diffract off of the diffractor 26 at angles which are dependent upon the incident angle of the x-rays 18. The diffraction angle of the diffractor 26 relative to the x-rays 18 tends to preferentially select different wavelengths of the x-rays 18 for analysis, as previously described. When a collimator 22 is used, the diffractor 26 preferably has a flat surface as depicted in FIG. 1. Although the angle of x-ray 18 diffraction off of a flat diffractor 26 tends to change the positional differences between parallelized x-rays 18, the positional differences tend to be uniformly changed, or otherwise changed in a manner where the takeoff angle encoded in the positional differences can be preserved. Another embodiment of the invention is depicted in FIG. 3. X-rays 18b having a substantially low takeoff angle are intercepted by a curved diffractor 26b and directed into a focal region. An aperture 44b at the focal region allows the desired x-rays 18b to pass to the detector 30b. Detector 30b is preferably not position sensitive in this embodiment. A second set of x-rays 18a that have a higher average takeoff angle than x-rays 18b are intercepted by a curved diffractor 26a, and deflected into a second focal region. Aperture 44a allows the desired x-rays 18a from diffractor 26a to pass to the second detector 30a. Detector 30a is preferably also not a position sensitive detector in this embodiment. In this way, x-ray intensity information is recorded at two average takeoff angles, and is used to aid in determining the structure of sample 16. This embodiment has the advantage over other embodiments in that the difference in takeoff angle between x-ray sets 18a and 18b can be made larger than the takeoff angle range that can be measured in the other embodiments. This embodiment also eliminates the expense and complexity of a position sensitive detector. Yet another embodiment of the invention is depicted in FIG. 4. X-rays 18 emitted by the sample 16 are deflected by a diffractor 26. The diffractor is curved in a cylindrical shape with the cylinder axis 46 lying in a substantially vertical plane, generally relative to the path of the x-rays 18. The x-rays 18 are deflected into a focal region that is small in at least one dimension and an aperture 44 allows only the focused x-rays to pass on to the position sensitive detector 30. The x-rays 18 are deflected out of the plane of the paper on which FIG. 4 is drawn, and so the deflection is not seen in FIG. 4. Similarly, the focal region and the aperture 44 also lie in a substantially vertical plane, and so they too are not easily seen in the figure. The takeoff angle information is preserved in the positions at which the x-rays impinge upon the detector. A further embodiment is depicted in FIG. 5. The electron beam source 12 produces an electron beam 14 which creates x-rays from the sample 16. The x-rays 18 emitted from the sample 16 preferably pass through a shield 20 that is designed to substantially pass the emitted x-rays 18 and to substantially block anything else, such as energy or particles. Most preferably, the shield 20 substantially blocks backscattered electrons from the electron beam 14 and low energy x-rays. By shielding the passage of other things besides the desired x-rays 18, the system 10 tends to reduce false readings and other problems. The shield 20 is preferably a piece of physical material and not merely an electrical field, such as an E field or a B field, that uses electromotive forces to prohibit electrons from passing, and which would tend to allow a greater number of electrons or other energy or particles to pass than a physical shield. The shield 20 is preferably constructed of a thin layer of material of sufficient thickness to prohibit undesired energy and particles from passing, while simultaneously allowing a significant portion of the x-rays 18 to pass. A particularly preferred material for the shield 20 is beryllium. However, other materials, such as polyimide, lithium, aluminum, and the like may also be used for the shield 20. For example, a beryllium shield with a thickness of about fifty microns is sufficient to screen substantially all backscattered electrons with an energy of about twenty thousand electron volts, such as may be produced by bombarding a copper sample 16 with a twenty thousand electron volt electron beam. The characteristic energy of the copper x-rays emitted in such a configuration is only about eight thousand electron volts. However, the beryllium shield, which stops essentially all of the back scattered electrons from passing, will allow to pass about ninety-nine percent of the characteristic x-rays emitted from the copper sample 16. The x-rays 18 are collected by a collimator 22 and formed into a collimated beam. The collimated x-rays 18 are then deflected by a flat diffractor 26 onto a detector 30. The diffractor 26 is preferably mounted on a rotatable stage 32, such that the diffractor 26 can be rotated relative to the x-rays 18 that are entering the diffractor 26. By changing the angle of the diffractor 26 relative to the x-rays 18, the diffraction properties of the diffractor 26 cause x-rays 18 having different wavelengths to be deflected differently, as previously mentioned. Thus, by changing the angle of the diffractor 26 relative to the x-rays 18, the system 10 can more specifically select a given wavelength range of interest for analysis. The rotatable stage 32 is described in more detail hereafter. The diffracted x-rays 18 are preferably received with a detector 30, which is most preferably a position sensitive detector, which detects where on the detector 30 each impinging x-ray 18 lands. By using a detector 30 that can determine the positions at which the x-rays 18 are received, the takeoff angles of the x-rays 18 can be determined. This position sensitive information can be alternately gathered in either one dimension or in two dimensions by the detector 30. Thus, the detector 30 is able to relay information in regard to the takeoff angle of each x-ray 18 that is received, by providing the positional information of the received x-ray 18 on the detector 30. As introduced above, this additional takeoff angle information enables the system 10 to make faster readings and also provides confirmatory data for the readings that are taken. The position sensitive detector 30 is most preferably an array of charge coupled devices. In alternate embodiments the detector 30 is a linear array of semiconductor devices, a position sensitive proportional counter, or a multi-wire proportional counter. In one embodiment, the position sensitive detector is a two dimensional array of pixels oriented horizontally in rows and vertically in columns. In such embodiments, the takeoff angle is determined by the pixel row and column in which the x-ray 18 is detected. The detector 30 may also be an array of energy dispersive x-ray detectors that provides simultaneous angular and energy resolved detection of the diffracted x-rays 18. In one embodiment, the collection surface of the position sensitive detector 30 is subdivided or binned in some manner, such that the x-rays 18 which impinge within a given binned area of the detector 30 are aggregated together in the signal 34 that is delivered to the analyzer 36. For example, the surface of the detector 30 could be either physically or logically divided into a number of bins of from about five bins to about twenty bins. As suggested above, this division can either be physical in the detector 30, or logical in either or both of the detector 30 and the analyzer 36. In this manner, x-rays 18 which have slightly different takeoff angles can be grouped together by either the detector 30 or the analyzer 36 as being indicative of similar properties of the sample 16. Thus, computational time within the analyzer 36 can be reduced, because each tiny positional difference is not analyzed as an individual occurrence. However, in other embodiments, each x-ray 18 that impinges on the detector 30 is analyzed separately. The information determined by the detector 30 is preferably provided as signals 34 to an analyzer 36. The analyzer 36 uses a host of information to determine the properties of the sample 16, some of which information is well known in the art. However, some information used by the analyzer 36 is novel to the present invention. The analyzer 36 preferably uses information such as, or which is dependent on, the energy level of the electron beam 14, the breadth of the solid angle of emitted x-rays 18 collected by the diffractor 26, the diffraction angle of the diffractor 26, the positional differences in the x-rays 18, such as may be provided by the collimator 22 and the impingement positions of the x-rays 18 on the detector 30, and the rate of impingement of the x-rays 18 on the detector 30 over a given length of time. In one embodiment, the takeoff angles of the x-rays 18 as emitted from the sample 16 are binned into solid angles θ1, θ2, . . . θn, where n ranges from about five to about twenty. In this embodiment, data for each property of the sample 16 being measured consists of an array of values, one value for each angular bin, where each bin has an associated intensity value. The system 10 is preferably configured for selectively measuring the spectra around a desired elemental peak position, such as for light elements like nitrogen, oxygen or boron. In a conventional system with these capabilities, the detector is mounted on a separate rotational stage from the diffractor, so that the detector and the diffractor each rotate at different rates. In a typical prior art system, the detector rotates at twice the angular rotation rate of the diffractor, and intercepts the deflected x-rays at the same point on the detector face throughout a desired rotational range of the diffractor. Because prior art detectors are not position sensitive, this characteristic of having the x-rays arrive at the detector at the same position on the detector is very important. However, it is fairly difficult to maintain the relative alignment between the diffractor and the detector in such a system. Such a system typically requires either two separate drive mechanisms or a cam and follower system. However, when using the system 10 according to the present invention, the diffractor 26 and the detector 30 are preferably mounted together on a common rotational stage 32, as depicted in FIG. 5. Thus, when either the diffractor 26 or the detector 30 are rotated by the stage 32 relative to any of the other elements of the system 10, and most notably the x-rays 18, the other one of the two is rotated also. The stage 32 is constructed such that the deflection angle of the diffracted x-rays 18 changes at twice the rate of change of the angle of the diffractor 26. Thus, the detector 30 is preferably configured with a sufficiently large receiving surface to receive the x-rays 18 as the change in scanning angle sweeps the x-rays 18 across the surface of the detector 30. As the detector 30 is already preferably an array of sufficient size to detect positional differences between the x-rays 18, this is not an additional burden on the construction of the system 10, and the total scan range of the system 10 is preferably limited only by the size of the receiving face or sensor array portion of the detector 30. The rotational stage 32 preferably has an angular range and resolution sufficient to satisfy the energy range and energy scan resolution required for analyzing nitrogen, such as in a tantalum nitride layer as used in a copper interconnect of an integrated circuit. The total angular rotation of the rotational stage 32 is preferably determined by the low energy diffraction angle minus the high energy diffraction angle for the materials being analyzed. When the material is nitrogen, for example, the total rotation of the rotational stage 32 is about 26.9 degrees minus about 20.5 degrees, yielding a desired rotation of about 6.4 degrees. The desired angular resolution, assuming a needed energy resolution of about one half of an electron volt, is preferably the difference in the diffraction angle of the high energy limit minus the one half of an electron volt, and the diffraction angle of the high energy limit. The high energy limit is preferably used, because the angular rate of change tends to be much smaller at the higher energy end of the scan range. Using nitrogen as an example, the desired resolution is about 20.531 degrees minus about 20.507 degrees, which yields about 0.024 degrees. The rotational stage 32 is preferably automated and not limited as to the type of actuator that drives the stage 32, provided that the actuation device is preferably compatible with the rest of the system 10 and the analysis being performed. The rotational stage 32 preferably includes limit devices that indicate low and high angles of travel of the rotational stage 32, and also preferably indicate a home position for the rotational stage 32. The limit devices preferably prevent the stage 32 from rotating too far and damaging one or more elements of the system 10. In the embodiments which include the rotational stage 32, substantially all of the mechanical elements of the analyzer 10 are aligned with respect to the rotational stage 32, and the rotational stage 32 is preferably disposed inside of a vacuum chamber. The detector 30 preferably includes a rectangular receiving surface that is tall enough to collect the output of the deflected x-rays 18 and wide enough to collect an entire scan range of the diffractor 26. The preferred minimum height of the detector 30 is the diameter of the x-rays 18 plus a safety margin, preferably of about ten percent. For a typical system 10, the height of the detector 30 is preferably about twenty-two millimeters. The width of the detector 30 is preferably determined by considering the scan range of the diffractor 26, and how it effects the travel of the x-rays 18 across the surface of the detector 30. For example, if the detector 30 is fixed at the nominal diffraction angle θ relative to the detector 30, the deviation of the x-rays 18 at the limit of the scan θB is defined as the absolute value of the difference in angle for the range |θ–θB|. The linear displacement of an outer edge of the diffracted beam 18 is given by L tan(|θ–θB|)+d/2, where L is the distance from the diffractor 26 to the detector 30 and d is the diameter of the x-ray bundle 18. The total required detector 30 width W, is preferably given by W=L (tan |θ−θHE|+tan |θ−θLE|)+d, where θLE is the low energy diffraction angle and θHE is the high energy diffraction angle. To continue the nitrogen example given above, the nominal diffraction angle θ is 23.26 degrees, the high energy diffraction angle θHE is 20.51 degrees, and the low energy diffraction angle θLE is 26.91 degrees. For L equal to fifty millimeters and d equal to twenty millimeters, the width W is about 25.6 millimeters. In the case where the detector 30 width W is fixed at some size, say for example 30.2 millimeters, it is useful to determine the maximum distance L between the diffractor 26 and the detector 30. Solving the foregoing equations backwards yields an L that is equal to about 91.2 millimeters. Since the diffractor 26 and detector 30 are preferably mounted on a common rotation stage 32, the use of separate drive mechanisms for the diffractor 26 and detector 30 is avoided. Hence, the system 10 is substantially more stable than prior art systems, in that it is easier to maintain the diffracted x-rays 18 in an aligned relationship with the detector 30. Using a single rotational stage 32 reduces the cost of the system 10, and at the same time increases the stability of the system 10. Also, because the distance L of the detector 30 from the diffractor 26 is preferably not critical, as described above, there is no requirement to adjust the distance L. If the detector 30 is of sufficient height, then there are no related, special requirements on the position of the detector 30 in the longitudinal direction. Without limiting the invention, the following example of the method of use of an x-ray system 10 according to the present invention is provided below. A sample 16 is loaded into the system 10, where the sample 16 includes a one thousand angstrom thick copper layer overlying a one hundred angstrom thick tantalum nitride layer disposed on a silicon substrate. Accordingly, there are three variables of interest, which are the thickness of the tantalum nitride layer, the nitrogen concentration of the tantalum nitride layer, and the thickness of the copper layer. A conventional system without the angle resolved detection of the present system 10 generates only three data values, which are the copper x-ray counts, the tantalum x-ray counts, and the nitrogen x-ray counts. With so little data, it is generally quite difficult to distinguish variations in the nitrogen concentration of the tantalum nitride layer from thickness variations in the copper layer, because the copper layer tends to absorb the nitrogen x-rays as they are emitted from the tantalum nitride layer. Thus, the data tends to be confounded between at least two possible causes of variation. Using the angle resolved detection system 10 of the present invention, the nitrogen x-ray absorption produces an angle dependent intensity. Nitrogen x-rays with higher takeoff angles, or in other words with takeoff angles that are closer to perpendicular to the sample 16 surface, will tend to be more intense because the nitrogen x-rays have traveled through less of the copper layer thickness as they escaped the sample 16. This angular variation in x-ray intensity provides additional information in regard to both the thickness of the copper layer and the concentration of the nitrogen in the tantalum nitride layer. The parabolic collimator 22 is preferably adapted to work best for low energy x-rays like nitrogen, and a 12 degree range of collected takeoff angles is enough to detect a significant variation in x-ray intensity with takeoff angle. The foregoing description of preferred embodiments for this invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Obvious modifications or variations are possible in light of the above teachings. The embodiments are chosen and described in an effort to provide the best illustrations of the principles of the invention and its practical application, and to thereby enable one of ordinary skill in the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. All such modifications and variations are within the scope of the invention as determined by the appended claims when interpreted in accordance with the breadth to which they are fairly, legally, and equitably entitled.
description
Embodiments of an in-core fixed nuclear instrumentation system and a power distribution monitoring system according to the present invention will be described hereinafter with reference to the accompanying drawings. FIG. 1 is a block diagram showing a schematically structure of a reactor power distribution monitoring system of a boiling water type reactor (BWR) according to a first embodiment of the present invention. In the reactor power distribution monitoring system shown in FIG. 1, the same component or configuration as the power distribution monitoring system of the BWR shown in FIG. 23 to FIG. 25 will be described with the use of like reference numerals. As shown in FIG. 1, a reactor power distribution monitoring system 29 of a boiling water type reactor includes an in-core fixed nuclear instrumentation system 30 having detectors and signal processing units, and a process control computer 31 for monitoring an operating mode of a reactor and a core performance. The process control computer 31, as shown in FIG. 1, comprises, for example, a CPU 60, a memory unit 61, an input console 62 and a display unit 63. The CPU 60 is electrically connected to the memory unit 61, input console 62 and the display unit 63 so as to communicate to each other. The process control computer 31 has a function for simulating a core power distribution of the BWR, and a function for monitoring a core performance of the BWR according to the simulated core power distribution. On the other hand, in the BWR, a reactor pressure vessel 2 is housed in a primary containment vessel 1, and a reactor core 3 is housed in the reactor pressure vessel 2. The core 3 is cooled by a coolant used as a moderator. In the reactor core 3, a large number of fuel assemblies 4 are mounted as shown in FIG. 2 and FIG. 3. In large number of fuel assemblies 4, four fuel assemblies is constructed as one group, a control rod 5 having a cross-like shape in its lateral cross section is mounted between each of the four fuel assemblies constructed as one group so as to be taken in and out from below of each of the fuel assembly group. The reactor core 3 is constructed by mounting large number of groups of four fuel assemblies, and is provided with a plurality of, for example, 52 in-core nuclear instrumentation assemblies 32 which function as a detect unit of the reactor nuclear instrumentation system. Each in-core nuclear instrumentation assembly 32 is arranged at a position different from the place where the control rod 5 is located, and is located at a corner water gap G formed between four fuel assemblies 4 as shown in FIG. 2 and FIG. 3. More specifically, the in-core nuclear instrumentation assembly 32 includes a thin and long tube-like nuclear instrumentation tube 33, a neutron detector assembly (LPRM detector assembly) 34 functioning as a fixed neutron detection means (LPRM) and a xcex3-ray heating detector assembly (GT assembly) 35 functioning as a fixed xcex3-ray detecting means (gamma-ray thermometer), which are housed in the nuclear instrumentation tube 33, respectively. The LPRM detector assembly 34 is constructed in a manner that a plurality of LPRM detectors 37 functioning as the fixed neutron detectors are discretely arranged in a core axial direction at equal intervals in the nuclear instrumentation tube 33. In the boiling water type reactor, in general, four LPRM detectors 37 are discretely arranged on a fuel effective portion of the core 3 in the core axial direction at equal intervals. Further, each LPRM detector 37 is adapted to detect neutron flux so as to generate a neutron flux signal (LPRM signal) according to the measured neutron flux. Moreover, each LPRM detector 37 is electrically connected to an LPRM signal processing unit 40 by means of a signal cable 38 through a penetration portion 39, and thus, a power range neutron flux measuring system 41 is constructed. The LPRM signal processing unit 40 include a computer having a CPU, a memory unit and so on, is operative to perform, for example, A/D conversion operation and gain processing operation of each LPRM signal S2 transmitted from each LPRM detector 37 so as to obtain digital LPRM signals (LPRM data) D2, and then, transmits them to the process control computer 31. On the other hand, the GT assembly 35 is constructed in a manner that a plurality of fixed xcex3-ray heating detectors 44 are discretely arranged in a core axial direction, and a gamma-ray heating value is measured by means of each xcex3-ray heating detector. The same number or more as LPRM detectors 37 arranged in the core axial direction, for example, eight (8) xcex3-ray heating detectors 44 are arranged in the core axial direction, and a assembly of the xcex3-ray heating detectors is constructed as the gamma-ray thermometer assembly (GT assembly) 35. Each xcex3-ray heating detector 44 of the GT assembly 35 is electrically connected to a gamma-ray thermometer signal processing unit 48 by means of a signal cable 45 through a penetration portion 49, and thus, a gamma-ray thermometer power distribution measuring system 50 is constructed. The gamma-ray thermometer signal processing unit 48 (hereinafter, also described as GT signal processing unit 48) is, as shown in FIG. 4, a computer which includes a CPU 48A, a memory unit 48B, an operation panel 48C and a display panel 48D or the like. The CPU 48A is electrically connected to the memory unit 48B, the operation panel 48C and the display panel 48D so as to communicate to each other. The GT signal processing unit 48 is operative to, according to the GT signals S1 outputted from each gamma-ray heating detector 44 of each GT assembly 35 and each sensitivity S0 of each gamma-ray heating detector 44, obtain digital xcex3-ray heating measurement signals (GT signals D1; or described as GT data D1, hereinafter) each representing a xcex3-ray heating value (W/g) per unit weight. The GT signal processing unit 48 is also operative to transmit the obtained GT data D1 to the process control computer 31. More specifically, the fixed in-core nuclear instrumentation system 30 includes the aforesaid power range neutron measuring system 41 and the gamma-ray thermometer power distribution measuring system 50. The in-core nuclear instrumentation assembly 32 (the LPRM detector assembly 34 and the GT assembly 35) including a group of detectors 37 and 44 of the fixed in-core nuclear instrumentation system 30, is adapted to measure neutron flux and a xcex3-ray heating value in the core 3 as core nuclear instrumentation data (GT data D1 and LPRM data D2) by means of transmission operation of the detected signals of the detectors 37 and 44 and the signal processing of signal processing units 40 and 48 at predetermined fixed measurement points in the core 3. Moreover, each of the GT assembly 35 has a built-in heater wire. The fixed in-core nuclear instrumentation system 30 has a gamma-ray thermometer heater control unit 53 (hereinafter, also described as GT heater control unit) 53 which is electrically connected to each built-in heater (described later) so as to supply a power source to each built-in heater and is operative to control the power supply to each built-in heater. The GT heater control unit 53 is a power supply unit which includes a power supply circuit, a current measurement circuit, a voltage measurement circuit, a voltage control circuit (microcomputer) and an electrically energizing changeover circuit and is operative to apply a voltage to the built-in heater of the GT assembly 35 which is selected via a power cable 54 so that the heater of the selected GT assembly 35 is heated. In the in-core fixed nuclear instrumentation system 30, since no traversing neutron detector and traversing xcex3-ray detector is required, it is possible to omit a mechanical driving and operating mechanism included in the conventional reactor nuclear instrumentation system. Therefore, it is possible to simplify a structure of the in-core fixed nuclear instrumentation system 30. In addition, in the nuclear instrumentation system 30, no movable parts are required; therefore, it is possible to achieve a maintenance free, and to avoid or greatly reduce a radiation exposure work of workers. Moreover, in the reactor pressure vessel 2 or a primary system piping (not shown), a core state data measuring device 55 is provided. The core state data measuring device 55 measures core state data S3 (process quantity) including a control rod pattern, a core coolant flow rate, an internal pressure of the reactor pressure vessel, flow of feed water, a temperature of feed water (a core inlet coolant temperature) and so on, which are used as various operating parameters indicative of a reactor operating mode (state) of the reactor. The core state data measuring device 55 is shown as one measuring device in the primary containment vessel 1, simplified in FIG. 1; however, in fact, the core state data (process data) measuring device 55 is a core state data measuring means which is composed of a plurality of measuring devices for measuring or monitoring a plurality of core state data (process data), located inside and outside of the primary containment vessel 1. Further, one part of the core state data measuring devices 55, which is inside of the vessel 1, is connected to a core state data processing unit 58 via a signal cable 57 penetrating through a penetration portion 56, and other part thereof, which is outside of the vessel 1, is connected via the signal cable 57 to the core state data processing unit 58, so that a process data measuring system 59 is constructed. The core state data processing unit 58 receives the core state process data S3 (analog signals or digital signals) measured by the core state data measuring device 55, and then, carries out data processing on the basis of the received core state process data S3 to simulate (calculate) a reactor thermal output, a core inlet coolant temperature and so on. Further, the core state data processing unit 58 converts the core state process data S3 including the calculated reactor thermal output and so on into digital core state data D3, and then, transmits the digital data D3 to the process control computer 31. The core state data processing unit 58 of the process data measuring system 59 is not constructed as a dedicated independent unit, but may be constructed as a part of processing functions (modules) of the process control computer 31. In other words, the process data measuring system 59 may be constructed as a part of processing functions including the in-core power distribution simulating function (module) of the process control computer 31. Furthermore, the process data measuring system 59 may be constructed as a part of the reactor in-core nuclear instrumentation system 30 from the concept of detector and signal processing system. In addition, the core state data processing unit 58 of the process data measuring system 59, the LPRM signal processing unit 40 of the power range neutron flux measuring system 41, the gamma-ray thermometer heater control unit 53 of the gamma-ray thermometer heater control system and the GT signal processing unit 48 of the gamma-ray thermometer power distribution measuring system 50, are individually electrically connected to the process control computer 31. A group of data processed by processing units 40, 48 and 58, that is, the core nuclear instrumentation data (GT data D1 and LPRM data D2) and the core state data D3, are transmitted to the process control computer 31 so as to be inputted therein by an interface process of the process control computer 31. The CPU 60 of the control process computer 31 has a nuclear instrumentation control process module (process function) 60M1 as a part of elements of the in-core nuclear instrumentation system 30 for controlling the LPRM signal processing unit 40, the GT signal processing unit 48 and the gamma-ray thermometer heater control unit 53 constituting the in-core nuclear instrumentation system 30, in addition to the aforesaid interface process on the basis of a nuclear instrumentation control program module PM1 memorized in the memory unit 61. The CPU 60 of the process control computer 31 has a power distribution simulation process module M2 (process function) for simulating neutron flux distribution in the core 3, a power distribution therein and a margin with respect to an operational thermal limit according to a power distribution simulation program module PM2 including a physics model (three-dimensional thermal-hydraulics simulation code), wherein the power distribution simulation program module PM2 is memorized in the memory unit 61. The power distribution simulation process module (process function) M2 is also operative to correct the simulation result simulated thereby so as to obtain a core power distribution reflecting the actually measured core nuclear instrumentation data on the basis of a power distribution learning (adaptive) program module PM3 memorized in the memory unit 61. The process control computer 31 is also capable of receiving various commands such as GT calibration instruction command, power distribution simulating command and the like, which are inputted by an input operation of an operator through the input console 62. The CPU 60 is also operative to output a simulated result including, for example, the power distribution and the margin with respect to the operational thermal limit, a display information such as warning or the like to the operator through the display unit 63. The in-core power distribution simulation process module M2 of the CPU 60 simulates the neutron flux distribution, the core power distribution and the margin with respect to the operational thermal limit in the core 3 so as to store the simulated result including the neutron flux distribution and the core power distribution in the memory unit 61. Then, the power distribution simulation process module M2 of the CPU 60 corrects the simulated result (the neutron flux distribution and the core power distribution) stored in the memory unit 61 according to the inputted GT data D1, or the GT data D1 and the LPRM data D2 so as to determine an accurate core power distribution and an accurately margin with respect to the operational thermal limit, which reflect the actually core nuclear instrumentation data (the GT data D1, the LPRM data D2) and have a high reliability. As described above, each of the modules M1 and M2 of the CPU 60 are realized as processing functions of the CPU 60 on the basis of the program modules PM1, PM2 and PM3. By the way, the in-core nuclear instrumentation assemblies 32 constitute a part of the in-core nuclear instrumentation system 30 of the BWR, as shown in FIG. 1 to FIG. 3, and the core 3 is provided with a large number of, for example, 52 in-core nuclear instrumentation assemblies 32. The in-core nuclear instrumentation assembly 32 is arranged at a corner water gap G position surrounded by four fuel assemblies 4. The in-core nuclear instrumentation assembly 32 includes a nuclear instrumentation tube 33, the neutron detector assembly (LPRM detector assembly) 34 functioning as fixed neutron detecting means, the xcex3-ray heating detector assembly (GT assembly) 35 functioning as fixed gamma-ray detection means (gamma-ray thermometer). Further, the in-core nuclear instrumentation assembly 32 is constructed in a manner that the LPRM detector assembly 34 and the GT assembly 35 are combined so as to be integrally arranged in the nuclear instrumentation tube 33. The LPRM detector assembly 34 constitutes a local power range monitor (LPRM) as a nuclear fission ionization chamber, and has N (Nxe2x89xa74), for example, four LPRM detectors 37 which are discretely arranged in a fuel effective portion in a core axial direction at equal intervals. Incidentally, each interval between each LPRM detector 37 is referred to xe2x80x9cLxe2x80x9d. The GT assembly 35 is inserted into the nuclear instrumentation tube 33 together with the LPRM detector assembly 34. The GT assembly 35 includes eight (8) or nine (9) gamma (xcex3) ray heating detectors 44 which are discretely arranged in the core axial direction. Each neutron detector 37 of the LPRM detector assembly 34 and each gamma-ray heating detector 44 of the GT assembly 35 are housed in the nuclear instrumentation tube 33, and a coolant is guided so as to flow through the nuclear instrumentation tube 33 from the lower portion of the tube 33 in a mounted state to the upper end thereof. In FIG. 2 and FIG. 3, there is shown in an example of the GT assembly 35 which is constructed in a manner that eight (8) xcex3-ray heating detectors 44 are arranged in a fuel effective portion H of the core axial direction. As shown in FIG. 3, the fuel effective portion H is indicative of a range where a nuclear fuel is effectively filled along the core axial direction in each fuel element (nuclear fuel filled in a fuel rod), and also, the fuel effective portion H along the core axial direction is described as a fuel effective length. Each arranging distance (or interval) between xcex3-ray heating detectors 44 in the core axial direction is determined taking each core axial direction arranging distance between neutron detectors 37 of the LPRM detector assembly 34 into consideration. More specifically, if the core axial direction arranging distance between each of the neutron detectors 37 is set as L, the gamma-ray thermometer assembly (GT assembly) 35 is constructed in the following manner that axially center positions of four xcex3-ray heating detectors of the above 8 xcex3-ray heating detectors 44 are arranged at the same axial positions of the LPRM detector 37, axially center positions of three xcex3-ray heating detectors of them are arranged on an intermediate position between LPRM detectors 37 at an interval of L/2, and an axially center position of one lowermost xcex3-ray heating detector 44 of them is arranged below the lowermost LPRM neutron detector 37 in a fuel effective portion of 15 cm or more upper from a lower end of the fuel effective portion at a distance of L/4 to L/2. In the case where the xcex3-ray heating detector 44.is located above the uppermost LPRM detector 37, the xcex3-ray heating detector 44 is arranged above the lowermost LPRM neutron detector 37 in a fuel effective portion of 15 cm or more lower from an upper end of the fuel effective portion at a distance of L/4 to L/2. As described above, the xcex3-ray heating detector 44 is arranged within a 15 cm or more range separating from the upper and lower ends of the fuel effective portion. The reason is as follows; more specifically, according to xcex3-ray heating contributing range analysis, a xcex3-ray contributing range is newly found out; for this reason, it is necessary to accurately detecting a xcex3-ray heating value close to the upper and lower ends of the fuel effective portion. The lowermostxcex3-ray heating detector 44 must be arranged in the fuel effective length H and in the vicinity of the lower end of the fuel effective portion as much as possible. For this reason, in the case where the fuel effective length (approximately 371 cm at present) H is divided into 24 nodes in the core axial direction, it is preferable that the axially center position of the lowermost xcex3-ray heating detector 44 is located on the center position of the axis of an axial node which is a second from the lowermost node. By arranging the xcex3-ray heating detector 44 as described above, a xcex3-ray heating value on the lower end side of the core is detected by means of the lowermost xcex3-ray heating detector 44 of the GT assembly 35. Thus, it is possible to measure a xcex3-ray heating value over a considerably wide range in the axial direction along the fuel effective length H, and to measure a xcex3-ray heating value on a lower end range of the core. This results from the following reasons; more specifically, the lowermost node primarily has a low output due to a neutron leakage, the lowermost xcex3-ray heating detector 44 has a low response, and further, a contributing range of gamma-ray to the xcex3-ray heating detector 44 is 15 cm or more. Therefore, the lowermost xcex3-ray heating detector 44 is arranged on a position separating from the lower end of the fuel effective length at a distance of 15 cm or more, and thereby, it is possible to be equally heated upper and lower sides of the location with xcex3-ray. Moreover, the following disadvantage should be avoided. More specifically, if the lowermost xcex3-ray heating detector 44 is not arranged at a position separating from the lower end of the fuel effective length at a distance of 15 cm or more, other xcex3-ray heating detectors 44 arranged in other core axial directions measure a heating effect of xcex3-ray from the upper and lower sides in the axial direction; while the lowermost xcex3-ray heating detector 44 detects only xcex3-ray heating contribution from the upper side. For this reason, a balance of xcex3-ray heating value measurement between each detector 44 is not proper, and a correlation equation of GT signal to power is also not proper. Therefore, because the lowermost xcex3-ray heating detector 44 is arranged on a position separating from the lower end of the fuel effective length at a distance of 15 cm or more, it is possible to avoid unbalance of xcex3-ray heating value measurement of the lowermost xcex3-ray heating detector 44 so as to prevent the correlation equation of GT signal to power of the lowermost xcex3-ray heating detector 44 from being different from other xcex3-ray heating detectors 44 except for the lowermost xcex3-ray heating detector 44. In an axial design of the latest fuel assembly 4, a natural uranium bracket is frequently used as the lowermost node. For this reason, even if the natural uranium bracket portion having a low output is measured, an output signal of the GT assembly 35 is extremely low; as a result, there is no meaning of interpolating and extrapolating a power distribution at a position below the lowermost LPRM detector 37. By the way, the gamma-ray thermometer assembly (GT assembly) 35 is constructed in combination with the fixed xcex3-ray heating detector 44, and has a long rod-like structure as shown in FIG. 5 and FIG. 6. The gamma-ray thermometer assembly 35 is a thin and long rod-like assembly having a diameter of e.g., approximately 8 mm xcfx86, and has a length of substantially covering a fuel effective length, for example, 3.7 m (370 cm) to 4 m (400 cm) in the core axial direction. The gamma-ray thermometer assembly (GT assembly) 35 includes a cover tube 65 formed of stainless steel, which is used as a metallic jacket, and a metallic long rod-like core tube 63 is housed in the cover tube 65. Further, the cover tube 65 and the core tube 66 are fixed to each other by shrinkage fit, cooling fit or the like. A sleeve or annular space portion 67 constituting an adiabatic portion is formed between the cover tube 65 and the core tube 66. A plurality of e.g., at least four (4), more specifically, eight (8) or nine (9) annular space portions 67 are discretely arranged at equal intervals in the axial direction. The annular space portion 67 is formed by cutting an outer surface of the core tube 66 along a circumferential direction thereof. Then, a gas having a low heat conductivity, for example, an Ar (argon) gas is filled in the annular space portion 67. The annular space portion 67 may be formed on the cover tube 65 in side wall which is a jacket tube. As the gas having a low heat conductivity, an inert gas such as the Ar gas, a nitrogen gas or the like may be used. The fixed xcex3-ray heating detector (GT detector) 44 is provided at a position where the annular space portion 67 is formed, and thus, a sensor portion of the gamma-ray thermometer assembly 35 is constructed. The core tube 66 has an internal hole 68 which extends through a center portion of the core tube 66 along an axial direction thereof. In the internal hole 68, a mineral insulated (MI) cable sensor assembly 70 is fixed by brazing, caulking (fastening) or the like. The cable sensor assembly 70 is provided at its center portion with a built-in heater 71 which functions as a rod-like exothermic member of a heater wire for calibrating the gamma-ray thermometer assembly 35, and a plurality of differential type thermocouples 72 which functions as temperature sensors, around the heater 71. A space between the built-in heater 71 and each thermocouple 72 are filled with an electric insulating layer or a metal/metal alloy filler 73, and then, are integrally housed in a metallic cladding tube 74. The metallic cladding tube 74 is closely contacted at outer peripheral surface thereof to the inner peripheral surface of the core tube 66 and at inner peripheral surface thereof to each outer peripheral surface of each thermocouple 72. The built-in heater 71 of the gamma-ray thermometer assembly 35 comprises a sheath heater, and is integrally constructed in a manner that a heater wire 75 is coated with a metallic cladding tube 77 via an electric insulating layer 76. Similarly, each thermocouple 72 is integrally constructed in a manner that thermocouple signal wires 78 are coated with a metallic cladding tube 80 via an electric insulating layer 79. In the differential type thermocouple 72 located in the internal hold 68 of the core tube 66, its low temperature point and a high temperature point are arranged so as to correspond to the annular space portion 67, and thus, the xcex3-ray heating detector 44 which is the sensor portion of the gamma-ray thermometer assembly 35 is constructed. As shown in FIG. 6, each thermocouple 72 is set in a manner that a high temperature point 81a is located on the sensor portion formed in the annular space portion 67, that is, on the center of the adiabatic portion in the axial direction, and that a low temperature point 81b is located at a downward position slightly separating from the adiabatic portion (the low temperature point 81b may be located at an upward position slightly separating from the adiabatic portion). The thermocouples 72 are coaxially inserted around the built-in heater 71 by the same number as the xcex3-ray heating detectors 44. The fixed xcex3-ray heating detectors 44 constitute the gamma-ray thermometer assembly 35 for detecting an in-core power distribution detector, and the principle of measuring the in-core power distribution is shown in FIG. 7A and FIG. 7B. In a reactor such as a boiling water type reactor or the like, a xcex3-ray is generated in proportional to a local fission rate of a nuclear fuel mounted in the reactor core 3 housed in the reactor pressure vessel 2. The generated xcex3-ray flux heats a structural element of the gamma-ray thermometer assembly 35, for example, the core tube 66. The heat energy is proportional to a local xcex3-ray flux; on the other hand, the xcex3-ray flux is proportional to the fission rate close thereto. In the annular space portion 67 of each xcex3-ray heating detector 44 which constitutes the gamma-ray thermometer assembly 35, since a performance of removing heat in radial direction by a coolant 82 is poor due to a heat resistance of the annular space portion 67, there is generated a heat flow as shown by an arrow A in FIG. 7B, which makes a detour in the axial direction so that a temperature difference is caused. So, the high temperature point 81a and the low temperature point 81b of the differential type thermocouple 72 are arranged as shown in FIG. 6 and FIG. 7B so that it is possible to detect the temperature difference by a voltage signal. The temperature difference is proportional to the xcex3-ray heating value, making it possible to obtain a xcex3-ray heating value which is proportional to a local fission rate from the voltage signal of the differential type thermocouple 72. This is the measuring principle of the gamma-ray thermometer. On the other hand, in the fuel assembly 4, as shown in FIG. 2 and FIG. 3, a large number of fuel rods (not shown) are housed in a rectangular or cylindrical channel box 83. Each fuel rod housed in the fuel assembly 4 is fixed in a manner that an uranium oxide sintered pellet or an uranium-plutonium mixed oxide sintered pellet is filled in a fuel cladding tube made of a zirconium alloy so that upper and lower ends of the fuel cladding tube are welded by end plugs, respectively. Large number of fuel rods are bundled so that a plurality of fuel spacers are arranged in an axial direction at predetermined intervals in order to secure a predetermined distance between the fuel rods. Moreover, an upper tie-plate and a lower tie-plate are arranged at the upper and lower end portions of the fuel assembly 4 so as to engaging with a lower structure and an upper structure of the core 3, respectively. In the fuel assembly 4 of the boiling water type reactor (BWR), the channel box 83 covers an outer side of the bundled fuel assembly 4 so as to form a coolant passage for each fuel assembly 4. The great number of fuel assemblies 4 as described above stand in the core 3 of the reactor, and the process control computer 31 executes a simulation of the in-core power distribution, the margin with respect to the operational limit value {maximum linear heat generating ratio (kW/m) and minimum critical power ratio} of core fuel, according to the power distribution simulation program module, so-called three-dimensional nuclear thermal-hydraulics simulation code. The margin with respect to the operational limit value {maximum linear heat generating ratio (kW/m), referred simply to MLHGR, and minimum critical power ratio, referred simply to MCPR} of core fuel is simulated by the process control computer 31, and then, the simulation result is displayed on the display unit 63 so that the operator monitors the simulation result. Next, monitoring process of core power distribution of the power distribution monitoring system 29 according to the present invention is explained hereinafter, and more particularly, calibration process of detection sensitivity of the in-core fixed nuclear instrumentation system 30 is explained in the central of the monitoring process. In the reactor power distribution monitoring system 29 according to the present invention, a fuel state of the core 3 and a reactor operating mode of the boiling water type reactor (BWR) are monitored by the process control computer 31. That is, various process data {control rod pattern, core coolant flow rate, reactor doom pressure, flow of feed water, a temperature of feed water (a core inlet coolant temperature) and so on} as the reactor state data measured by the core state data measuring device 55 of the boiling water type reactor, are inputted to the state data processing unit 58, and then, these data are collected and processed by the state data processing unit 58 so as to calculate a reactor thermal output or the like. The state data processing unit 58 may be constructed as a part of the process control computer 31; in this case, processing for collecting the core state data is carried out by the process control computer 31. The core state data D3 including the reactor thermal output, which is collected and calculated by the core state data processing unit 58, is transmitted to the process control computer 31 so as to be received in the CPU 60 by the interface process of the nuclear instrumentation control process module 60M1 thereof. On the other hand, neutron flux in the core 3 detected by each LPRM detector assembly 34 of each in-core nuclear instrumentation assembly 32 is converted into the LPRM data D2 via the LPRM signal processing unit 40, and then, each of the LPRM data D2 is transmitted to the process control computer 31 so as to be received in the CPU 60 by the interface process of the nuclear instrumentation control process module 60M1 thereof. Similarly, thermocouple output signal (GT signal) measured by each xcex3-ray heating detector 44 of each in-core nuclear instrumentation assembly 32 is converted into the GT data D1 representing the xcex3-ray heating value (W/g) per unit weight by means of the GT signal processing unit 48 on the basis of each sensitivity S0 of each xcex3-ray heating detector 44 and then, is transmitted to the process control computer 31 so as to be received in the CPU 60 by the interface process of the nuclear instrumentation control process module 60M1 thereof. The power distribution simulation process module 60M2 of the CPU 60 executes the power distribution simulating process in accordance with the program module (three-dimensional nuclear thermal-hydraulics simulation code) PM2 stored in the memory unit 61 on the basis of the transmitted the GT data D1, the LPRM data D2 and the core state data D3, so that the core power distribution, the core neutron flux distribution, simulation values of the GT signals corresponding to the measured GT data S1, the margin with respect to the operational thermal limit value and so on are simulated. The simulated data including the core power distribution, the simulation values of the GT signals, the margin with respect to the operational thermal limit value and so on are stored in the memory unit 61 as the occasion demands. Incidentally, in this embodiment, in the memory unit 61, at least one of approximate expression data (data set) according to correlation parameters representing the correlation between the nodal power of the fuel assembly 4 and the GT data values D1 based on the GT signals S1 and interpolation and extrapolation lookup table data (data set) according to the above correlation parameters is stored, wherein the correlation parameters includes, for example, a fuel type, a node burn-up, control rod state, a historical relative water density (historical void fraction), an instantaneous relative water density (instantaneous void fraction). That is, the process module 60M2 of the CPU 60 is adapted to simulate the correlation parameters simultaneously with simulating the core power distribution, and to simulate the simulation values of the GT signals by using the at least one of the approximate expression data and the lookup table data according to the simulated correlation parameters. In addition, the process module 60M2 of the CPU 60 corrects the simulated results including the core power distribution and so on by using the actually measured core nuclear instrumentation data (GT data D1) from the core 3 and the three-dimensional nuclear thermal-hydraulics simulation code) in accordance with the program module PM3. At this time, in order to measure the power distribution in an axial direction of the core 3, each GT assembly 35 has fixed GT detectors 44 which is the same N-th (number) as the fixed LPRM detector 37 less than 24 nodes, for example, four or more, and then, the core power distribution or the like simulated by the power distribution simulation process module 60M2 of the CPU 60 is learnt so as to be corrected on the basis of the three-dimensional nuclear thermal-hydraulics simulation code and the core nuclear instrumentation data (GT data D1) corresponding to the GT signals measured by each GT detector 44 of each GT assembly 35. Incidentally, the power distribution adaptation correction process of the CPU 60 will be detailedly explained by referring to FIG. 13 and FIG. 14 in sixth embodiment of the specification. Namely, the actual thermocouple output signals (GT signals) S1 from the GT assemblies 35 are converted from the voltage signals into the GT data D1 corresponding to the gamma-ray heating values (W/g) by the GT signal processing unit 48 so as to be inputted to the process control computer 31. At this time, by the power distribution simulation process module 60M2 of the CPU 60, a xcex3-ray heating value for each axial node of each GT assembly is obtained according to the core power distribution simulated by the power distribution simulation process module 60M2 of the CPU 60 on the basis of the three-dimensional nuclear thermal-hydraulics simulation code of the program module PM2. Each xcex3-ray heating value is temporally stored in the memory unit 61. Related to some nodes in the axial direction at which the GT detector 44 is provided, difference between each simulation value of the part of nodes stored in the memory unit 61 and each actual measured value (GT data D1 value) thereof is obtained by ratio. Then, by the power distribution simulation process module 60M2 of the CPU 60, data indicative of differences (ratios) between the respected actual xcex3-ray heating values (GT data D1 values) of the GT detectors 44 having the limited number in the core axial direction and the respected simulation values of the xcex3-ray heating values corresponding to the GT detectors 44 are interpolated and extrapolated in other (remained) nodes in the axial direction, respectively, wherein the GT detector 44 is not provided at the other nodes in the axial direction, thereby obtaining the correction data of the xcex3-ray heating value differences with respect to the whole axial nodes. Incidentally, in addition to interpolation and extrapolation in the axial direction, it is possible to interpolate and extrapolate the xcex3-ray heating value difference corrections (correction ratios; correction factors) with respect to radial positions at which the GT assemblies are not provided along a core radial direction. Further, the power distribution simulation process module 60M2 of the CPU 60 corrects the core power distribution simulated by the power distribution simulating process so that each xcex3-ray heating value difference correction data value for each node of each GT assembly is xe2x80x9c1.0xe2x80x9d; that is, the GT data D1 value of each node in the axial direction of each GT assembly and each simulation value of each xcex3-ray heating value corresponding to each node are coincident with each other, and whereby, it is possible to obtain a high accurate reactor power distribution and a high accurate margin with respect to an operational thermal limit value, or, in addition to them, a high accurate neutron flux distribution. As described above, in the process control computer 31 for monitoring the reactor operating mode and the core power distribution, the CPU 60 always continuously receives the core state data D3, and periodically (e.g., one time per hour) or always carries out the core power distribution simulation process (three-dimensional nuclear thermal-hydraulics simulation process) on the basis of the latest operating parameters (core state data D3) and the three-dimensional nuclear thermal-hydraulics simulation code (program module PM2) in accordance with a simulation request command inputted from the input console 62 by the input operation of the operator. More specifically, in accordance with the power distribution adaptation process module PM3, according to the GT data D1 (W/g) based on the GT signals S1 at that point of time at which the power distribution is simulated, the simulated core power distribution is corrected so that the actually measured core nuclear instrumentation data (the GT data D1) are reflected the simulated power distribution, whereby it is possible to simulate a high accurate reactor power distribution and a high accurate margin with respect to the operational thermal limit value, or, in addition to them, a high accurate neutron flux distribution. Meanwhile, the nuclear instrumentation control process module 60M1 as a part of processing functions of the CPU 60 has a function of computing a reactor operating time, for example, in-core elapse time after each GT assembly 35 is loaded (mounted) in the reactor (core 3), a function of updating and storing each in-core elapse time (in-core mounted time) of each GT assembly 35 in the memory unit 61 of the process control computer 31, and a function of storing a plurality of preset heater calibration time intervals in the memory unit 61 which will be described later. Further, in a state that there is no change in the core state process S3 such as operating parameters (core power, core coolant flow rate, control rod pattern, etc.), the nuclear instrumentation control process module 60M1 has a function of transmitting an execution instruction of output voltage sensitivity measurement processing (computation processing) by the built-in heater 71 of each fixed GT detector 44 of each GT assembly 35, to the GT heater control unit 53 every predetermined time. Incidentally, the aforesaid processing (computation processing) for measuring a sensitivity of each fixed GT detector 44 by the built-in heater 71, is called as heater calibration processing, wherein the sensitivity of each fixed GT detector 44 represents a value for determining a relationship between thermocouple output voltage and xcex3-ray heating value (unit: (w/g) per unit weigh) of each GT detector 44. In addition, the nuclear instrumentation control process module 60M1 of the CPU 60 has a function of storing a time (calibration processing start time) at the point of time of transmitting the executive instruction of output voltage measurement processing (heater calibration instruction) of each GT assembly 35 in the memory unit 61. That is, each calibration processing start time of each GT assembly 35 is stored in each different address of the memory unit 61. At this time, the nuclear instrumentation control process module 60M1 of the CPU 60 previously sets different transmission intervals of the heater calibration instruction (hereinafter, the transmission interval is referred to xe2x80x9cheater calibration time intervalxe2x80x9d) to the GT assemblies 35 according to the in-core elapse times of the GT assemblies 35. That is, the following different heater calibration time intervals are stored in the memory unit 61 of the process control computer 31. Namely, 48-hours is set as a first heater calibration time interval with respect to the GT assembly in which a reactor operating time after the GT assembly mounted in the core 3 is within 500 hours (that is, in-core elapse time within 500 hours), 168-hours is set as a second heater calibration time interval with respect to the GT assembly in which the in-core elapse time ranges from 500 to 1000 hours, 336-hours is set as a third heater calibration time interval with respect to the GT assembly in which the in-core elapse time ranges from 1000 to 2000 hours, and one month (or 1000 hours) is set as a fourth heater calibration time interval with respect to the GT assembly in which the in-core elapse time exceeds 2000 hours. By referring to the memory unit 61, as shown in FIG. 8, the nuclear instrumentation control process module 60M1 calculates the in-core elapsed times of the GT assemblies and discriminates and selects a GT assembly or GT assemblies as a heater calibration target from all GT assemblies on the basis of each elapse time from the previous calibration processing time of each GT assembly 35 to the present time and a heater calibration time interval corresponding to the present in-core elapse time of each GT assembly 35 (step S1). Next, the nuclear instrumentation control process module 60M1 of the CPU 60 registers each heater calibration time interval corresponding to each discriminated in-core elapse time of each GT assembly 35 in the memory at each of the discrimination processes (step S2). Then, the nuclear instrumentation control process module 60M1 transmits each registered heater calibration time interval corresponding to each in-core elapse time corresponding to each GT assembly 35 to the display unit 63 so as to display each heater calibration time interval of each GT assembly 35 as a heater calibration time interval registration image on the display unit 63 (step S3). Furthermore, the nuclear instrumentation control process module 60M1 automatically transmits a heater calibration processing execution instruction {including an address (positional address) of the selected heater calibration target of the GT assembly 35} with respect to the selected heater calibration target of the GT assembly 35, to the GT heater control unit 53 and the GT signal processing unit 48, or transmits a GT calibration instruction command transmission request with respect to the heater calibration target of the GT assembly 35, to the display unit 63 so as to display and output the GT calibration instruction command transmission request with respect to the operator via the display unit 63 (step S4). At this time, the operator operates the input console 62 in accordance with the GT calibration instruction command transmission request displayed on the display unit 63 so as to transmit a GT calibration instruction command corresponding to the aforesaid heater calibration target of the GT assembly 35. In response to the transmitted GT calibration instruction command, the nuclear instrumentation control process module 60M1 automatically transmits the aforesaid heater calibration processing execution instruction to the GT heater control unit 53 and the GT signal processing unit 48. At this time, the GT heater control unit 53 starts to supply a power (applies a voltage) to the built-in heater 71 of at least one of the target GT assembly 35 corresponding to the positional address of the transmitted heater calibration processing execution instruction with delay time, and controls the applied heater voltage so that a current value flowing through the built-in heater 71 becomes a predetermined value. Next, the GT heater control unit 53 measures the applied voltage value of the built-in heater 71 of the at least one of the target GT assembly 35 and the current value flowing through the built-in heater 71 thereof so as to transmit the measured values to the GT signal processing unit 48. On the other hand, the CPU 48A of the GT signal processing unit 48, as shown in FIG. 9, receives the heater calibration processing execution instruction (positional address) transmitted from the nuclear instrumentation control process module 60M1 (step S10), and then, in response to a receiving timing of the heater calibration processing execution instruction, concurrently measures a thermocouple output voltage signal (mV) of each GT detector 44 of the GT assembly 35, in a no-heated state, having the positional address along the core axial direction (step S11). Incidentally, the thermocouple output voltage signal of each GT detector 44 which is not heated by the built-in heater 71 is referred to xe2x80x9cno-heated output voltage signalxe2x80x9d hereinafter. Furthermore, simultaneously to Step S11, the CPU 48A of the GT signal processing unit 48 receives the applied voltage to the heater 71 and the measured current value in the heater 71 transmitted from the GT heater control unit 53 (step S12), and then, in accordance with the receiving timing of the applied voltage and the measured current value, concurrently measures a thermocouple output voltage signal (mV) of each GT detector 44 of the GT assembly 35 having the positional address with each GT detector 44 heating by the heater 71 (step S13). Incidentally, the thermocouple output voltage signal of each GT detector 44 which is heated by the built-in heater 71 is referred to xe2x80x9cheated output voltage signalxe2x80x9d hereinafter. Then, the CPU 48A of the GT signal processing unit 48 stores the measured no-heated output voltage signal and the measured heated voltage signal of each GT detector 44, and voltage and current of heater power supply of the heater calibration target of the GT assembly 35 with the positional address in each separated address of the memory unit 48B (step S14). The above heater calibration process according to the heater calibration process execution instruction is continued while changing the positional addresses of the target GT assemblies until the heater calibration process to all of the target GT assemblies which have need of the heater calibration is finished. Next, the CPU 48A of the GT signal processing unit 48 measures (computes) a sensitivity S0 {a value for determining a relationship between a thermocouple output voltage and a xcex3-ray heating value (unit: W/g) per unit weight of each GT detector 44} of each present heater calibration target of each GT detector 44 on the basis of the no-heated output voltage signal and the heated output voltage signal of heater power of each present heater calibration target of each GT detector 44 (step S15). The following is a description about the sensitivity measurement process by the CPU 48A of the GT signal processing unit 48 with reference to FIG. 10. The following equation (1) is established as an expression of relation between a thermocouple output voltage of the GT detector 44 and a gamma-ray heating value Wxcex3per unit weight of the GT detector 44. Uxcex3=S0(1+xcex1Uxcex3)Wxcex3xe2x80x83xe2x80x83(1) where, S0: output voltage sensitivity (mV/(W/g)) xcex1: non-linear coefficient (mVxe2x88x921) Uxcex3: output signal (mV) Wxcex3: gamma heating value (W/g) In this case, the above non-linear coefficient xcex1 is a fixed value computed taking a temperature dependency of a physical property value of a structural material of the GT detector 44 into consideration. Moreover, the output voltage sensitivity S0 of the present GT detector 44 is computed by the following equation (2) with the use of the measured no-heated output voltage signal and the heated output voltage signal. S0=[{Uxe2x80x2/(1+xcex1Uxe2x80x2)}xe2x88x92{U/(1+xcex1U)}]/PHxe2x80x83xe2x80x83(2) where, U: no-heated output voltage (mV) Uxe2x80x2: heated output voltage (mV) PH: additional heating value (W/g) by built-in heater More specifically, in a state that the operator confirms that a state of the core 3 is constant and stable, when adding a heating value PH by means of the built-in heater 71 shown in FIG. 5 and FIG. 6, a change of thermocouple output signal (difference between U and Uxe2x80x2) is caused in accordance with the added heating value PH. Thus, the sensitivity S0 of the GT detector 44 can be computed with the use of a previously measured mass (weight) of the GT detector 44, a heater resistance value thereof and the above equation (2). In this case, preferably, the built-in heater 71 of the GT assembly 35 is manufactured so that the resistance value of the built-in heater 71 is constant in the axial direction independent of each GT detector. However, because of considering a manufacture error for each GT assembly 35 or a manufacture error with respect to an axial distribution of each GT assembly 35, its manufacturing data is reflected so that the additional heating value PH of each of the aforesaid built-in heaters 71 is determined on the basis of the supply current and the resistance value of the detecting section of each of the built-in heaters 71. By the way, a gamma-ray heating value Wxcex3per unit weight of the GT detector 44 is computed from the aforesaid output voltage sensitivity S0 of the GT detector 44 and the output voltage signal (mV signal) of the GT detector 44 with the use of the following equation (3). Wxcex3=Uxcex3/{S0(1+xcex1Uxcex3)}xe2x80x83xe2x80x83(3) As described above, the CPU 48A of the GT signal processing unit 48 can compute the sensitivities S0 of all GT detectors 44 of the heater calibration target GT assemblies 35 on the basis of controlling the built-in heaters 71 of the heater calibration target GT assemblies 35 by the GT heater control unit 53. Then, the CPU 48A of the GT signal processing unit 48 stores the computed sensitivity S0 of each GT detector 44 of the heater calibration target in the memory unit 48B, and then, transmits the computed sensitivity S0 of each GT detector 44 of the heater calibration target to the nuclear instrumentation control process module 60M1 of the process control computer 31 (step S16). As described above, the sensitivity measuring process by the GT heater control unit 53 and the GT signal processing unit 48 according to the heater calibration process execution instruction, is repeatedly carried out in a predetermined sequence until all GT assemblies 35 of the heater calibration target are processed. Moreover, the sensitivity measuring process of each GT detector 44 of each GT assembly 35 of the heater calibration target is repeatedly carried out in accordance with the heater calibration processing execution instruction transmitted by the discrimination process of the nuclear instrumentation control process module 60M1 at each corresponding heater calibration time interval. As is evident from the above description, the sensitivity S0 of each GT detector 44 of each GT assembly 35 is periodically measured (computed) by the GT signal processing unit 48 in accordance with each heater calibration interval determined based on each in-core elapse time of each GT assembly 35, and then, the measured sensitivity S0 of each GT detector 44 of each GT assembly 35 is transmitted to the nuclear instrumentation control process module 60M1. At that time, the nuclear instrumentation control process module 60M1 stores the sensitivity S0 of each GT detector 44 of each GT assembly 35 periodically transmitted in accordance with each heater calibration interval, that is, time sequentially change data of the sensitivity S0 of each GT detector 44 of each GT assembly 35 in the memory unit 61 for each GT detector 44. Then, the nuclear instrumentation control process module 60M1 executes a process of making a comparison between a sensitivity S0xe2x80x2 of each GT detector 44 newly transmitted to the nuclear instrumentation control process module 60M1 and past several sensitivity S0 data (sensitivity change data) before the point of time of the sensitivity S0xe2x80x2 transmission, and displaying the comparative result via the display unit 63, or a process of displaying a elapsed change trend (graph) of sensitivity including the sensitivity S0xe2x80x2 on the basis of the time sequentially change data of the sensitivity stored in the memory unit 61 via the display unit 63. To give an example of displaying process of elapse time change trend, with the use of all sensitivity S0 data of the GT detector 44 after the GT detector 44 is mounted in the core 3, or past several sensitivity S0 data from the present time, according to least square approximation, a function of computing the following equation (4) for each GT detector 44 may be incorporated into the nuclear instrumentation control process module 60M1. S0=a+bxc2x7exe2x88x92xcextxe2x80x83xe2x80x83(4) In this case, xcexof the above equation (4) can be determined on the basis of the sensitivity S0 data according to the least square approximation, and also, can be used as a representative value of past actual data value. Now, referring to FIG. 11, there is shown an example of an elapse time change trend graph of the sensitivity S0 of each GT detector 44 of each GT assembly 35, which is dependent on in-core elapsed (mounted) time based on the above equation (4). In the graph, a symbol X denotes an actually measured GT detector sensitivity S0, and a symbol Y denotes an approximation curve represented by a predictive approximate equation of the equation (4) obtained by using the actually measured sensitivity S0. The operator monitors the comparative result between a newly transmitted sensitivity S0xe2x80x2 and sensitivity change data, or the elapse time change trend graph (see FIG. 11), which is displayed on the display unit 63. In the monitoring result, in the case where the operator judges that the newly transmitted sensitivity S0 xe2x80x2 changes not less than a predetermined value {first judgement value (abnormal judgement value; allowable sensitivity change judgement value, for example 10% of the sensitivity S0)}, the operator judges the transmitted sensitivity S0xe2x80x2to be wrong so as to transmit a bypass instruction to the process control computer 31 via the input console 62. Moreover, for example, in the case where any sensitivities of plural GT detectors 44 of plural GT assemblies 35 change within a predictable range so that any sensitivities of them are normal, the operator is adapted to transmit a sensitivity update instruction for collectively updating the corresponding sensitivities of plural GT detectors 44 of plural GT assemblies 35, and further, is adapted to transmit a sensitivity update instruction for individually updating the corresponding sensitivity for each GT detector 44 and for each GT assembly 35. The nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 transmits a sensitivity update instruction for updating the sensitivity S0 of the GT detector 44 of the GT assembly 35 corresponding to the transmitted sensitivity update instruction from the input console 62 into a new sensitivity S0xe2x80x2, to the GT signal processing unit 48. The GT signal processing unit 48 updates the sensitivity SO of GT detector 44 of the corresponding GT assembly 35 on the basis of the transmitted sensitivity update instruction into a new sensitivity S0xe2x80x2, and then, converts the output voltage signal from the GT detector 44 into the GT data D1 with the use of the updated new sensitivity S0xe2x80x2. In addition, the nuclear instrumentation control process module 60M1 of the process control computer 31, according to a second judgement value (second allowable sensitivity change judgement value) which is previously stored in the memory unit 61 and is within the predictable range and less than the first judgement value, for example 0.2% of the sensitivity S0 and the comparative result between the obtained sensitivity S0xe2x80x2 and the sensitivity change data, or the sensitivity elapse time change trend graph, automatically makes a decision whether or not the newly transmitted sensitivity S0xe2x80x2 changes more than the second judgement value and less than the first judgement. Then, in the case where it is judged that the newly transmitted sensitivity S0xe2x80x2 changes more than the second judgement value and less than the first judgement value by the nuclear instrumentation control process module 60M1, the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 judges that the change of the newly sensitivity S0xe2x80x2 is a normally and preferable change so as to transmit a sensitivity update instruction for updating the sensitivity S0 of the GT detector 44 of the corresponding GT assembly 35 into a new sensitivity S0xe2x80x2, to the GT signal processing unit 48. In addition, in the case where it is judged that the newly transmitted sensitivity S0xe2x80x2 changes less than the second judgement value by the nuclear instrumentation control process module 60M1, the nuclear instrumentation control process module 60M1 judges that it is not necessary to update the sensitivity S0 of the GT detector 44 of the corresponding GT assembly 35 into a new sensitivity S0xe2x80x2 so as not to execute the aforesaid sensitivity update process. Furthermore, in the case where the newly transmitted sensitivity S0xe2x80x2 changes not less that the first judgement value (e.g., 10% of the sensitivity S0), the nuclear instrumentation control process module 60M1 judges the GT detector 44 of the corresponding to the GT assembly 35 to be wrong so as to output warning information including the address of the GT detector 44 and the GT assembly 35 which are wrong to the operator directly or via the display unit 63. As a result, according to the outputted warning information, the operator determines that the GT detector 44 corresponding to the warning information or the GT assembly 35 including the GT detector 44 corresponding to the warning information is wrong, thereby registering the GT detector 44 or the GT assembly 35 as a failure bypass. In addition, the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 periodically evaluates the in-core elapse time of each GT assembly 35 successively updated and registered in the memory unit 61. In accordance with the elapse time of the in-core elapse time of each GT assembly 35, in the case where the GT heater calibration time interval set at the present point of time (within the in-core elapse time) is changed over into the next heater calibration time interval set in the next in-core elapse time, the nuclear instrumentation control process module 60M1 changes a display mode of display symbol of the corresponding GT assembly 35 (e.g., flushing the display symbol, etc.) on the heater calibration time interval registration image on the display unit 63 thereby informing a change-over of the above heater calibration time interval to the operator. As described above, according to this first embodiment, in accordance with each heater calibration interval set according to each in-core elapse time of each GT assembly 35 (GT detector 44), the sensitivity of each GT detector 44 is measured by the operations of the nuclear instrumentation control process module 60M1, the GT signal processing unit 48, the GT heater control system 53 and the built-in heater 71, and the sensitivity of each GT detector 44 is updated according to the time change data of the measured sensitivity S0, whereby it is possible to correct a drop-down or saturation of the output voltage of the GT detector 44 with respect to the gamma-ray heating value and to obtain an very accurate xcex3-ray heating value (GT data D1). Therefore, it is possible to further improve an accuracy of the process for correcting the core power distribution, thereby obtaining the core power distribution having a high reliability. In particular, in an operation of a reactor, the sensitivity S0 of each GT detector 44 of each GT assembly 35 slowly changes accompanying with an elapse time as shown by a broken line Y of FIG. 11, and then, becomes an equilibrium state. For this reason, in the nuclear instrumentation assembly 32 having a shorter in-core elapse time, which is mounted in the core 3 of the reactor and is started to be operated, the sensitivity S0 thereof rapidly changes during its operation cycle. On the other hand, in the nuclear instrumentation assembly 32 which has been mounted from the previous operation cycle or before that, that is, having a longer in-core elapse time, the sensitivity S0 of the GT detector 44 is almost stable. Therefore, in the nuclear instrumentation assembly 32, in which the in-core elapse time is long and the sensitivity S0 of the GT detector 44 of the GT assembly 35 is stable, a confirmation may be made whether or not the GT assembly 35 is wrong. Thus, since, for example, the heater calibration interval than 1000 hours or more as described above is set to the GT assembly 35 with stable sensitivity, it is possible to prevent an unnecessary in-ope state (bypass state) of the GT assembly from becoming long without carrying out unnecessary GT heater calibration process. Further, only GT assembly 35 having a relatively shorter in-core elapse time is calibrated by the built in heater at a relatively short heater calibration interval (e.g., 48 hours), thereby carrying out an effectively short-time GT heater calibration with respect to the GT heater. In addition, it is possible to make low a provability of heater breakdown in an operation life of the GT assembly 35. Moreover, the heater calibration time interval of each GT assembly (each GT detector) is displayed on the heater calibration time interval registration image of the display unit 63; therefore, it is easy to make a heater calibration frequency management of the GT assembly 35, and it is possible to readily identify the presence of a short-time calibration target of the GT assembly 35. In this first embodiment, the computation of the sensitivity S0 of the GT detector 44 is carried out by means of the GT signal processing unit 48. However, the present invention is not limited to the structure. That is, the output voltage signal (mV) of the GT detector 44 is directly transmitted to the nuclear instrumentation control process module 60M1 of the process control computer 31, and then, the computation of the sensitivity S0 of the GT detector 44 may be carried out by means of the nuclear instrumentation control process module 60M1. Namely, it is not substantial problem whether the computation of the sensitivity S0 of the GT detector 44 is carried out by the CPU of which computer. Moreover, in the above first embodiment, the sensitivity calibration time interval of the GT assembly 35 stored in the memory unit 61 of the process control computer 31 has four stages in accordance with the in-core elapse time history; however, the present invention is not limited to the structure. Namely the sensitivity calibration time interval may have plural stages, for example, three stages, or two stages. As seen from a degree of sensitivity change by individual in-core elapse times of the actual GT signal detectors, for example, referring to a sensitivity change graph as shown in FIG. 11, the sensitivity calibration time interval has characteristics such that the change is great in the initial mounted state, and is saturated accompanying with an elapse time. For this reason, in view of this time interval characteristics, for example, in the case where a third judgement value representing a gap between the actually measured sensitivity of each GT detector and prediction sensitivity thereof in heater calibration state, which is predicted from the time sequential data of the sensitivity, or a change value from the sensitivity result of each GT detector measured while the preceding sensitivity update process is executed, is set to a relatively small value (e.g., 1% of sensitivity), it is preferable that the sensitivity calibration time interval has multi stages such as four states described above. Incidentally, in this embodiment, as a parameter for setting the calibration time interval of each GT detector, the in-core elapse time is used. However, the present invention is not limited to the structure. That is, an in-core neutron irradiation quantity of the sensor portion of each GT detector may be employed in place of the above in-core elapse time. In this case, the in-core neutron irradiation quantity for each GT detector 44 is accurately computed (simulated) by means of the power distribution simulation module 60M2 of the CPU 60. Furthermore, the simulated in-core neutron irradiation quantity of each GT detector 44 is stored in the memory unit 61 of the process control computer 31 in place of the in-core elapse time so that each heater calibration time interval of each GT detector is set in accordance with a range of 3 each in-core neutron irradiation quantity of each GT detector so as to store each heater calibration time interval in the memory unit 61. In addition, there is no need of accurately computing (simulating) the above in-core neutron irradiation quantity, and a parameter substantially proportional to the in-core neutron irradiation quantity may be replaced. For example, an average burn-up increment of each fuel nodes surrounding the GT detector 44 can be employed as the parameter. According to this first embodiment, in the in-core fixed nuclear instrumentation system 30 of the reactor, the plurality of LPRM detectors 37 for detecting the local power distribution of power range in the reactor core 3 and the fixed GT detectors 44 of the gamma-ray thermometer assembly 35 for detecting the xcex3-ray heating value, are housed in the nuclear instrumentation tube 33. Further, the in-core fixed nuclear instrumentation system 30 includes: the in-core nuclear instrumentation assembly 32 in which the GT detectors 44 are arranged at least in the vicinity of the LPRM detectors 37; the LPRM signal processing unit 40 for processing the LPRM signal S2 from the LPRM detector 37; the GT signal processing unit 48 for processing the output voltage signal (GT signal) S1 from the GT assembly 35; and the GT heater control unit 53 for carrying out the electrically energizing control with respect to the built-in heater 71 built in the GT assembly 35. On the other hand, the in-core fixed nuclear instrumentation system 30 is monitored and controlled by the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31. The nuclear instrumentation control process module 60M1 constitutes a monitor and control module, and controls the operations of the GT heater control unit 53 and the GT signal processing unit 48. The GT heater control unit 53 carries out the electrically energizing control with respect to the built-in heater 71 of the GT assembly 35 so that the output voltage sensitivity of each GT detector 44 can be calibrated by heater heating. Moreover, in the GT assembly 35, during reactor operation, the built-in heater 71 is electrically energized and heated, and then, the GT signal processing unit 48 measures an increase of output voltage of each GT detector 44 by h eater heating (additional heating value) and the heating voltage and current to the built-in heater 71, and further, calibrates the thermocouple output voltage sensitivity of the GT detector 44 per unit heating value (W/g) by gamma-ray with the use of a previously measured heater resistance and the mass of the fixed GT detector 44. The interval of calibrating the output voltage sensitivity is set in accordance with the in-core elapse time of the gamma-ray thermometer assembly 35 as the parameter. The in-core elapse time of the gamma-ray thermometer assembly 35 is computed and so as to be stored in the memory unit 61 by the nuclear instrumentation control process module 60M1. In accordance with each in-core elapse time of each GT assembly 35, the following heater calibration time intervals are previously prepared; for example, a first time interval, a second time interval, a third time interval . . . in the order of the shorter time interval. Then, the corresponding time interval is automatically selected in accordance with the computed in-core elapse time, and the output voltage sensitivity measurement by heater heating is carried out at the selected time interval. The nuclear instrumentation control process module 60M1 outputs the warning information to the display unit 63 at the point of time when the heater calibration time interval of the output voltage sensitivity by heater heating of the fixed GT detector 44 of the GT assembly 35 is changed over from the previous time interval into a new time interval, and thus, the change-over of the calibration time interval is informed of the operator by the warning information. The following is a description on a second embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system according to the present invention. This second embodiment has the same construction and operation of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the process control computer 31, as the first embodiment (see FIG. 1 to FIG. 11) of the present invention. Therefore, like reference numerals are used to designate the same elements as the first embodiment, and the details are omitted. In the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 of this second embodiment, discrimination processing of the gamma-ray thermometer assembly 35 for calibrating an output voltage sensitivity by heater heating of the fixed GT detector 44 is basically different from that shown in the first embodiment of the present invention. That is, the nuclear instrumentation control process module 60M1 as a part of processing functions of the CPU 60 has a function of computing a reactor operating time (hereinafter, defined as in-core elapse time) after each GT assembly 35 is mounted in the core 3 and a function of updating and storing each in-core elapse time of each GT assembly 35 in the memory unit 61 of the process control computer 31. Similarly to the first embodiment, a plurality of heater calibration time intervals {first heater calibration time interval (for example, 48 hours), second heater calibration time interval (for example, 165 hours ), third heater calibration time interval (for example, 336 hours) and fourth heater calibration time interval (for example, 1000 hours)} are previously stored in the memory unit 61 so as to correspond to the in-core elapse times. Moreover, the nuclear instrumentation control process module 60M1 has a function of storing an elapse time change data of the output voltage sensitivity S0 of each GT detector 44 (time sequential data of the output voltage sensitivity) in the memory unit 61 of the process control computer 31 at each sensitivity calibration process of the GT assembly 35, which is carried out at each time interval selected in accordance with the in-core elapse time of the GT assembly 35 so that the time sequential data of the output voltage sensitivity correspond to the in-core elapse times. That is, in the memory unit 61, each time sequential data of each output voltage sensitivity of each GT detector 44 is stored as a table with respect to each in-core elapse time. The nuclear instrumentation control process module 60M1 captures at least two or more latest time series data points from the present point of time with the use of the time series data of the sensitivity S0 of the GT detector 44, and then, estimates a curve of an output voltage sensitivity change by a linear extrapolation or quadratic curve extrapolation on the basis of the time series data point, or estimates a future output voltage sensitivity change curve by approximating the data points to a linear approximate equation, a quadratic curve approximate equation or the least square approximation equation of a curve represented by the above equation (4) xe2x80x9ca+bxc2x7exe2x88x92xcextxe2x80x9d. In the case of estimating the output voltage sensitivity change curve with the use of the above Equation (4) xe2x80x9ca+bxc2x7exe2x88x92xcextxe2x80x9d, is an in-core elapse time, xe2x80x9caxe2x80x9d, xe2x80x9cbxe2x80x9d and xe2x80x9cxcexxe2x80x9d are constant to be approximated. In this case, the xe2x80x9cxcexxe2x80x9d may take a value representatively selected from the past sensitivity characteristic of the GT detector). Then, the nuclear instrumentation control process module 60M1, as shown in FIG. 12, estimates a future value of the sensitivity S0 of the GT detector 44 according to the above output voltage sensitivity change curve so as to calculate a value of the sensitivity S0 after a predetermined time from the last heater heating calibration, that is, after a time interval (e.g., the second time interval of 168 hours) which is longer than a time interval to be selected next to the present selected time interval (e.g., the first time interval of 48 hours) (step S20). In the case where the estimated value of the sensitivity S0 changes to exceed the predetermined value, that is, the third judgement value, for example, in the case where it is estimated that the third judgement value is 1% of the sensitivity and the estimated value of the output voltage sensitivity S0 changes more than the 1% from the sensitivity output voltage sensitivity measured at the point of the last heater heating calibration time (the judgement of step S21 is YES), a predetermined short time interval shorter than the next time interval (e.g., in the case where the present time interval is 48 hours, the predetermined short time interval is first time interval of 48 hours), is selected from the memory unit 61, and the selected time interval is set as the heater calibration time interval for the next heater calibration so as to be registered in the memory unit 61 (step S22). On the other hand, in the case where it is estimated that the estimated value of the output voltage sensitivity So changes not to exceed the third judgement value, that is, it is estimated that the estimated value of the output voltage sensitivity S0 changes not more than the 1% from the sensitivity output voltage sensitivity measured at the point of the last heater heating calibration time (the judgement of step S21 is NO), a predetermined next time interval (e.g., the second time interval of 168 hours) longer than the present time interval (e.g., the first time interval of 48 hours) is selected from the memory unit 61, and the selected time interval is set as the heater calibration time interval for the next heater calibration so as to be registered in the memory unit 61 (step S23). In this case, the nuclear instrumentation control process module 60M1 can automatically computes the heater calibration time interval with a predetermined limit range from the shortest heater calibration time interval (for example, first time interval of 48 hours) to the longest heater calibration time interval (for example, fourth time interval of 1000 hours) so that the sensitivity change is limited within a predetermined sensitivity range (within a range of the above third judgement value) in accordance with the change quantity of the estimated value of the output voltage sensitivity S0 by the aforesaid estimating process of the nuclear instrumentation control process module 60M1. According to the registration values of the heater calibration time intervals of the axial GT detectors 44 of one GT assembly 35 (excluding the assembly which is synthetically determined as being failure and bypass-registered in the nuclear instrumentation control process module 60M1), the nuclear instrumentation control process module 60M1 refers to the memory unit 61 so as to research the registered heater calibration time intervals of. all axial GT detectors 44 of the GT assembly 35. Furthermore, the nuclear instrumentation control process module 60M1 transmits a heater calibration instruction of each GT detector 44 to the GT signal processing unit 48 and the GT heater control unit 53 on the basis of the heater calibration time interval having the shortest heater calibration time interval of all GT detectors 44 along the axial direction of the GT assembly 35. The aforesaid function and heater calibrating method are necessary in the case of using the neutron irradiation quantity of the GT detector 44 as the parameter for heater calibration in place of the in-core elapse time of the GT assembly 35. According to this second embodiment, only shipment (shipping) data value of an out-pile test or only initialization data is registered as an output voltage sensitivity S0 of the GT detector 44 of the GT assembly 35 newly loaded in a regular inspection construction before an operation cycle. For this reason, the initial sensitivity So of the GT detector 44 is detected, and then, the point of time when the core is a steady state is selected in a temporarily turbine operation at start-up, at the point of time of partial output after turbine operation, at the point of early time after rate output, and thus, the GT heater heating calibration processing is carried out three or four times by means of the operations of the aforesaid nuclear instrumentation control process module 60M1, the GT signal processing unit 48 and the GT heater control unit 53. For example, by four-time or more heater calibration processing, concerning the output voltage sensitivity S0 data of the GT detector 44 of the GT assembly 35 having four or more sensitivity S0 data of the GT detector 44, a sensitivity S0 value of the GT detector 44 after the predetermined time interval selected at present (here, is temporarily set as a second predetermined time interval) and a sensitivity S0 value of the GT detector 44 after the predetermined time interval longer than the second predetermined time interval (here, is temporarily set as a third predetermined time interval), are estimated by a linear extrapolation or a quadratic curve extrapolation, or are estimated by using a liner approximation, quadratic curve approximation or the xe2x80x9ca+bxc2x7exe2x88x92xcextxe2x80x9d curve approximation with the use of at least two or more latest time series data points from the present point of time of the sensitivity S0 of the GT detector 44. As a result of these estimations, in the case where it is estimated that the estimated change quantity of the sensitivity S0 of the GT detector 44 after the second predetermined time interval exceeds the third judgement value 1%, the first calibration time interval shorter than the second predetermined time interval is registered as the next heater calibration time interval in the memory unit 61. On the other hand, in the case where it is estimated that the estimated change quantity of the sensitivity S0 of the GT detector 44 after the third predetermined time interval does not exceed the third judgement value 1%, the third predetermined time interval longer than the second predetermined time interval is registered as the next heater calibration time interval in the memory unit 61. Moreover, in the case where it is estimated that although the estimated change quantity of the sensitivity S0 of the GT detector 44 after the second predetermined time interval does not exceed the third judgement value 1%, the estimated change quantity of the sensitivity S0 of the GT detector 44 after the third predetermined time interval exceeds the third judgement value 1%, the second predetermined time interval is registered as the next heater calibration time interval in the memory unit 61. Thereafter, automatic research process of each heater calibration time interval registered in the memory unit 61 is carried out in the GT detectors 44 discretely arranged in the axial direction of the identical GT assembly 35. Even in the event that in GT detector of the GT detectors 44 which are not bypass-registered, there is only one of GT heater calibration time interval having a short time interval, the heater calibration time interval of all GT detectors 44 of the corresponding GT assembly 35 is automatically corrected and registered as the aforesaid short heater calibration time interval in the memory unit 61. In the finally registered GT calibration time interval, in the case where the time interval is changed over from the previous heater calibration time interval to a new heater calibration time interval, concerning the GT assembly 35, the nuclear instrumentation control process module 60M1 has a function of flushing and displaying the corresponding heater calibration time interval on the heater calibration time interval registration image on the display unit 63 so as to give a caution to the operator. Then, the operator checks the flushing and displaying heater calibration time interval data referring to the trend graph of the output voltage sensitivity S0 of the GT detector 44 displayed on the display unit 63, and thus, can compare with the previous output voltage sensitivity S0. In the aforesaid manner, the final registration result of the GT heater calibration time interval registered in the memory unit 61 is processed by means of the nuclear instrumentation control process module 60M1, and then, is displayed on the display unit 63 so as to be informed of the operator. That is, when reaching a predetermined heater calibration time corresponding to the heater calibration time interval of the predetermined GT assembly 35, the nuclear instrumentation control process module 60M1 gives a warning for carrying out the GT heater calibration process to the operator via the display unit 61, and then, displays the target GT assembly 35 on the display unit 61 on the basis of the registration result. In this case, although a GT heater calibration timing is different every GT assembly, heater calibration of all GT detectors 44 is necessarily carried out before adjustment process of the LPRM detector sensitivity by the GT signal is carried out in a cycle start-up (low power) or in the vicinity of a rated reactor output after start-up. Whereby it is possible to unify a timing base point of the heater calibration time interval of each GT assembly 35. The GT heater heating calibration processing is carried out by the instruction from the process control computer 31 according to the same procedures as the first embodiment, and then, a newly obtained output voltage sensitivity S0 of the GT detector 44 is transmitted to the process control computer 31. The operator""s procedures after that is the same as the first embodiment. This second embodiment has described the case of estimating the output voltage sensitivity S0 of the GT detector 44 by extrapolating from at least two points of the output voltage sensitivity S0 of the latest GT detector 44, e.g., three points, by using a linear equation approximation, a quadratic equation approximation or xe2x80x9ca+bxc2x7excextxe2x80x9d equation approximation. The present invention is not limited to the structure, but the output voltage sensitivity S0 of the GT detector 44 may be estimated by extrapolating from four points of the output voltage sensitivity S0 of the latest GT detector 44 by using a cubic equation approximation or a least square approximation of other function. As described above, according to this second embodiment, the sensitivity S0 changes of the GT detector 44 in predetermined future time points are estimated from the latest S0 trend data with respect to the present sensitivity S0 of the GT detector 44. Then, in the case where the estimated change value for the present selected interval is larger than the third judgement value, the short time interval is registered as the GT heater calibration time interval; therefore, it is possible to flexibly cope with an unexpected output voltage sensitivity change of the GT assembly 35, and to prevent a power distribution accuracy by the GT assembly 35 from being deteriorated. Moreover, in the case where the GT heater calibration time interval is changed over from the previous time interval into a new time interval, the above change-over is informed via the display unit 63. Thus, it is possible to give a warning of inspection and caution for any failures to the operator, and to improve an efficiency of maintaining a reliability of the in-core instrumentation of the reactor. In addition, it is possible to automatically calculate the heater calibration time interval within a predetermined range from the minimum heater calibration time interval to the maximum heater calibration time interval so that the sensitivity change is limited within a predetermined sensitivity change (within a range of the third judgement value) in accordance with the estimated sensitivity change. According to this second embodiment, in the in-core fixed nuclear instrumentation system 30 of the reactor, the plurality of fixed neutron detectors (LPRM detectors) 37 for detecting the local power distribution of power range in the reactor core and fixed GT detectors 44 gamma-ray thermometer assembly 35 for detecting the xcex3-ray heating value, are housed in the nuclear instrumentation tube 33. Further, the in-core fixed nuclear instrumentation system 30 includes: the in-core nuclear instrumentation assembly 32 in which the GT detectors 44 are arranged at least in the vicinity of the fixed LPRM detectors 37; the LPRM signal processing unit 40 for processing the LPRM signal S2 from the LPRM detector 37; the GT signal processing unit 48 for processing the output voltage signal (GT signal) S1 from the gamma-ray thermometer assembly 35; the GT heater control unit 53 for carrying out an electrically energizing control with respect to the heaters 71 built in the GT assembly 35; and the nuclear instrumentation control process module 60M1 for simulating (computing) and storing the in-core elapse time or in-core irradiation quantity (burn-up quantity) of the GT assembly 35. The nuclear instrumentation control process module 60M1 controls the operations of the GT heater control unit 53 and the GT signal processing unit 48. During a reactor operation, when the heater wire of the built-in heater 71 is electrically energized by means of the GT heater control unit 53, the thermocouple output voltage increasing sensitivity of the fixed GT detector 44 of the GT assembly 35 with respect to heater heating (additional heating value), is measured by means of the GT signal processing unit 48 on the basis of a heating voltage and current of the built-in heater 71 (heater wire). Then, an output voltage sensitivity per unit heating value (W/g) by a gamma-ray is calibrated by means of the GT signal processing unit 48 on the basis of the previously measured (already known) heater resistance value and the mass (heating conversion mass) of the fixed GT detector 44. As the time interval of calibrating the output voltage sensitivity of the GT assembly 35, the nuclear instrumentation control process module 60M1 stores, when the GT assembly 35 is initially mounted in the core 3, the sensitivity time series data of each GT detector 44 computed by the GT signal processing unit 48 as the predetermined shortest time interval (for example, the first time interval) as the table with respect to the in-core elapse time in the memory unit 61. Furthermore, the nuclear instrumentation control process module 60M1 estimates and computes an output voltage sensitivity change curve from the latest two or more points time series data from the present point of time, and then, compares the output voltage sensitivity change value with the third judgement value set to a predetermined future time after the first time interval, and with the third judgement value set to a future time after the second time interval. In the case where the output voltage sensitivity change value does not exceed the third judgement value even after the longer second time interval, a heater heating calibration of each detector 44 is carried out at the predetermined second longer time interval. With the elapse of in-core elapse time, in a state that the heater calibration time interval of the GT assembly of a longer, e.g., a third time interval is set, the nuclear instrumentation control process module 60M1 estimates and computes the output voltage sensitivity change curve from the latest two or more points time series data from the present point of time, and then, compares the output voltage sensitivity changes with predetermined future time points, that is, the third judgement value set with respect to a future time after the third time interval and a future time after the fourth time interval. Then, the nuclear instrumentation control process module 60M1 carries out the following output voltage calibration process for controlling the GT heater control unit 53 and the GT signal processing unit 48. More specifically, the processes includes: 1) in the case where the output voltage sensitivity change does not exceed the third judgement value even after the longer fourth time interval, a heater heating calibration of each GT detector 44 is carried out at the predetermined fourth longer time interval; 2) in the case where the output voltage sensitivity change does not exceed the third judgement value after the predetermined third time interval, but exceeds the third judgement value after the predetermined longer fourth time interval, a heater heating calibration of each detector 44 is carried out at the predetermined third longer time interval; and 3) in the case where the output voltage sensitivity change exceeds the third judgement value after the longer third time interval, a heater heating calibration of each detector 44 is carried out at the predetermined shorter second time interval, or the maximum value of the time intervals previously set for satisfying the third judgement value (in this case, either of the first or second time interval). Moreover, the nuclear instrumentation control process module 60M1 outputs a warning signal to the display unit 63 at the point of time when the heater calibration time interval of the GT assembly 35 is changed over from the previous time interval into a new time interval, and thus, informs the change-over of heater calibration time interval of the operator. The following is a description on a third embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This third embodiment has the same construction and operation of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 as the first and second embodiments of the present invention. Like reference numerals are used to designate the same constituent components as the first embodiment (see FIG. 1 to FIG. 12), and the details are omitted. In the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 according to the third embodiment, discrimination processing of the GT assembly 35 for calibrating an output voltage sensitivity by heater heating of the fixed GT detector 44 is basically different from those shown in the first and second embodiments of the present invention. Namely, this third embodiment has been made in combination with the first and second embodiments of the present invention. The process control computer 31 is provided with the CPU 60 having the nuclear instrumentation control process module 60M1. The nuclear instrumentation control process module 60M1 has a function of computing a reactor operating time (in-core elapse time) after the GT assembly 35 is mounted in the core 3, and a function of storing the reactor operating time (in-core elapse time). Similarly to the first embodiment, a plurality of heater calibration time intervals {first heater calibration time interval (for example, 48 hours), second heater calibration time interval (for example, 165 hours), third heater calibration time interval (for example, 336 hours) and fourth heater calibration time interval (for example, 1000 hours)} are previously stored in the memory unit 61 so as to correspond to the in-core elapse times. In a state that there is no change in a normal operating parameter (the core state data including the reactor power level, the core cooling flow rate, the control rod pattern, etc.), in accordance with the elapse of time after being mounted in the core 3 (in-core elapse time in reactor operating mode), as described in the first embodiment, the nuclear instrumentation control process module 60M1, when executing the heater calibration, refers to the memory unit 61, thereby, automatically discriminating and selecting the GT assembly of heater calibration target from all GT assemblies 35 on the basis of the elapse time until the present from the previous calibration processing time of each GT assembly 35 and the heater calibration time interval corresponding to the computed present in-core elapse time of each GT assembly 35. Furthermore, the nuclear instrumentation control process module 60M1 executes a re-judgement process at each GT heater calibration process and registers the heater calibration time interval corresponding to in-core elapse time of the discriminated GT assembly 35 in the memory unit 61, and then, transmits the registered heater calibration time interval corresponding to the in-core elapse time corresponding to each GT assembly 35 to the display unit 63 so as to display the registered heater calibration time interval of each GT assembly 35 on the heater calibration time interval registration image of the display unit 63. Furthermore, the nuclear instrumentation control process module 60M1 automatically transmits a heater calibration processing execution instruction with respect to the GT assembly 35 selected as a heater calibration target, to the GT heater control unit 53 and the GT signal processing unit 48, or transmits a GT calibration instruction command transmission request with respect to the GT assembly 35 selected as a heater calibration target, to the display unit 63 so as to output and display the request onto the operator via the display unit 63. In the GT assembly 35 requiring the heater calibration process displayed on the display unit 63, heater calibration is carried out in a predetermined sequence by means of the GT signal processing unit 48 and the GT heater control unit 53 according to an (automatic or manual) instruction of the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31. That is, the GT heater control unit 53 starts to supply a power (applies a voltage) to the built-in heater 71 of the GT assembly 35 with time delay corresponding to a positional address of the transmitted heater calibration processing execution instruction, and then, controls the heater voltage to be applied to the built-in heater 71 so that a current value flowing through the built-in heater 71 becomes a predetermined value. Next, the GT heater control unit 53 measures the applied voltage value and the current value flowing through the built-in heater 71, and then, transmits the measured values to the GT signal processing unit 48. On the other hand, the GT signal processing unit 48 receives the heater calibration processing execution instruction (positional address) transmitted from the nuclear instrumentation control process module 60M1, and then, in accordance with the receiving timing, concurrently measures the non-heated thermocouple output voltage signal (mV) of each GT detector 44 of the GT assembly 35 having the positional address. Further, the GT signal processing unit 48 receives the heater applied voltage and the current measured value transmitted from the GT heater control unit 53, and then, in accordance with the receiving timing, concurrently measures the heated thermocouple output voltage signal (mV) of each GT detector 44 of the GT assembly 35 along the axial direction. Then, the GT signal processing unit 48 stores the non-heated and heated output voltage signals in each GT detector 44 of the measured heater calibration target GT assembly 35 in the memory unit 48B of the GT signal processing unit 48 for each GT detector 44. The above heater calibration process according to the heater calibration process execution instruction is continued while changing the positional addresses of the target GT assemblies until the heater calibration process to all of the target GT assemblies which have need of the heater calibration is finished. Further, the GT signal processing unit 48 can compute the sensitivity S0 of all GT detectors 44, and voltage and current of heater power supply of the heater calibration target GT assembly 35 on the basis of the stored no-heated and heated output voltage signals and heater power of each GT detector 44 according to the same procedures as the first embodiment. Then, the GT signal processing unit 48 stores the computed sensitivity S0 of each GT detector 44 in the memory unit 48B of the processing unit 48, and transmits the computed sensitivity S0 of each GT detector 44 to the nuclear instrumentation control process module 60M1 of the process control computer 31. The aforesaid sensitivity measurement process by the GT heater control unit 53 and the GT signal processing unit 48 on the basis of the heater calibration process execution instruction, is repeatedly carried out until process with respect to all heater calibration target GT assemblies 35 is completed. The nuclear instrumentation control process module 60M1 has a function of storing an elapse time change data of the output voltage sensitivity S0 of each GT detector 44 transmitted from the GT signal processing unit 48 by the above sensitivity measurement process of the GT detector 44. Further, the nuclear instrumentation control process module 60M1 estimates (simulates) an output voltage sensitivity change curve by a linear extrapolation or quadratic curve extrapolation on the basis of the time series data points, or estimates (simulates) a future output voltage sensitivity change curve by approximating the data points to a linear approximation equation, a quadratic curve approximate equation or to the least square approximation equation of a curve represented by the above equation (4) xe2x80x9ca+bxc2x7exe2x88x92xcextxe2x80x9d Then, the nuclear instrumentation control process module 60M1 simulates an estimated sensitivity change after a predetermined time interval (i.e., future time) to be automatically selected from the in-core elapse time at the present point of time from the point of the last heater calibration time. In the case where the sensitivity change estimation value thus computed is more than the third judgement value (e.g., 1%), regardless of the next heater calibration time interval determined from the in-core elapse time stored in the memory unit 61, the nuclear instrumentation control process module 60M1 carries out update and registration with respect to the memory unit 61 at a predetermined time interval shorter one stage than the time interval selected at the present point of time, or at the maximum time interval of a plurality of the heater calibration time intervals previously stored in the memory unit 61 such that the sensitivity change is kept within a range of the third judgement value. Moreover, in the case where the sensitivity change estimation value thus computed is less than the third judgement value (e.g., 1%), the nuclear instrumentation control process module 60M1 registers the next heater calibration time interval as a predetermined time interval determined from the in-core elapse time (e.g., the next heater calibration time interval determined from the in-core elapse time stored in the memory unit 61). Then, the nuclear instrumentation control process module 60M1 researches the heater calibration time intervals of the axial GT detectors 44 of the GT assembly 35, and in the case where the heater calibration time interval of any one of detectors 44 (in this case, excluding detector which is determined as being synthetically failure, and is bypassed-registered to the nuclear instrumentation control process module 60M1), is registered in the memory unit 61 at a shorter time interval, the nuclear instrumentation control process module 60M1 transmits a heater calibration instruction of each GT detector 44 to the GT signal processing unit 48 and the GT heater control unit 53 on the basis of the heater calibration time interval having the shortest heater calibration time interval. According to this third embodiment, the sensitivity S0 of the GT detector 44 is calibrated at a predetermined shorter first time interval when the GT assembly 35 is initially mounted in the core 3, and then, when a predetermined in-core elapse time elapses, the heater calibration time interval is updated and registered as a predetermined longer second heater calibration time interval corresponding to the in-core elapse time. Thereafter, according to the above procedure, in accordance with the elapse of the in-core elapse time, a longer heater calibration time interval is automatically selected, and then, is successively updated and registered. However, in the case where the GT detector sensitivity S0 change after the elapse of future time (equivalent to a GT heater calibration time interval selected according to the present in-core elapse time) estimated from the data trend (time change data) of GT detector sensitivity S0 on the latest two or more points, is more than the third judgement value (e.g., 1%), the heater calibration time interval is temporarily changed and registered to the shorter time interval. Thereafter, automatic research process of each heater calibration time interval registered in the memory unit 61 is carried out in the GT detectors 44 discretely arranged in the axial direction of the identical GT assembly 35. Then, in the case where even one of GT heater calibration time intervals having a shorter time interval is registered in the GT detectors 44 which are not bypass-registered, the heater calibration time interval of all GT detectors 44 of the corresponding GT assembly 35 is automatically corrected and registered as the short time interval in the memory unit 61. In the finally registered GT heater calibration time interval, in the case where the time interval is changed over from the previous heater calibration time interval into a new heater calibration time interval, concerning the corresponding GT assembly 35, the nuclear instrumentation control process module 60M1 has a function of flashing and displaying the corresponding heater calibration time interval data on the heater calibration time interval registration image on the display unit 63 so as to give a caution to the operator. Thus, the operator refers to the trend graph of the output voltage sensitivity S0 of the GT detector 44 displayed on the display unit 63 while checking the flashed and displayed heater calibration time interval and comparing the previous output voltage sensitivity S0. In the manner as described above, the final registration result of the GT heater calibration time interval registered in the memory unit 61 is displayed on the display unit 63 by means of the nuclear instrumentation control process module 60M1 so that the operator can see the result. More specifically, when reaching a predetermined heater calibration time corresponding to the heater calibration time interval of the predetermined GT assembly 35, the nuclear instrumentation control process module 60M1 gives a warning for carrying out the GT heater heating calibration process to the operator via the display unit 63, and then, displays the target GT assembly 35 on the display unit 63 on the basis of the aforesaid registration result. In the GT assembly 35 changed into the next calibration time interval shorter than the heater calibration time interval selected from the in-core elapse time, the sensitivity change until the next heater calibration time determined by the in-core elapse time at the present time after the next heater calibration, will be estimated, and then, in the case where the sensitivity change satisfies the third judgement value, the time interval will be returned to the heater calibration time determined by the in-core elapse time. On the other hand, in the case where the sensitivity change does not satisfy the third judgement value, the next heater calibration will be again carried out at the shorter time interval. The above GT heater heating calibration process is carried out by the instruction of the process control computer 31 according to the same procedures as the first embodiment, and then, a newly obtained output voltage sensitivity S0 of the GT detector 44 is transmitted to the process control computer 31. The operator""s procedures after that is the same as the first embodiment. As described above, according to this third embodiment, the sensitivity S0 change of the GT detector 44 in a predetermined future time is estimated from the latest S0 trend data with respect to the sensitivity S0 of the GT detector 44 at the present time, and in the case where the estimated change value is more than the third judgement value, a GT h eater calibration time interval having a short time interval is registered. Thus, it is possible to flexibly cope with an unexpected output voltage sensitivity change of the GT assembly 35, and to prevent a deterioration of power distribution measurement accuracy by the GT assembly 35. Moreover, in the case where the heater calibration time interval is changed over from the previous time interval into a new time interval, the above change-over is informed of the operator via the display unit 63. Thus, it is possible to give a warning of inspection and caution for any failures to the operator, and to improve an efficiency for maintaining a reliability of reactor in-core instrumentation. According to this third embodiment, in the in-core fixed nuclear instrumentation system 30 of the reactor, the plurality of fixed neutron detectors (LPRM detectors ) 37 for detecting the local power distribution of power range in the reactor core and fixed GT detectors 44 gamma-ray thermometer assembly 35 for detecting the xcex3-ray heating value, are housed in the nuclear instrumentation tube 33. Further, the in-core fixed nuclear instrumentation system 30 includes: the in-core nuclear instrumentation assembly 32 in which the GT dectectors 44 are arrange at least in the vicinity of the fixed LPRM detectors 37; the LPRM signal processing unit 40 for processing the LPRM signal S2 from the LPRM detector 37; the GT signal processing unit 48 for processing the output voltage signal (GT signal) S1 from the gamma-ray thermometer assembly 35; the GT heater control unit 53 for carrying out an electrically energizing control with respect to the heaters 71 built in the GT assembly 35; and the nuclear instrumentation control process module 60M1 for calculating (computing) and storing the in-core elapse time or in-core irradiation quantity (burn-up quantity) of the GT assembly 35. The nuclear instrumentation control process module 60M1 controls the operations of the GT heater control unit 53 and the GT signal processing unit 48. During a reactor operation, when the heater wire of the built-in heater 71 is electrically energized by means of the GT heater control unit 53, the thermocouple output voltage increasing sensitivity of the fixed GT detector 44 of the GT assembly 35 with respect to heater heating (additional heating value), is measured by means of the GT signal processing unit 48 on the basis of a heating voltage and current of the built-in heater 71 (heater wire). Then, an output voltage sensitivity per unit heating value (W/g) by a gamma-ray is calibrated by means of the GT signal processing unit 48 on the basis of the previously measured (already known) heater resistance value and the mass (heating conversion mass) of the fixed GT detector 44. In the case of calibrating the output voltage sensitivity of the GT assembly 35, in the nuclear instrumentation control process module 60M1, the in-core elapse time (or in-core irradiation quantity) of the GT assembly 35 is used as the parameter, and the heater calibration time interval is selected according to the plurality of heater calibration time intervals to be selected in accordance with the in-core elapse time previously stored in the memory unit 61, and thus, the heater calibration by heater heating is carried out via the GT signal processing unit 48 and the GT heater control unit 53. Further, the nuclear instrumentation control process module 60M1 stores the sensitivity time series data of each GT detector 44 computed by the GT signal processing unit 48, and estimates the sensitivity change curve from time series data of two or more latest points from the present point of time. In the case where the sensitivity change exceeds the third judgement value set with respect to a predetermined future time determined by the in-core elapse time, the time interval is changed into a calibration time interval having a short time interval, and the heater calibration is carried out by controlling the GT heater control unit 53 and the GT signal processing unit 48. The following is a description on a fourth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and power distribution monitoring system of the present invention. This fourth embodiment has the same structure and operation of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 as the first and second embodiments of the present invention. Like reference numerals are used to designate the same constituent components as the first embodiment (see FIG. 1 to FIG. 12), and the details are omitted. The reactor in-core fixed nuclear instrumentation system 30 and power distribution monitoring system 29 of this fourth embodiment are a modification of the first, second and third embodiments of the present invention. In the first, second and third embodiments of the present invention, a reactor operation elapse time (in-core elapse time) after the GT assembly 35 is mounted in the reactor core 3 has been used as the parameter in the GT heater calibration process of the sensitivity S0 of the GT detector 44. According to this fourth embodiment, the process control computer 31 has a function of computing a neutron irradiation quantity of the in-core nuclear instrumentation assembly 32. With the use of the function of computing the neutron irradiation quantity, the computed neutron irradiation quantity is used as the parameter in place of the in-core elapse time of the in-core nuclear instrumentation assembly 32. The computation of the in-core neutron irradiation quantity of each GT assembly 35 is carried out by means of the power distribution simulation process module 60M2 of the CPU 60 of the process control computer 31. More specifically, the power distribution simulation process module 60M2 carries out the computation of the in-core neutron irradiation quantity with the use of the three-dimensional nuclear thermal-hydraulics simulation code of the power distribution simulation program module PM2 which is a BWR three-dimensional simulator module. By using the power distribution simulation program module PM2, not only the in-core elapse time of the in-core nuclear instrumentation assembly 32 but also an elapse time change of thermocouple by a neutron irradiation is taken into consideration and is used as the parameter. In this case, in place of accurately carrying out the computation of the neutron irradiation quantity, an average burn-up increment of nodes surrounding the GT detector 44 is used as the parameter substantially proportional to the neutron irradiation quantity, and then, an accumulative burn-up increment of each GT detector 44 after being mounted in the core 3 may be used as the parameter. Thus, by the power distribution simulation module 60M2 of the process control computer 31, it is possible to more accurately reflect a sensitivity change of the GT detector 44 which varies by the in-core neutron irradiation. This fourth embodiment shows the modification of the first, second and third embodiments of the present invention. In the in-core fixed nuclear instrumentation system of this fourth embodiment, the nuclear instrumentation control process module 60M1 stores the in-core elapse time or in-core neutron irradiation quantity of each GT detector 44 of the each GT assembly 35, and then, evaluates the stored data so as to control the heater heating calibration of each GT detector 44. The following is a description on a fifth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This fifth embodiment is a modification of the first to fourth embodiments of the present invention. This fifth embodiment has the same construction and operation of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 as the first and second embodiments of the present invention. Like reference numerals are used to designate the same constituent components as the first embodiment (see FIG. 1 to FIG. 12), and the details are omitted. In the first to fourth embodiments of the present invention, at the point of time of carrying out the GT heater calibration, for example, the operator confirms that reactor operating mode parameters (the core state data S3 including the core power distribution, the core coolant flow rate, the control rod pattern, etc.) are constant, and then, in the state that the above core state data is constant, the GT heater calibration has been carried out. However, in fact, the GT assembly 35 measures a gamma-ray heating value; for this reason, a response characteristic of the GT assembly 35 does not reach an accurate fission rate, that is, a GT signal level proportional to an in-core local power unless a nuclide decay chain of gamma-ray source is in an equilibrium state. Therefore, even if the reactor operating mode is a fixed state, unless the state is continued for a predetermined time, an accurate GT signal level is not obtained. According to this fifth embodiment, the reactor core state data measuring device 55 detects core state changes such as the change of the core power distribution, the core coolant flow rate and the control rod pattern, and then, the nuclear instrumentation control process module 60M1 of the process control computer 31 makes a decision whether a predetermined time elapses after detecting the core state change, on the basis of the transmitted core state data D3. More specifically, the nuclear instrumentation control process module 60M1 stores the change point of time when the core state (the parameter such as the core state data D3) varies, in the memory unit 61, and then, according to the same process as the first to fourth embodiments, when it is determined that a present time is reached to a predetermined time for executing the GT heater calibration process, the nuclear instrumentation control process module 60M1 automatically makes a decision whether a predetermined necessary time elapses after the change point at which the above core state (the parameter) varies, on the basis of the core state data D3. Further, the nuclear instrumentation control process module 60M1 displays the result on the display unit 63, and the operator confirms that the heater calibration process of the GT assembly 35 is suitably carried out, and manually starts up the GT heater calibration process, or automatically starts up the GT heater calibration process after the predetermined necessary time is elapsed from the change point at which the above core state (the parameter) varies. In this structure of this embodiment, in a state that the GT signal level is in a non-equilibrium transient state, it is possible to prevent the sensitivity S0 of the GT detector 44 from being calibrated by additional heating of the built-in heater 71, thereby, preventing an inaccurate conversion of unit heating value (W/g) of gamma-ray heating from the output voltage (mV) signal by the GT detector. The following is a description on a sixth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This sixth embodiment has the same construction and operation of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 as the first embodiment of the present invention. Like reference numerals are used to designate the same constituent components as the first embodiment (see FIG. 1 to FIG. 12), and the details are omitted. The reactor power distribution monitoring system 29 of this sixth embodiment has the substantially same block configuration as shown in the reactor power distribution monitoring system of FIG. 1. More specifically, as shown in FIG. 1, the reactor pressure vessel 2 is housed in the primary containment vessel 1, and the reactor core 3 is housed in the reactor pressure vessel 2. As shown in FIG. 2 and FIG. 3, the reactor core 3 is constructed in a manner that a large number of fuel assemblies 4 and control rods 5 are mounted therein. In the reactor pressure vessel 2, the in-core nuclear instrumentation assembly 32 of the in-core fixed nuclear instrumentation system 30 is mounted in the fuel gap G between four fuel assemblies 4. The in-core fixed nuclear instrumentation assembly 32 includes the nuclear instrumentation tube 33, a plurality of (N) fixed neutron detectors (LPRM detectors) 37 constituting the neutron detector assembly 34 and a plurality (xe2x89xa7N) of fixed xcex3-ray heating detectors (GT detectors) 44 constituting the xcex3-ray heating detector assembly 35, which are housed in the nuclear instrumentation tube 33. Meanwhile, the in-core fixed nuclear instrumentation system 30 has the power range neutron flux measuring system 41 and the gamma thermometer power distribution measuring system 50. The power range neutron flux measuring system 41 is composed of the plurality of fixed LPRM detectors 37 mounted in the core 3 and the signal processing unit 40. On the other hand, the gamma thermometer power distribution measuring system 50 is composed of the GT assemblies 35 each having a plurality (xe2x89xa7N) of the GT detectors 44 and the GT signal processing unit 48. Thus, the in-core fixed nuclear instrumentation assembly 32 is provided with a detector group of the in-core fixed nuclear instrumentation system 30, and the in-core nuclear instrumentation assembly 32 including the fixed detector group measures neutron flux and the xcex3-ray heating value at predetermined measurement points in the core 3. Further, the in-core fixed nuclear instrumentation system 30 includes the gamma-ray thermometer control unit 53 for supplying the power to the built-in heater 71 of the GT assembly 35. The gamma-ray thermometer control unit 53 carries out a control for electrically energizing the built-in heater 71 of the GT assembly 35 via the power cable 54 so as to adjust and control a heater heating value. Moreover, in the reactor pressure vessel 2 or a primary system piping (not shown), a core state data measuring device 55 is provided. The core state data measuring device 55 measures core state data S3 (process quantity) including a control rod pattern, a core coolant flow rate, an internal pressure of the reactor pressure vessel, flow of feed water, a temperature of feed water (a core inlet coolant temperature) and so on, which are used as various operating parameters indicative of a reactor operating mode (state) of the reactor. Further, one part of the core state data measuring devices 55, which is inside of the vessel 1, is connected to a core state data processing unit 58 via a signal cable 57 penetrating through a penetration portion 56, and other part thereof, which is outside of the vessel 1, is connected via the signal cable 57 to the core state data processing unit 58, so that a process data measuring system 59 is constructed. In addition, the power distribution monitoring system 29 of this embodiment is provided with a process control computer 31. The process control computer 31 inputs the following data: more specifically, the GT data D1 (W/g signal) obtained by signal processing of the GT signal processing unit 48 based on the GT signal S1 detected by the GT detector 44; the LPRM data D2 obtained by signal processing of the LPRM signal processing unit 40 based on the LPRM signal S2 detected by the LPRM detector 27; and the core state data D3 obtained by signal processing of the core state data signal processing unit 58 based on the core state data signal S3 measured by the core state data measuring device 55. Further, the process control computer 31 simulates the reactor power distribution, and thus monitoring and controlling the in-core nuclear instrumentation system 30. The process control computer 31 includes the CPU 60 having the power distribution simulation process module 60M2. The process module 60M2 is operative to input the core state data D3, and to simulate the neutron flux distribution in the core 3, the power distribution therein and the margin with respect to the operational thermal limit value by executing the three-dimensional nuclear thermal-hydraulics simulation according to the physical model (three-dimensional nuclear thermal-hydraulics simulation code) of the program module PM2 stored in the memory unit 61 of the process control computer 31. Furthermore, the CPU 60 includes: the power distribution simulation process module 60M2 which corrects the power distribution simulation result of the process module 60M1 by referring the GT data D1 (W/g signal) or the LPRM data D2, and thus, obtains the core power distribution reflecting the actually measured data in the core 3 and having a high reliability; and the nuclear instrumentation control process module 60M1 for monitoring and controlling the in-core nuclear instrumentation system 30. In addition, the process control computer 31 has the memory unit 61, the input console and the display unit 63. The GT assembly 35 incorporated into the in-core fixed nuclear instrumentation system 30 of this sixth embodiment has the same structure as the GT assembly shown in FIG. 2, FIG. 3, FIG. 5 and FIG. 6 described in the first embodiment. By the way, the in-core power distribution simulation of the reactor, in which the large number of fuels assemblies 4 closely stand in the core 3, is carried out by the power distribution simulation process module 60M2 of the process control computer 31 according to the so-called three-dimensional nuclear thermal-hydraulics simulation. Then, the power distribution simulation process module 60M2 displays the in-core power distribution, the operational limit value {the MLHGR(kW/m) and the MCPR} of core fuel, the margin with respect to the operational limit value, to the operator via the display unit 63. According to this sixth embodiment, the core state data signal S3 indicative of the present core state obtained from the core state data measuring device 55 in the core 3 is collected by the core state data processing unit 58 (there is the case where it is carried out by the process control computer 31), and then, the reactor thermal output, the core inlet coolant temperature and so on are simulated. The core state data D3 including the simulation result of the reactor thermal output and so on is transmitted to the power distribution simulation module 60M2 of the CPU 60 of the process control computer 31 via the signal interface function of the nuclear instrumentation control process module 60M1 of the CPU 60. The process control computer 31, which monitors the reactor operating mode and the core power distribution, always continuously receives the core state data D3 (the parameters showing the state in the core 3), and then, the power distribution simulation process module 60M2 periodically (e.g., one time per hour) or always caries out the core power distribution simulation (three-dimensional nuclear thermal-hydraulics simulation) on the basis of the latest operating parameters of the reactor (the core state data D3) and the three-dimensional nuclear thermal-hydraulics simulation code of the program module PM2 in accordance with the simulation request command inputted by operating the input console 62 by the operator. Then, the process control computer 31 (the power distribution simulation process module 60M2) corrects the core power distribution obtained by the above three-dimensional nuclear thermal-hydraulics simulation of the process module 60M2 on the basis of the GT data D1 (W/g signal) or the LPRM data D2 at the point of time of the core power distribution simulation, thereby calculating the in-core power distribution and the margin with respect to the operational thermal limit value, which have high accuracy and high reliability. In addition, in this sixth embodiment, the axial in-core power distribution is adapted so as to be corrected with the GT data (W/g) converted by the GT signal processing unit 48 on the basis of the GT signals S1 detected by the GT detectors 44 having the number (e.g., the same number as LPRM detectors 37, that is, four or more) less than 24 nodes (there is the case of 12 nodes or 26 nodes) and the three-dimensional nuclear thermal-hydraulics simulation code. Related to some nodes in the axial direction at which the GT detector 44 is provided, difference between each simulation value of xcex3-ray heating values of each axial node of each GT assembly 35 and each actual measured value (GT data D1 value) thereof is obtained by ratio. Then, by the power distribution simulation process module 60M2 of the CPU 60, data indicative of differences (ratios) between the respected actual xcex3-ray heating values (GT data D1 values) of the GT detectors 44 having the limited number in the core axial direction and the respected simulation values of the xcex3-ray heating values corresponding to the GT detectors 44 are interpolated and extrapolated in other (remained) nodes in the axial direction, respectively, wherein the GT detector 44 is not provided at the other nodes in the axial direction, thereby obtaining the correction data of the xcex3-ray heating value differences with respect to the whole axial nodes. Incidentally, in addition to interpolation and extrapolation in the axial direction, it is possible to interpolate and extrapolate the xcex3-ray heating value difference corrections (correction ratios; correction factors) with respect to radial positions at which the GT assemblies are not provided along a core radial direction. In the case of carrying out the above power distribution learning correction, when capturing (gathering) the GT data D1 (W/g) computed by the GT signal processing unit 48 on the basis of the GT signal S1 for the power distribution simulation process module 60M2, the nuclear instrumentation control process module 60M1 of the CPU 60 makes a decision whether or not a predetermined time, e.g., one hour or more elapses after the parameter (core state data S3) indicative of the core state varies, on the basis of the core state data D3. As a result, in the case where the predetermined time does not elapse, the nuclear instrumentation control process module 60M1 of the CPU 60 outputs a warning indicative that the predetermined time does not elapsed to the display unit 63, and thus, informs the result of the operator via the display unit 63. On the other hand, regardless of the aforesaid state that the predetermined time elapses or the state that the predetermined time does not elapse, the power distribution simulation process module 60M2 of the process control computer 31 carries out the three-dimensional nuclear thermal-hydraulics simulation so as to simulate the in-core power distribution, and then, the process module 60M2 learns and corrects the simulated in-core power distribution with the use of the GT data D1 based on the GT signal S1. At this time, in the case where the in-core power distribution is obtained by adapting and correcting it by the GT data D1 based on the GT signal S1 measured in a state that the predetermined time does not elapse, that is, the GT data D1 based on the GT signal S1 of non-equilibrium state, the nuclear instrumentation control process module 60M1 of the CPU 60 outputs a warning indicative of the power distribution adapting correction result based on the GT signal of non-equilibrium state to the display unit 63 so as to be displayed thereon thereby informing the warning to the operator. The power distribution adapting process (method) by the power distribution simulation process module 60M2 of the process control computer 31 is substantially the same as the contents described in the specification and drawings in the U.S. patent application Ser. No. 09/271,350. Thus, in FIG. 13, there is shown a schematically flow chart of the core power distribution simulating process and the power distribution adapting correction process of the CPU 60. More specifically, as shown in FIG. 13, the power distribution simulation process module 60M2 of the CPU 60 of the process control computer 31 carries out the three-dimensional nuclear thermal-hydraulics simulation based on the core state data D3 and the three-dimensional nuclear thermal-hydraulics simulation code of the program module PM2, and thus, an in-core power distribution Pn (I, J, K) is simulated (step S51). In this case, an additional character (I, J, K) denotes a position of each node of the fuel assembly, and n denotes iteration number during a core power distribution simulation at the present iteration. Next, the power distribution simulation process module 60M2 makes a decision whether or not a difference between a node core power distribution Pn (I, J, K) at the present iteration (n) and node core power distribution Pnxe2x88x921 (I, J, K)at the previous iteration (nxe2x88x921) is less than a predetermined (fixed) value (step S52). If the decision of step S52 is YES, the process module 60M2 calculate an operational thermal limit value (minimum critical power ratio: MCPR, and maximum linear heat generating ratio: MLHGR) and a margin based on the operational thermal limit value so as to output the operational thermal limit value and so on to the display unit 63 so as to be displayed thereon (step S53). If the decision of step S52 is NO, that is, when the simulation process of the core power distribution is not sufficiently repeated, the power distribution simulation process module 60M2 determines a simulation value {Wc (I, J, K)} of xcex3-ray heating value on the basis of the simulated core power distribution (step S54). Meanwhile, as described above, the GT signal processing unit 48 reads the thermocouple output voltage signal Uxcex3(I, J, K) detected from the GT detector 44 (step S55), and then, the read thermocouple output voltage signal Uxcex3(I, J, K) is converted into the gamma-ray heating value Wm (I, J, K) (corresponding to GT data D1)(step S56). At this time, the power distribution simulation process module 60M2 determines difference data (xcex3-ray heating value difference correction data) between the calculated simulation value {Wc (I, J, K)} of xcex3-ray heating value and the gamma-ray heating value Wm (I, J, K). Then, the difference data is interpolated and extrapolated in each node of the core axial direction, and thus, xcex3-ray heating value difference correction data BCFIJK with respect to all axial nodes are determined (step S57). In the power distribution simulation process module 60M2, the simulated reactor power distribution on the way of final converged value Pn (I, J, K) is corrected {Pn (I, J, K)xe2x86x92Pxe2x80x2n (I, J, K)} so that they xcex3-ray heating value difference correction data (corrective coefficient) BCFIJK with respect to all axial nodes are xe2x80x9c1.0xe2x80x9d, that is, the simulation value {Wc (I, J, K)} of the xcex3-ray heating value in each node and the gamma-ray heating value Wm (I, J, K) are coincident with each other. A correction ratio {power distribution correction quantity (adapting correction quantity)} for each fuel assembly node at this iteration is stored in the memory unit 61 of the process control computer 31 (step S58). Then, in the process module 60M2, an adjustment factor of the three-dimensional nuclear thermal-hydraulics code (physical model) is guessed in accordance with Pxe2x80x2n (I, J, K) corrected by the gamma-ray heating value Wm (I, J, K) (GT data value) based on the actually measured GT signals (step S59), after the step S59, the process of the process module 60 returns to step S51. Then, the above iteration processes of the step S51 to step S59 of the process module 60M2 are repeated until the judgement of step S52 is YES. Finally, when the judgement of step S52 is YES, the corrected results including the corrected core power distribution, the operational thermal limit value (MCPR, MLHGR) and so on are obtained (step S53). As described above, the amendment of the adjustment factor of the repetition simulation is executed according to the three-dimensional nuclear thermal-hydraulics simulation code (physical model) so that the power distribution simulation process module 60M2 carries out the power distribution simulation after the next iteration (n+1 iteration) (see step S51), and thus, when the step S52 is converged so that the judgement of the step S52 is YES, a core power distribution having a high accuracy is obtained. Incidentally, as a another adapting correction process of the CPU 60, FIG. 14 shows a modification of the flow chart of FIG. 13. That is, as shown in FIG. 14, the power distribution simulation process module 60M2 of the CPU 60 of the process control computer 31 carries out the three-dimensional nuclear thermal-hydraulics simulation with iteration method based on the core state data D3 and the three-dimensional nuclear thermal-hydraulics simulation code of the program module PM2 so as to simulate the in-core power distribution Pn (I, J, K) (step S51 in FIG. 14). Next, the power distribution simulation process module 60M2 makes a decision whether or not a difference between a node core power distribution Pn (I, J, K) at the present iteration (n) and node core power distribution Pnxe2x88x921 (I, J, K) at the previous iteration (nxe2x88x921) is less than a predetermined (fixed) value (step S52). When the decision of step S52 is NO, in a case where the simulation process of the core power distribution is not sufficiently repeated, the process of the process module 60 returns to step S51, and the power distribution simulation process module 60M2 carries out next (n+1) iteration three-dimensional nuclear thermal-hydraulics simulation so as to simulate (n+1) iteration in-core power distribution Pn+1 (I, J, K). On the other hand, when the decision of step S52 is YES, the process module 60M2 determines a simulation value {Wc (I, J, K)} of xcex3-ray heating value on the basis of the simulated core power distribution (step S54). Meanwhile, as described above, the GT signal processing unit 48 reads the thermocouple output voltage signal Uxcex3(I, J, K) detected from the GT detector 44 (step S55), and then, the read thermocouple output voltage signal Uxcex3(I, J, K) is converted into the gamma-ray heating value Wm (I, J, K) (corresponding to GT data D1)(step S56). At this time, the process module 60M2 determines difference data (xcex3-ray heating value difference correction data) between the determined simulation value {Wc (I, J, K)} of xcex3-ray heating value and the gamma-ray heating value Wm (I, J, K). Then, the difference data is interpolated and extrapolated in each node of the core axial direction, and thus, xcex3-ray heating value difference correction data BCFIJK with respect to all axial nodes are determined (step S57). In the process module 60M2, the simulated reactor power distribution Pn (I, J, K) is corrected {Pn (I, J, K)xe2x86x92Pxe2x80x2n (I, J, K)} so that the xcex3-ray heating value difference correction data (corrective coefficient) BCFIJK with respect to all axial nodes are xe2x80x9c1.0xe2x80x9d, that is, the simulation value {Wc (I, J, K)} of the xcex3-ray heating value in each node and the gamma-ray heating value Wm (I, J, K) are coincident with each other. A correction ratio {power distribution correction quantity (adapting correction quantity)} for each fuel assembly node at this time is stored in the memory unit 61 of the process control computer 31 (step S58), the process of the CPU 60 returns to step S53. The power distribution simulation process module 60M2 obtains the operational thermal limit value (MCPR, MLHGR) and so on according to the basis of the corrected core power distribution (step S53). As a result of that, when the step S52 is converged so that the judgement of the step S52 is YES, a core power distribution having a high accuracy is obtained. Incidentally, in this modification, the corrected core power distribution is contradicted to a neutron flux distribution, but the adapting correction process shown in the modification is one process in a large number of adapting correction processes. Still furthermore, FIG. 15 is an explanatory view of interpolating and extrapolating the ratios (symbol E in FIG. 15) of the simulated GT signal levels on the positions where the GT detectors 44 are provided to the actually measured GT signal levels in the axial 24 nodes. In this case, a linear interpolation is carried out, and both ends are extrapolated as ratios of GT detectors 44 on upper and lower ends being kept constant. That is, in FIG. 15, a symbol F represents a result of the approximation line of the linear interpolation. Moreover, the interpolation and extrapolation may be a quadratic curve. On the other hand, in this embodiment, the nuclear instrumentation control process module 60M1 of the CPU 60 initializes elapse time counter (step S70A), and counts the elapse time (step S70B). Next, the CPU 60 judges whether or not the core state change is detected by the core state data (step S70C). In the case where the core state change is detected, that is, the judgement of step S70C is YES, the CPU 60 returns to a process of step S70A. In the case where the core state change is not detected, that is, the judgement of step S70C is NO, the CPU 60 judges whether or not the LPRM detector gain adjustment instruction is requested by the input console 62 (step S70D). In the case where the LPRM detector gain adjustment instruction is not requested, that is, the judgement of step S70D is NO, the CPU 60 returns to a process of step S70B. On the other hand, in the case where the LPRM detector gain adjustment instruction is requested, that is, the judgement of step S70D is YES, the process module 60M1 makes a decision whether or not a predetermined time, e.g., one hour or more elapses after the parameter (the core state data S3) varies (step S71). In the case where the predetermined time, for example, one hour, elapses, that is, the judgement of step S71 is YES, the process module 60M1 confirms that the predetermined time elapses so as to transmit an adjustment execution instruction of at least one of a sensitivity and a gain of the LPRM detector 37 including the gamma-ray heating value Wm (I, J, K) (GT data value) of each node to the LPRM signal processing unit 40 via the nuclear instrumentation control process module 60M1, periodically or in accordance with the operator""s operating instruction via the input console 61 (step S72). The LPRM signal processing unit 40 sets at least one of the sensitivity and the gain of each LPRM detector 44 to a value corresponding to the gamma-ray heating value Wm (I, J, K) (Unit: W/g) on the identical node position, or to a value proportional thereto, in accordance with the transmitted adjustment execution instruction. On the other hand, in the case where the predetermined time does not elapse after detecting the core state change, that is, the judgement of step S71 is NO, the process module 60M1 of the CPU 60 does not carry out the LPRM detector sensitivity and gain adjustment process, that is, does not transmit the adjustment execution instruction to the LPRM signal processing unit 40 at this point of time, and waits until the next predetermined time (period) adjustment (or the next adjustment instruction is transmitted by the operator) or until the above predetermined time, (e.g., one or more hour) elapses (step S73). In the case of waiting, the process module 60M1 outputs an information representing a waiting state as a warning to the operator via the display unit 63. As described above, according to this sixth embodiment, the operator is prevented from unnoticeably using an error caused by power distribution adapting by the GT detection signal obtained in a state (non-equilibrium state) which does not reach an equilibrium state of gamma decay chain in which the output signal level of the GT detector 44 of the GT assembly 35 accurately corresponding to the core power distribution. Thus, it is possible to improve a reliability of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31. Furthermore, the adjustment of at least one of the sensitivity and the gain of the LPRM detector 37 is prevented from being carried out with the use of the GT signal of the aforesaid non-equilibrium state; therefore, it is possible to further improve a reliability of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31. According to this sixth embodiment, in the in-core fixed nuclear instrumentation system 30, a plurality of fixed neutron detectors (LPRM detectors) 37 for detecting a local power distribution of power range in the reactor core 3 and fixed GT detectors 44 gamma-ray thermometer assembly 35 for detecting a xcex3-ray heating value, are housed in the nuclear instrumentation tube 33. Further, the in-core fixed nuclear instrumentation system 30 includes: the in-core nuclear instrumentation assembly 32 in which the GT detectors 44 are arranged at least in the vicinity of the fixed LPRM detectors 37; the LPRM signal processing unit 40 for processing the LPRM signal S2 from the LPRM detector 37; the GT signal processing unit 48 for processing the output voltage signal S1 from the gamma-ray thermometer assembly 35; the GT heater control unit 53 for carrying out an electrically energizing control with respect to the heaters 71 built in the GT assembly 35; the core state data measuring device 55 for detecting core state data indicative of the core states such as a reactor power level, core coolant flow rate, control rod pattern or the like; and the nuclear instrumentation control process module 60M1 of the CPU 60 for calculating and storing the in-core elapse time or in-core irradiation burn-up of the GT assembly 35 in the memory unit 61. The nuclear instrumentation control process module 60M1 makes a decision whether or not the predetermined time elapses after detecting the core state change in accordance with the core state data while outputting the result to the display unit 63 so as to inform it of the operator as a warning. Further, the nuclear instrumentation control process module 60M1 has an interface function of the process control computer 31, and gathers the GT data D1 (W/g signal) based on the GT signal S1 outputted from the GT assembly 35. Then, the power distribution simulation process module 60M2 simulates a reactor power distribution with the use of the gathered GT data D1, and corrects the power distribution result based on the physical model so as to obtain a core power distribution reflecting the actually measured data and having a high reliability. Furthermore, the nuclear instrumentation control process module 60M1 transmits the adjustment instruction of at least one of the sensitivity and the gain of the LPRM detector 37 to the LPRM signal processing unit 40 so as to execute the adjustment of at least one of the sensitivity and the gain of the LPRM detector 37 with the use of the GT data D1 (xcex3-ray heating value; W/g signal) based on the GT signal S1 from the GT detector 44 of the same core axial position as the LPRM detector 37 in the identical in-core nuclear instrumentation tube 33. The following is a description on a seventh embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This seventh embodiment shows a modification of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31, which have been described in the sixth embodiment. In the sixth embodiment, the adjustment of at least one of the sensitivity and the gain of the LPRM detector 37 has been carried out so as to coincide with the GT data (w/g detection signal) of the GT detector 44 located on the same position as the LPRM detector 37. On the contrary, in this seventh embodiment, the memory unit 61 of the process control computer 31 stores a predetermined correlation equation data showing a relationship between a reading value (simulation value) of the LPRM detector 37 and nodal power of the fuel nodes around the LPRM detector, wherein the correlation equation data has a correlation parameter including a fuel type (enrichment distribution in a horizontal cross section, Gd distribution design type), control rod inserted state, node burn-up, historical node void fraction, instantaneous node void fraction, etc.}. Then, in the process control computer 31, the CPU 60 (the power distribution simulation process module 60M2), as shown in FIG. 17, receives the request for executing the LPRM data gain adjustment transmitted from the input console S80. Then, in the case where it is determined that the GT signal is in an equilibrium state on the basis of the core state data (the judgement of step S81 is YES), the CPU 60 simulates and corrects the three-dimensional power distribution in the core 3 by using the GT data D1 (W/g signal) based on the GT signal S1 detected by each GT detector 44 of the GT assembly 35 (step S82). In accordance with the simulated result of the power distribution and the correlation equation data, the CPU 30 of the process computer 31 simulates a response value of the LPRM detector 37 on the basis of fuel nodal power around the LPRM detector 37 obtained by the simulated result of the power distribution (step S83). The process module 60M1 of the CPU 60 compares the simulated response value of the LPRM detector 37 and the actually measured LPRM data D2 value (step S84) so as to simulate a gain adjustment factor (RGAFL; where L represents an address of the LPRM detector 37) related to at least one of the sensitivity and the gain of the LPRM detector 37 so that the actual detection value (actually measured LPRM data D2 value) of the LPRM detector 44 coincides with the transmitted simulated response value thereof (step S84). Next, the process module 60M1 transmits the gain adjustment factor RGAFL and an adjustment instruction of at least one of the sensitivity and the gain of the LPRM detector 37 to the LPRM signal processing unit 40, respectively. The LPRM signal processing unit 40 adjusts the actually measured LPRM data D2 so as to multiply the actually measured LPRM data D2 by the gain power factor RGAFL or by an integration gain adjustment factor GAFLn. The integration gain adjustment factor GAFLn by which the actually measured LPRM signal S2 is multiplied is obtained described above. GAFLn=GAFL(nxe2x88x921)xc2x7RGAFL xe2x80x83xe2x80x83(5) where, the GAFL(nxe2x88x921) represents (nxe2x88x921) time integration gain adjustment factor and the GAFL represents n time integration gain adjustment factor. As described above, by multiplying the last time GAFL(nxe2x88x921) by the RGAFL, it is possible to determine the gain adjustment factor (the GAFLn) by which the LPRM signal S2 is multiplied so as to obtain the LPRM data D2. Incidentally, the integration gain adjustment factor the GAFLn by which the LPRM signal S2 is multiplied is referred to xe2x80x9cfirst gain adjustment factorxe2x80x9d and the above gain adjustment factor RGAFL is referred to xe2x80x9csecond gain adjustment factorxe2x80x9d. The first gain adjustment factor is stored in the memory unit of the LPRM signal processing unit 40, and further, may be transmitted to the process control computer 31. The details of the correlation parameter used in the response simulation of the LPRM detector 37 are omitted because the correlation parameter is an already-known technique. In this sixth and seventh embodiments, in the case where it is determined that the GT detection signal S2 (GT data D2) does not reach an equilibrium signal level; namely, in the case where the nuclear instrumentation control process module 60M1 confirms that the predetermined time (e.g., one hour or more) does not elapse after the core state parameter (core state data D3) varies (the judgement of step S81 is NO), the process module 60M1 of the CPU 60 outputs a non-equilibrium warning of the GT detection signal to the display unit 63 so as to be displayed thereon and executes the process described in step S73 in FIG. 16, and, in the case where the judgement of step S81 is YES, the process module 60M2 carries out a power distribution adaptive simulation is carried out by the process module 60M2 on the basis of the detected GT signals. At this time, the process module 60M2 of the CPU 60 carries out the adaptive simulation on the basis of the GT data D1 of non-equilibrium state so as to correct the simulated core power distribution. In addition, the process module 60M2 of the CPU 60 may execute the adaptive simulation with the use of LPRM signals which will be described later in ninth and tenth embodiments, so as to correct the core power distribution. Further, it is possible to carry out the adaptive simulation with the use of GT signals predicted by a GT signal prediction function which will be described later in an eleventh embodiment so as to correct the core power distribution. The process module 60M2 of the CPU 60 may select either of the above correcting simulation processes. In the adjustment of at least one of the sensitivity and the gain of the LPRM signal, in the case where the adjustment is periodically and high frequently carried out (e.g., one time per hour in a state that the core state does not vary more than one hour), the data D1 to D3 captured via the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 is transmitted to the power distribution simulation module 60M2 by interface process of the process module 60M1. At this time, the power distribution simulation process module 60M2 simulates the second gain adjustment factor RGAFL by which the LPRM data D2 transmitted from the LPRM signal processing unit 40 is multiplied, so as to store the second gain adjustment factor RGAFL in the memory unit 61 without transmitting the second gain adjustment factor RGAFL to the LPRM signal processing unit 40. Therefore, in the LPRM signal processing unit 40, no sensitivity or gain adjustment process is carried out with the use of the newly first gain adjustment factor; on the other hand, in the power distribution simulation process module 60M2, the adjustment of at least one of the sensitivity and the gain of the LPRM detector 37 is carried out on the basis of the second gain adjustment factor RGAFL. Then, after the core power distribution greatly varies, and for example, one hour or more elapses, and thereafter, in the case where the response simulated value of the LPRM signal by the three-dimensional nuclear thermal-hydraulics simulation is shifted from the actually measured value of the LPRM data D2 processed by the LPRM signal processing unit 40 more than a predetermined ratio (e.g., 20%), or only in the case where a predetermined time, e.g., 1000 hours or more elapses, the second gain adjustment factor RGAFL is transmitted from the CPU 60 of the process control computer 31 to the LPRM signal processing unit 40 so that newly first gain adjustment factor GAFLn is obtained by multiplying the last first gain adjustment factor GAFLnxe2x88x921 by the transmitted second gain adjustment factor RGAFL. Therefore, the adjustment of at least one of the sensitivity and the gain of the LPRM data D2 is carried out by the LPRM signal processing unit 40 with the use of the newly first gain adjustment factor GAFLn. Then, the following method is considered; more specifically, at the point of time when the adjustment of at least one of the sensitivity and the gain is carried out with the use of the first gain adjustment factor GAF no the second gain adjustment factor RGAFL is zero-cleared to 1.0 (means that the read LPRM data D2 is used as it is). By doing so, it is possible to reduce the adjustment frequency of at least one of the sensitivity and the gain of the LPRM data D2 in the LPRM signal processing unit 40, to reduce a bypass time of the LPRM assembly 34 which is a part of the safety protection system with respect to the reactor, and to execute an adjustment of the safety protection system under surveillance and control by the operator. According to this seventh embodiment, in the in-core fixed nuclear instrumentation system 30, a plurality of fixed neutron detectors (LPRM detectors) 37 for detecting a local power distribution of power range in a reactor core and fixed GT detectors 44 gamma-ray thermometer assembly 35 for detecting a xcex3-ray heating value, are housed in the nuclear instrumentation tube 33. Further, the in-core fixed nuclear instrumentation system 30 includes: the in-core nuclear instrumentation assembly 32 in which the GT detectors 44 are arranged at least in the vicinity of the fixed LPRM detectors 37; the LPRM signal processing unit 40 for processing the LPRM signal S2 from the LPRM detector 37; the GT signal processing unit 48 for processing the output voltage signal S1 from the gamma-ray thermometer assembly 35; the GT heater control unit 53 for carrying out an electrically energizing control with respect to the heaters 71 built in the GT assembly 35; the core state data measuring device 55 for detecting core state data indicative of the core states such as a reactor in-core power level, core coolant flow rate, control rod pattern or the like; and the nuclear instrumentation control process module 60M1 for simulating and storing the in-core elapse time or in-core irradiation burn-up of the GT assembly 35. The nuclear instrumentation control process module 60M1 receives the core states such as a reactor in-core power level, core coolant flow rate, control rod pattern, etc., which are outputted from the core state data measuring device 55 and are processed by the core state data processing unit 58, and then, makes a decision whether or not the predetermined time elapses after detecting the core state change in accordance with the core state data while outputting the result to the display unit 63 so as to inform it of the operator as a warning. Further, the nuclear instrumentation control process module 60M1 outputs the result to the display unit 63 so as to inform it of the operator. Moreover, the power distribution simulation process module 60M2 learns and simulates a core power distribution by the GT data (gamma heating value) computed based on the GT signal detected by the fixed GT detector 44 and the three-dimensional nuclear thermal-hydraulics simulation model, and simulates a reading value (simulated value) of each LPRM detector 37 from the core power distribution. Further, the power distribution simulation process module 60M2 compares the reading value with the actually reading value at present (actual detected value), and thus, carries out an adjustment of at least one of the sensitivity and the gain of each LPRM detector 37. In the case where the conventional nuclear instrumentation system uses the TIP, when using the LPRM detector signal D2 as auxiliary means of the power distribution monitoring system, there is a difference between a correlation of fuel nodal power around the GT detection portion of the GT signal D1 and a correlation of fuel nodal power around the LPRM detection portion of the LPRM signal D2. In particular, it is found that the LPRM detection signal D2 strongly depends upon an output of a corner fuel rod on the nuclear instrumentation tube 33 side of the fuel assembly 4, as compared with the GT signal D1. Therefore, by operating the fuel rod 5, in the case where a power distribution on a cross section of the fuel assembly 4 adjacent to the nuclear instrumentation tube 33 is greatly different between the control rod side and the nuclear instrumentation tube side, the GT signal change and the LPRM signal change have no proportional relationship. However, in the sixth and seventh embodiments, a behavior of the LPRM detection signal is proportional to a thermal neutron flux in the actual LPRM detection portion; therefore, very fast response is noticeable. For this reason, in the power distribution after operating the control rod 5, even if the GT signal becomes an equilibrium state, the LPRM signal always coincides with a thermal neutron level at a position of the nuclear instrumentation tube. Thus, a power distribution learning simulation is carried out on the basis of the LPRM signals, and thereby, there is a merit such that no delay of response is caused with respect to a change of local power. This embodiment will be described hereinafter in ninth and tenth embodiments detailedly. The following is a description on an eighth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This eighth embodiment shows a modification of the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31, which have been described in the sixth and seventh embodiments. In the eighth embodiment, a method for adjusting at least one of the sensitivity and the gain of the LPRM detector 37 is different from the above sixth and seventh embodiments, and other construction is the substantially same as those; therefore, the details are omitted. The LPRM signal processing unit 40 has a function of adjusting (calibrating) at least one of the sensitivity and the gain of each LPRM detector 37. The adjusting method based on the adjusting function of the LPRM detector 37 includes tow kinds, that is, a first adjusting method and a second adjusting method. In the case of adjusting at least one of the sensitivity and the gain of each LPRM detector 37, the first adjusting method by the LPRM signal processing unit 40 comprises the following steps of: dividing the large number of LPRM detectors 37 into a plurality of APRM channels or LPRM groups (there is an LPRM detector which is not captured in the APRM channels depending upon a design); automatically selecting a predetermined LPRM detector 37 from each APRM channel or each LPRM group by an instruction signal from the nuclear instrumentation control process module 60M1 according to the maximum bypass number. condition of the LPRM detector allowable in the operation each LPRM detector 37 belonging to each APRM channel or each LPRM group so as to change into a bypass state (bypass mode); adjusting at least one of the sensitivity and the gain of the selected LPRM detector 37 of the bypass mode; and returning the LPRM detector 37 of the bypass mode after being adjusted to a normal mode. Therefore, the adjustment of at least one of the sensitivity and the gain of each LPRM detector 37 is almost simultaneously carried out in the LPRM signal processing unit 40 in accordance with the instruction from the nuclear instrumentation control process module 60M1 by the number of xe2x80x9c{number of APRM channels (or number of LPRM groups)xc3x97(number of maximum allowable LPRM detector bypasses)}xe2x80x9d, and is carried out without the bypass of each APRM channel or each LPRM group itself. The LPRM adjustment is carried out with respect to all LPRM detectors 37, and thereafter, the gain adjustment of each APRM channel need to be carried out by way of precaution. In order to make a confirmation, the CPU 60 of the process control computer 31 automatically carries out a simulation for making a comparison between the APRM signal instruction with a thermal output computed from a heat balance of an atomic power plant. In the case where a difference by the comparative computation is more than a preset value, the CPU 60 of the process control computer 31 outputs a warning to the display unit 63 so as to inform it of the operator. As a result, there is no bypass of the APRM channels or the LPRM groups in which the LPRM gain or sensitivity adjustment is executed, and the adjustment of at least one of the sensitivity and the gain of each LPRM detector is carried out for a short time. In the case of adjusting at least one of the sensitivity and the gain of each LPRM detector 37, the second adjusting method by the LPRM signal processing unit 40 comprises the following steps of: selecting and bypassing one APRM channel (one LPRM group) from each APRM channel or each LPRM group (there is an LPRM detector which is not captured in the APRM channel depending upon a design) according to the instruction from the nuclear instrumentation control process module 60M1; changing all LPRM detectors 37 belonging to the one bypassed APRM channel (LPRM group) into a bypass state (bypass mode); and adjusting at least one of the sensitivity and the gain of each LPRM detector 37 of the bypass mode. Therefore, at least one of the sensitivity and the gain of the LPRM detector 37 is almost simultaneously adjusted by the LPRM signal processing unit 40 according to the instruction from the nuclear instrumentation control process module 60M1 by the number of LPRM detectors included in one or the bypass allowable maximum number of APRM channels or LPRM groups. When the adjustment of at least one of the sensitivity and the gain of the LPRM detector 37 is completed, the LPRM detector 37 and the APRM channel (LPRM group) of the bypass mode is returned to a normal mode. When the LPRM detector 37 and the APRM channel (LPRM group) of the bypass mode is returned to the normal mode, almost simultaneously, the adjustment of at least one of the sensitivity and the gain of each APRM channel need to be carried out by way of precaution. In order to make a confirmation, the process control computer 31 automatically carries out a simulation for making a comparison between the APRM signal instruction with and a thermal output computed from a heat balance of an atomic power plant. In the case where a difference by the comparative computation is more than a preset value, the process control computer 31 outputs a warning to the display system 63 so as to inform it of the operator. Then, when the adjustment of at least one of the sensitivity and the gain of all LPRM detectors constituting one APRM channel or one LPRM group is completed, the LPRM signal processing unit 40 starts an adjustment of another APRM channel (or another LPRM group) according to the instruction from the nuclear instrumentation control process module 60M1. As a result, during the adjustment of at least one of the sensitivity and the gain of the LPRM detector, one or the maximum number of bypass allowable APRM channels (or LPRM groups) is simultaneously bypassed. However, even if a fault is caused in the nuclear instrumentation control process module 60M1, the bypass mode of the APRM of the safety protection system and the bypass mode of the LPRM detectors 37 are only specified bypass allowable APRM channels (or specified LPRM groups). Thus, a reliability of the safety protection system is superior to the first adjusting method. The LPRM detectors 37 belonging to one APRM have different core ordinates, and disperse in the core axial direction. Four LPRM detectors which exist in the specified nuclear instrumentation tube 33 belong to substantially different APRM channels, respectively. Therefore, the LPRM detector 37 belonging to one APRM channel is automatically selected and adjusted from a plurality of LPRM detectors and not manually selected by the operator, whereby there is an advantage such that a mistake in the manual selection can be prevented. By using the gamma-ray thermometer, at least one of the sensitivity and the gain of the LPRM detector is high frequently carried out, and in the case of carrying out the adjustment, the aforesaid atomization and consideration to a safety are required. The following is a description on a ninth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This ninth embodiment has the basically same structure as the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31, which have been described in the first embodiment (see FIG. 1 to FIG. 12); therefore, the details are omitted. In the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the reactor power distribution simulating system 31 of this ninth embodiment, the core state data S3 representing the parameter of the core state (operating mode) such as a core power level, core coolant flow rate and control rod pattern, detected by the reactor core state data measuring device 55, is inputted as the digital core state data D3 to the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 via the core state data processing unit 58. The nuclear instrumentation control process module 60M1 detects a change of the core state in accordance with the inputted core state data D3 so as to make a decision whether or not a predetermined time elapses, thereby displaying the result on the display unit 63 so as to inform the result of the operator. Then, on the basis of the result displayed on the display unit 63, in the case where the operator makes a decision that the operating mode does not satisfy a required condition, the operator outputs an simulation instruction to the power distribution simulation process module 60M2 of the CPU 60 via the nuclear instrumentation control process module 60M1 thereof by operating the input console 62. At this time, the power distribution simulation process module 60M2 of the CPU 60 adapts and corrects a three-dimensional power distribution on the basis of the latest nuclear instrumentation information (core state data; core state, operating mode) obtained from the core 3. The above embodiments described so far have described the case where the core state is a steady state, and when the steady state continues for a sufficiently long period, the core power distribution simulating processing is carried out by adaptive simulation using the three-dimensional simulation model and the GT data of the GT assembly. In this ninth embodiment, the following is a description on a process in place of the processing means of simulating the core power distribution just after the parameter representing the operating state such as power distribution or power level or the like changes. Just after the parameter representing the operating state such as power distribution or power level or the like changes, it is determined that the GT signal of the GT assembly 35 does not reach an equilibrium state. In such a case, by a using the LPRM detector assembly 34 having a fast response in the GT assembly 35 and the LPRM detector assembly 34 constituting the in-core nuclear instrumentation assembly 32, there is employed a first adaptive correction process of correcting a power distribution obtained by the simulation based on the three-dimensional simulation model. More specifically, according to the first adaptive correction process, the memory unit 61 of the process control computer 31 stores interpolation and extrapolation approximation data (data set) on the basis of correlation parameters for showing a correlation between a fuel assembly nodal power value and an LPRM data reading value (simulated value corresponding to the actually measured LPRM data D2) {e.g., a fuel type, a node burn-up, presence of control rod, a historical relative water density (historical void fraction), an instantaneous relative water density (instantaneous void fraction)}, or an interpolation and extrapolation look up table data (data set) based on the above correlation parameter. According to the first adaptive correction process, for example, in the case where it is determined by the GT signal processing unit 48 or the nuclear instrumentation control process module 60M1 of the process control computer 31 that the GT signal of the GT assembly 35 does not reach an equilibrium state, when carrying out the adaptive correction, the power distribution simulation process module 60M2 simulates a core power distribution at the present point of time on the basis of the following data. More specifically, the data includes: core power distribution data stored in the memory obtained, in a state (equilibrium state) that the latest steady state retroactive from the point of time of adaptive correction execution (present point of time) requests, by the adaptive correction based on the GT signal S1 outputted from the GT assembly 35 at that time; adaptive correction data calculated and stored value for each fuel assembly node; and change data of the operating parameter (core state data D3) representing the core state (operating mode) such as an increase of core average burn-up until the present point of time (the point of time of adaptive correction executive processing) from the power distribution simulation in the above equilibrium state, control rod pattern from the latest point of time, core coolant f low rate, core power, core inlet enthalpy, core pressure or the like. Then, the power distribution simulation process module 60M2 determines LPRM prediction values corresponding to the simulated power distribution at the present point according to the values of the correlation parameters {(e.g., a fuel type, a node burn-up, presence of control rod, a historical relative water density (historical void fraction), an instantaneous relative water density (instantaneous void fraction)} and the approximation equation (look up table) stored in the memory unit 61. On the other hand, the LPRM data D2 adjusted by the LPRM signal processing unit 48 according to the process described in the above seventh embodiment, is gathered in the power distribution simulation process module 60M2 of the CPU 60. Then, the power distribution simulation process module 60M2, as shown in FIG. 18, makes a comparison between the prediction value of the LPRM data based on the simulation result at the present point of time and the actually measured LPRM data D2 so as to obtain a correction ratio representing the difference between the LPRM data prediction value and the actually measured LPRM data D2 (step S90), thereby interpolating and extrapolating the correction ratio in the core axial direction, so that it is possible to obtain a correction ratio (additional adaptive correction quantity; relative adaptive correction quantity) with respect to all axial nodes (step S91). Next, the power distribution simulation module 60M2 corrects the in-core power distribution for each axial node which is simulated at the present point of time on the basis of the determined additional adaptive correction quantity of all axial node (step S92). Subsequently, the power distribution simulation module 60M2 evaluates the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR) at the present point of time (at the point of adaptive correction execution process time) on the basis of the corrected in-core power distribution of each axial node (step S93). Next, the power distribution simulation process module 60M2 stores the additional adaptive correction quantity of all axial nodes based on the aforesaid LPRM data D2 in the memory unit 61, independently from the adaptive correction quantity of all axial nodes based on the GT data D1 stored therein (step S94). In the above manner, in the case of carrying out power distribution adaptive correction in the GT signal non-equilibrium state, the in-core power distribution simulated at the point of power distribution adaptive correction process time is corrected on the basis of the adaptive correction in the GT data D1 equilibrium state and the additional adaptive correction quantity based on the LPRM data, whereby it is possible to generate a core power distribution having a high accuracy. Then, in the case where it is determined by the GT signal processing unit 48 or the nuclear instrumentation control process module 60M1 of the process control computer 31 that the GT data D1 of the GT assembly 35 reaches the equilibrium state, the power distribution simulation process module 60M2 zero-clears the additional adaptive correction quantity based on the LPRM data D2 stored in the memory unit 61 {means that the additionally relative adaptive correction ratio (correction coefficient) based on the LPRM data D2 is returned to 1.0}. As shown in FIG. 13 and FIG. 14, again an adaptive correction quantity based on only the GT data D1 in an equilibrium state is obtained and stored in the memory unit 61, and then, a power distribution adaptive correction process is carried out on the basis of the obtained adaptive correction quantity. Thereby, even in the case where the GT data from the GT assembly 35 varies in a non-equilibrium state, the power distribution simulation process module 60M2 can execute a power distribution adaptive correction simulation based on in-core nuclear instrumentation. Therefore, it is possible to carry out, while the GT data lies in a non-equilibrium state, the in-core power distribution adaptive correction without waiting until the GT data D1 reaches the equilibrium state, thereby periodically or always executing the in-core power distribution adaptive correction process. According to this ninth embodiment, in the point of power distribution adaptive correction time of non- equilibrium, the power distribution adaptive correction simulation has been carried out with the use of the interpolated and extrapolated additional adaptive correction obtained according to the LPRM data D2 detected by four LPRM detectors 37 arranged along the core axial direction. When the GT data reaches the equilibrium state, the additionally relative adaptive correction quantity having the possibility including an error in interpolation and extrapolation is zero-cleared at a timing of power distribution adaptive correction process based on the GT data, and thereafter, the power distribution adaptive correction process is carried out on the basis of the GT data D1 of the equilibrium state detected by the large number of GT detectors 35 arranged along the axial direction. Therefore, it is possible to solve the problem that an error of the LPRM assembly 34 gives an influence to the in-core power distribution simulation for a long period. Thus, since the simulation depends upon only error of the GT assembly 35, it is possible to considerably improve an accuracy of evaluation of the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR). The following is a description on a tenth embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. In the in-core fixed nuclear instrumentation system 29, the in-core nuclear instrumentation system 30 and the power distribution simulating system 31 of this tenth embodiment, similarly to the structure described in the ninth embodiment, it is determined that the GT data of the GT assembly 35 does not reach an equilibrium state. In such a case, of the GT assembly 35 constituting the in-core nuclear instrumentation assembly 32 and the LPRM detector assembly 34, with the use of the LPRM detector assembly 34 having a fast response, there is employed a second adaptive correction process of adapting and correcting a power distribution obtained by the simulation based on the three-dimensional simulation model. The second adaptive correction process is based on the process of directly adjusting the LPRM signal S2 detected by the LPRM detector 37 with the use of the GT data D1 (w/g) converted into a gamma-ray heating value based on the GT signal S1 of the GT assembly 35. According to the second adaptive correction process, at a given point of time after the directly adjustment process of at least one of the sensitivity and the gain of the LPRM signal S2 is completed with the use of the GT data by the LPRM signal processing unit 40 of the LPRM signal S2 of the LPRM detector 37, the power distribution simulation process module 60M2 simulates a core power distribution at the present given point of time on the basis of the following data. That is, the data includes: core power distribution data stored in the memory unit 61 obtained by the adaptive correction process based on the GT data D1 outputted from the GT assembly 35 in the latest equilibrium state before the present given point of time; adaptive correction data from a simulation prediction power value for each fuel assembly node by the GT data D1; and change data of the operating parameter (core state data D3) representing the core state (operating mode) until the present point of time from the power distribution simulation in the above equilibrium state. In this case, the simulation process of the power distribution simulation process module 60M2 described in the ninth embodiment is different from this tenth embodiment in that, when the GT signal lies in the transient state, the LPRM signal is considered as varying the same proportional quantity as the GT signal. That is, when the LPRM signal is adjusted at a relatively high frequency, for example, one time per day or per hour so as to coincide with the W/g signal level of the GT data D1, even in the case where the power change is caused in the local in the core 3 or the whole thereof, the change of each fuel assembly node by burn-up is very small. As a result, it is possible to make very small a power peaking change by the burn-up of the fuel rod 5 on the corner portion of the nuclear instrumentation tube side strongly given the influence to the detection signal level of the LPRM detector 37; therefore, the change can be disregarded. Accordingly, an average power change of the fuel assembly node is reflected in the GT signal level detected by the GT detector 44 and the LPRM signal level detected by the LPRM detector 37 at the same change rate. However, the control rod 5 is inserted or drawn out; for this reason, a change is generated in the control rod state or the fuel void fraction in the fuel channel. In this case, a great change is generated between a response change of the GT signal and a response change of the LPRM signal. As described in the first embodiment, in the memory unit 61, at least one of approximate expression data (data set) according to correlation parameters representing the correlation between the output values of the nodes of the fuel assembly 4 and the GT data values D1 based on the GT signals S1 and interpolation and extrapolation lookup table data (data set) according to the above correlation parameters is stored, wherein the correlation parameters includes, for example, a fuel type, a node burn-up, presence of control rod, a historical relative water density (historical void fraction), an instantaneous relative water density (instantaneous void fraction). In view of the aforesaid backgrounds, in this tenth embodiment, the memory unit 61 of the process control computer 31 stores first interpolation and extrapolation approximation equation data (look up table data) based on a correlation parameter for representing a correlation between the fuel assembly nodal power value and the LPRM data based on the LPRM signal, or only a second interpolation and extrapolation approximation data (look up table data) for the LPRM data, which is described hereinafter. In the case where the LPRM signal S2 of the LPRM detector 37 is high frequently adjusted by means of the LPRM signal processing unit 40 with the use of the GT data D1, when the control rod state or a void fraction of the channel changes so that an instantaneous or rapid transient phenomenon of the LPRM data D2 is generated, the second approximation data (look up table data), in order to simulate a different rate between an LPRM response change quantity of the LPRM data D2 and a GT response change quantity of the GT data D1 (change quantity in the case where the GT data D1 instantaneously shows a value of equilibrium state, is stored in the memory unit 61 of the process control computer 31 as interpolation and extrapolation approximation data (data set) or look up table data (data set) each of which is based on a correlation parameter for representing a correlation between the LPRM response change quantity of the LPRM data and the GT response change quantity of the GT data {e.g., a fuel type, a node burn-up, presence of control rod, a historical relative water density (historical void fraction), an instantaneous relative water density (instantaneous void fraction)}. Namely, the second approximation data (look up table data) for the LPRM detector is used in the process of the power distribution simulation process module 60M2, as described hereinafter. That is, as shown in FIG. 19, in a state that it is determined by the GT signal processing unit 48 or the nuclear instrumentation control process module 60M1 that the GT data of the GT assembly 35 is changed into a transient state, in response to a selection instruction command of a power distribution adapting mode outputted from the input console by the operator, or a change instruction command of the power distribution adaptive mode automatically outputted from the nuclear instrumentation control process module 60M1, the power distribution simulation process module 60M2 executes the core power distribution calculation on the basis of the operational parameters (core state data) D3 at the present point of time in which the GT data D1 lies in the non-equilibrium state but the power distribution adaptive simulation is instructed with the LPRM data being calibrated, by using the core power distribution data (first data) in a state (equilibrium state) that the latest steady state retroactive from the present point of time, the adaptive correction data (second data) and the change data (third data) of the operating parameter (core state data D3) representing the core state (operating mode) until the present point of time (the point of time of adaptive correction executive processing) from the power distribution simulation in the above equilibrium state (step S100). Next, the process module 60M2 receives the LPRM data D2 at the present point of time and obtains the parameters related to the second approximation data (look up data) according to the power distribution at the present point of time so as to convert the first response change quantity of the LPRM data D2 into the pseudo GT response of the second response change quantity thereof corresponding to the equilibrium value of the GT data (step S101). Then, the power distribution simulation process module 60M2 replaces the four LPRM data D2 of each LPRM detector with the converted second response change quantity in the axial direction as pseudo GT data reaching the equilibrium state at the present point of time (step S102). The power distribution simulation process module 60M2 makes a comparison between the above pseudo GT data value of the predetermined nodes at which the LPRM detectors are positioned and equilibrium GT data of the predetermined nodes in equilibrium GT data value (simulated value) of 24 nodes obtained in step S100 (step S103). Furthermore, the power distribution simulation module 60M2 interpolates and extrapolates a correction ratio, which is obtained from the comparison process, referred to step S103, showing a difference between the pseudo GT data value and the equilibrium GT data value (simulated value) in the core axial direction so as to obtain a correction ratio (additional adaptive correction quantity; relative adaptive correction quantity) with respect to all axial nodes (24 nodes) (step S104). The power distribution simulation process module 60M2 corrects an in-core power distribution of each fuel assembly node of the simulation result at the present point of time on the basis of the obtained additional adaptive correction quantity (correction ratio) of all axial nodes (step S105) so as to evaluate the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR) at the present point of time (step S106). Moreover, the power distribution simulation process module 60M2 stores the additional adaptive correction quantity of all axial nodes based on the aforesaid pseudo GT data in the memory unit 61, independently from the adaptive correction based on the GT data D1 of all axial nodes stored therein (step S107). In the above manner, when the GT data is in non-equilibrium state and the signal level of them reaches in the transient state, in the case of carrying out power distribution adaptive correction process, the in-core power distribution simulated at the point of power distribution adaptive correction process execution time is learn and corrected on the basis of the adaptive correction in the GT data D1 which is in the equilibrium state and the additional adaptive correction based on the pseudo GT data estimated as the equilibrium value of the transient state obtained from the LPRM data, so that it is possible to generate a power distribution having a high accuracy. Then, in the case where it is determined by the GT signal processing unit 48 or the nuclear instrumentation control process module 60M1 of the process control computer 31 that the GT data D1 of the GT assembly 35 reaches the equilibrium state, the power distribution simulation process module 60M2 zero-clears the additional adaptive correction quantity based on the LPRM data D2 stored in the memory unit 61 {means that the additionally relative adaptive correction ratio (correction coefficient) based on the LPRM data D2 is returned to 1.0}. As shown in FIG. 13 and FIG. 14, again an adaptive correction quantity based on only the GT data D1 in an equilibrium state is obtained and stored in the memory unit 61, and then, a power distribution adaptive correction process is carried out on the basis of the obtained adaptive correction quantity. Thereby, even in the case where the GT data from the GT assembly 35 varies in a non-equilibrium state, the power distribution simulation process module 60M2 can execute a power distribution adaptive correction simulation based on in-core nuclear instrumentation. Therefore, it is possible to carry out, while the GT data lies in a non-equilibrium state, the in-core power distribution adaptive correction without waiting until the GT data D1 reaches the equilibrium state, thereby periodically or always executing the in-core power distribution adaptive correction process. According to this tenth embodiment, in the point of power distribution adaptive correction time of non- equilibrium, the power distribution adaptive correction simulation has been carried out with the use of the interpolated and extrapolated additional adaptive correction obtained according to the LPRM data D2 detected by four LPRM detectors 37 arranged along the core axial direction. When the GT data reaches the equilibrium state, the additionally relative adaptive correction quantity having the possibility including an error in interpolation and extrapolation is zero-cleared at a timing of power distribution adaptive correction process based on the GT data, and thereafter, the power distribution adaptive correction process is carried out on the basis of the GT data D1 of the equilibrium state detected by the large number of GT detectors 35 arranged along the axial direction. Therefore, it is possible to solve the problem that an error of the LPRM assembly 34 gives an influence to the in-core power distribution simulation for a long period. Thus, since the simulation depends upon only error of the GT assembly 35, it is possible to considerably improve an accuracy of evaluation of the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR). In the ninth and tenth embodiments, in the case where the GT data is in a non-equilibrium state, the in-core power distribution has been simulated with the use of the LPRM data. In the non-equilibrium state, in the case where the LPRM detector 37 is bypassed due to a failure, it is possible to disregard the LPRM data of the bypassed LPRM detector 37 so as to preferentially gather the simulation value, or to use the LPRM data on a nuclear instrumentation tube position having a symmetry based on core fuel loading control rod pattern, in place of the bypassed LPRM data. The following is a description on an eleventh embodiment of an in-core fixed nuclear instrumentation system, a power distribution simulating system and a power distribution monitoring system of the present invention. This eleventh embodiment has the basically same structure as the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31, which have been described in the first embodiment (see FIG. 1 to FIG. 12); therefore, the details are omitted. In the power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system of this eleventh embodiment, the number of GT detectors 44 in the axial direction is larger than that of LPRM detectors 37. By using this advantage, it is possible to carry out an adaptive correction of power distribution with the use of only GT data D1 even in the case where the GT data D1 outputted from the GT detector 44 is in a transient state. More specifically, in the reactor power distribution monitoring system 29 described in the ninth and tenth embodiments, the GT data D1 outputted from the GT detector 44 is in a state of not reaching an equilibrium level of gamma decay, that is, after the operating parameter (core state data D3) including a core power, core coolant flow rate, control rod pattern varies, for example, within a short time such as an hour, a level of the GT data D1 (W/g) based on the GT signal S1 varies in minutes. Then, when the power distribution simulation process module 60M2 corrects the power distribution on the basis of the GT data D1, due to the non-equilibrium state of the GT data D1 is non-equilibrium state, the local power is over-estimated (in the case where the local power lowers) or is underestimated (in the case where the local power increases). For this reason, even if the power distribution simulation process module 60M2 corrects the power distribution, the correction result of the power distribution includes an error. Thus, the response of the LPRM detector 37 having a fast response has been used as an auxiliary means (see ninth and tenth embodiments). However, four LPRM detectors 37 are only arranged in the axial direction; for this reason, there is the possibility that an accuracy is deteriorated in view of the adaptive correction of the axial power distribution. To solve the above problem, the in the reactor power distribution monitoring system 29, the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31, the core state parameter signal (core state data D3) including a core power level, a core coolant flow rate, a control rod pattern and so on, which is outputted from the reactor core state data processing unit 58, is inputted to the power distribution simulation process module 60M2 via the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31. Then, the power distribution simulation process module 60M2 periodically (every predetermined time) or always simulates an in-core power distribution on the basis of the inputted core state data D3 and the GT data D1. Namely, as shown in FIG. 20, the nuclear instrumentation control process module 60M1 of the CPU 60 initializes elapse time counter (step S110A), and counts the elapse time (step S110B). Next, the process module 60M1 judges whether or not the core state change is detected by the core state data (step S110C). In the case where the core state change is detected, that is, the judgement of step S110C is YES, the process module 60M1 returns to a process of step S110A. In the case where the core state change is not detected, that is, the judgement of step S110C is NO, the process module 60M1 judges whether or not the LPRM detector gain adjustment instruction is requested by the input console 62 (step S110D). In the case where the LPRM detector gain adjustment instruction is not requested, that is, the judgement of step S110D is NO, the process module 60M1 returns to a process of step S110B. On the other hand, in the case where the LPRM detector gain adjustment instruction is requested, that is, the judgement of step S110D is YES, the process module 60M1 Namely, as shown in FIG. 20, the process module 60M1 detects a change of the core state on the basis of the core state data D3 so as to make a judgement whether or not a predetermined time elapses after the change of the core state is detected (step S110E). Then, in the case where it is determined that the predetermined time elapses after detecting the change of the core state (the judgement of step S110E is YES), the process module 60M1 transmits the judgement result to the display unit 63 so as to inform it of the operator via the display unit 63 (step S111). Then, the operator confirms the judgement result displayed on the display unit 63 so as to transmit a confirmation instruction to the nuclear instrumentation control process module 60M1 of the CPU 60 of the process control computer 31 by operating the input console 62 (step 112). The nuclear instrumentation control process module 60M1 automatically makes a judgement whether or not the GT data D1 lies in an equilibrium state (step S113). When the judgement of step S113 is YES, that is, the GT data D1 lies in the equilibrium state, the power distribution simulation process 60M2 of the CPU 60 corrects the simulated core power distribution according to the GT data D1 in the equilibrium state (step S114). On the other hand, when the judgement of step S113 is NO, that is, the GT data D1 does not lie in the equilibrium state, the process module 60M1 transmits a simulation instruction for predicting the GT data D1 to the GT signal processing unit 48 (step S115). In accordance with the transmitted GT signal prediction simulation instruction, the CPU 48A of the processing unit 48 gathers the predetermined number of GT data D1 (W/g signal level) generated according to the varying GT signal S1 at each predetermined times, for example, at several tens of seconds (20 to 30 seconds) or at each minute, that is, captures several or 10 points of the GT data D1 so as to carry out least square approximation to the following equation (6) (step S116). (a+xcexa3bi xc2x7exe2x88x92xcexit)xe2x80x83xe2x80x83(6) Where, xe2x80x9caxe2x80x9d and xe2x80x9cbxe2x80x9d are constants to be approximated, and xe2x80x9ctxe2x80x9d is an elapse time (second or minute). In addition, xe2x80x9cxcexxe2x80x9d is a nuclear time constant (see Table 1) set each node according to a time constant library previously stored in the memory unit 61 of the process control computer 31. The CPU 48A of the GT signal processing unit 48 approximates the GT data D1 to the above equation (6) so as to determine the constants (coefficients), and then, estimates (predicts) an equilibrium level of the GT data based on the GT signal S1 actually measured by the GT detector 44 after a required time, e.g., one-hour or more elapses according to the above equation (6) on the basis of the determined coefficients, thereby transmitting the estimated first GT data (equivalent to the equilibrium value) to the power distribution simulation process module 60M2 of the CPU 60 of the process control computer 31 (step S117). In addition, the above estimation (prediction) process of the equilibrium level of the GT data according to the above least square approximation may be carried out by the process module 60M1 of the CPU 60 of the process control computer 31. On the other hand, the process module 60M2 simulates an in-core power distribution corresponding to the reactor operating mode on the basis of the core state data D3 representing the operating mode at the present point of time so as to obtain second GT data from the simulation result (step S118). Next, the process module 60M2 obtains data showing a difference (ratio) between the second GT data (equivalent to the equilibrium value) simulated by the above simulation and the first GT data estimated from the actually measured GT signal S1 (step S119). Subsequently, the process module 60M2 interpolates and extrapolates the difference data in each node arranged in the core axial direction so as to generate correction data (correction ratio data) with respect to all axial nodes, making it possible to obtain an adaptive correction quantity based on the GT signal of all axial nodes (step S120). Then, the process module 60M2 corrects an in-core power distribution for each fuel assembly node of the simulation result at the present point of time on the basis of the determined adaptive correction quantity of all axial nodes and then calculates the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR) at the present point of time (at the point of adaptive correction execution processing time) on the basis of the corrected in-core power distribution (step S121). As a result, even in the case where the GT signal outputted from the GT detector 44 varies, a so-called reactor transient state, it is possible to monitor and evaluate a reactor power distribution. At this time, the GT signal processing unit 48 (or the nuclear instrumentation control process module 60M1) carries out the prediction simulation for estimating (predicting) the equilibrium signal level of the GT detector 44 after a required time, e.g., one hour or more elapses. The prediction simulation is automatically successively carried out for each new time (e.g., for each 20 to 30 seconds or for each minute) for the duration that an information on prediction function mode (power distribution adaptive mode by GT data estimation) selective instruction is transmitted via the nuclear instrumentation control process module 60M1 from the input console 62. More specifically, when the GT signal processing unit 48 (the nuclear instrumentation control process module 60M1) gathers the GT data D1 (W/g level signal) based on the new GT signal S1, the GT signal processing unit 48 cancels (deletes) the oldest data in time series, and updates the predictive GT data value (equilibrium value) from a time series GT data group having several or 10 data including the new GT data D1 according to the least square approximation based on the above equation (6), and thus, transmits the updated GT data value (equilibrium value) to the power distribution simulation process module 60M2. Then, the process module 60M2 carries out the in-core power distribution adaptive correction on the basis of the updated GT predictive simulation value. Furthermore, the process module 60M2 outputs an information indicative of a mode (power distribution adaptive correction by GT data estimation) of carrying out the power distribution adaptive correction with the use of the GT data equilibrium value to the display unit 63 so as to inform it of the operator. The GT detector 44 has a thermal time constant of an order of second unit, and a gamma-ray source contributing to heating of the GT detector 44 has a time constant distribution of a wide range from a time constant of emitting a gamma-ray substantially simultaneous with a nuclear fission or a short time such as an order of second to a time constant of an order of minute, time and day. A weight of component of each time constant depends upon a gamma source included in the fuel; however, the gamma source is different depending upon a fission nuclide (e.g., U235, Pu239, etc.) in a nuclear fission, and is different depending upon an elapse time after the nuclear fission. A nuclide enrichment is strictly treated in the three-dimensional BWR simulator; for this reason, a component of nuclear time constant is determined for each node of the fuel assembly, and as a result, it is not practical use because the nuclear time constant data library stored in the memory unit becomes large. So, in this eleventh embodiment, the time constant of the gamma source is limited to a time constant subjected to the gamma source at the point of time after a required time, e.g., one hour or a minute order elapses, and the number of data is limited to 10 or less or about 10. Then, the least square approximation is carried out so as to meet the following equation (7). (a+xcexa3bixc2x7exe2x88x92xcexit)xe2x80x83xe2x80x83(7) Coefficients of a, bi (i=1 to about 10 at the maximum) is obtained from the above equation (7), and then, an equilibrium GT data value after a required time, e.g., one hour is estimated from the time series data of the GT data. A time constant (secxe2x88x921) of JNDC approximation equation shown in the table 1 obtained from the nuclear time constant library is selected as a value of xcexi in the above equation (7). This is one example, other time constants may be selected depending upon edit, and the number of data is reduced to 10 or less, and thereby, the GT detector has a further longer time constant (half life), and there is a method of omitting a low gamma source strength. For example, it is considered that a time constant of 10xe2x88x925 (secxe2x88x921) is disregarded. According to this process, the GT signal processing unit 48 (the nuclear instrumentation control process module 60M1) selects the GT data D1 (W/g signal) based on the GT signal S1 outputted from the GT detector 44 for predetermined time, e.g., 30 seconds or one minute, and then, stores ten and several data in the memory unit 61 in time series. Further, the GT signal processing unit 48 (the nuclear instrumentation control process module 60M1) erases (deletes) the old time GT data, and successively updates and stores the new GT data in the memory unit 61. For example, the least square approximation of the following equation (8) is repeatedly carried out every 30 seconds or one minute. (a+xcexa3bixc2x7exe2x88x9280 it)xe2x80x83xe2x80x83(8) The GT prediction value after a predetermined time, e.g., about one hour is updated every least square approximation, and thereby, even if the GT signal S1 is in the non-equilibrium state, it is possible to generate the pseudo GT data value of the equilibrium state based on the GT signal outputted from the GT detector 44 every predetermined time, e.g., 30 second or one minute. Then, after about 5 to 15 minutes just after power change, it is possible to obtain the prediction equilibrium GT data value based on the GT signal outputted from the GT detector 44. A sampling interval of the time series data is practically selected according to an operation (processing) speed of the GT signal processing unit 48 or the process control computer 31; therefore, the sampling interval is not limited to 30 seconds or one minute. In this embodiment, a clearly limited time interval is selected so that the sampling interval is determined to 30 seconds or one minute. However, it is considered that several number of GT data or 10 data may be successively sampled at substantially each 1 second per 1 sampled data for filtering noise for example fluctuation noise included in the GT data. The sampling process is able to obtain the least square approximation having high accuracy. In addition, the number of data, which is stored in time series in the memory unit 61 and is fitted to the least square approximation, is not limited to about 10, but may be reduced to the number of data, that is, about 5 in view of a balance of a predictive accuracy and a time required for estimation. Namely, it is important to obtain a predictive value as fast as possible without decreasing the predictive accuracy. The following is a description on an operation of the in-core fixed nuclear instrumentation system 30 and the power distribution simulating system 31 with reference to FIG. 21 and FIG. 22. FIG. 21 is a graph showing the actually measured GT data value and the GT data prediction value with respect to the elapse time (minute) in the case where the power of fuel node around the detection portion of the GT detector 44 increases. FIG. 22 is a graph showing the actually measured GT data value and the GT data prediction value with respect to the elapse time (minute) in the case where the power of fuel node around the detection portion of the GT detector 44 conversely decreases. In FIG. 21 and FIG. 22, a solid line P shows the actual change of the core local power, a dotted line O shows the actually measured GT data (w/g signal) value, and a broken line Q shows the GT data prediction value. In any cases, the GT signal having the number of data after change, e.g., 10 is captured in time series, and then, is fitted to the least square approximation. By using the sum of the polynomial and the constant term of the obtained exponential function (see equations (6) to (8)), the equilibrium value can be accurately simulated. In particular, a decay time constant of gamma source contributing for the duration from a minute to hour is selected so as to reduce the number of polynomial, and thereby, it is possible to very effectively shorten the simulation time. In calculation of the least square approximation, in order to make convergence fast, a set of a and bi of the initial guess is prepared separately from the case of core power increase and the case of core power decrease. As a result, according to the aforesaid simple process, it is possible to readily estimate the gamma-ray heating value (GT equilibrium data value) in the equilibrium state of gamma decay without simulating a history before the point of time at which the transient change happens, which includes the power change of local power distribution and the whole of core, and a distribution of gamma source at the point of time, which are studied conventionally, so as to execute a complicate process for determining a gamma heating value after core power change according to the simulated result. Moreover, the power distribution monitoring system 29 is operated at a mode (power distribution adaptive mode by GT data prediction) for determining the gamma heating value (GT data value) by a predictive calculation, and then, the above information is displayed on the display unit 63 so as to inform it of the operator. Whereby it is possible to give the following caution to the operator, that is, a caution of including an error by the predictive calculation in LPRM adjustment and the power distribution simulation result already corrected. In this eleventh embodiment, the equilibrium state prediction simulation function of the GT data value used in the case where the GT signal is in the non-equilibrium state, and it is normal not to always use the function. The present invention is not limited to this. The following is a description on a modification of this eleventh embodiment. More specifically, the GT signal processing unit 48 always transmits the GT data (W/g signal using the prediction simulation function to the process control computer 31 (power distribution simulation process module 60M2) except heater calibration of the GT detector 44. Then, the CPU 60 of the process control computer 31 always captures the transmitted predicted GT data value, and uses the predicted GT data value for adapting correction of the power distribution, or for adjusting at least one of the LPRM sensitivity and gain in the LPRM signal processing unit 48. In this modification, the process of adjusting at least one of the LPRM sensitivity and the gain includes the following two processes: more specifically, (1) a process for adjusting at least one of the sensitivity and the gain of the LPRM detector so as to directly coincide with the GT equilibrium data simulation value; and (2) a process for adapting and simulating the in-core power distribution by the power distribution simulation process module 60M2 with the use of the GT equilibrium data simulation value, and adjusting at least one of the sensitivity and the gain of the LPRM detector so as to directly coincide with the LPRM signal (LPRM data) simulated with the use of the simulated result. At least one of the sensitivity and the gain of the LPRM detector 37 is carried out according to an operation command from the operator from the display unit 63 via the nuclear instrumentation control process module 60M1. Whereby the operator does not need to be anxious about whether the GT data level is in the non-equilibrium state or the equilibrium state, and power distribution adaptive simulation and LPRM gain and sensitivity adjustment are automatically carried out on the basis of the GT data of equilibrium level. Therefore, it is possible to readily use the in-core power distribution monitoring system 29, and to reduce a load work onto the operator. In this case, however, the GT signal processing unit 48 or the CPU 60 of the process control computer 31 always monitors an accuracy by the least square approximation, and in the case where the accuracy of the least square approximation is less than a predetermined accuracy, the CPU 60 outputs a warning to the display unit 63 so as to inform it of the operator. Then, the operator manually controls the power distribution adaptive simulation or at least one of the adjustment of the sensitivity and the gain of the LPRM using the above GT equilibrium data value via the input console 62. With the above construction, in the case where the accuracy of the least square approximation is less than the predetermined accuracy, the power distribution adaptive simulation or the adjustment of at least one of the sensitivity and the gain of the LPRM using the above GT equilibrium data value is stopped, and then, is changed into the power distribution adaptive simulation or the adjustment of at least one of the sensitivity and the gain of the LPRM based on the operator""s manual instruction. Thus, it is possible to maintain a high reliability of the power distribution monitoring system. As described above, in this eleventh embodiment, even in a state that the GT signal detected by the GT detector does not reach the signal level of equilibrium state of the gamma decay chain, the response of the GT detector 44 is readily corrected so as to carry out the three-dimensional power distribution adaptive process. Therefore, with the use of only simply GT detection signal of the in-core fixed nuclear instrumentation system 30, it is possible to monitor the operational thermal limit value such as the maximum linear heat generating ratio (MLHGR) and the minimum critical power ratio (MCPR). The monitoring can be carried out within a practical time delay at an arbitrary point of time. While there has been described what is at present considered to be the preferred embodiments and modifications of the present invention. It will be understood that various modifications which are not described yet may be made therein, and it is intended to cover in the appended claims all such modifications as fall within the true spirit and scope of the invention.
050842358
abstract
A direct cycle type atomic power plant provided with a means for suppressing transfer of radioactive nitrogen compounds generated by nuclear reaction in the core from the reactor water to steam, where an increase in the dose rate in the main steam system and the turbine system due to carry-over of radioactive nitrogen atom, .sup.16 N, generated in the core can be suppressed, and the radioactivity therein can be reduced.
summary
description
The present application claims priority from Japanese Patent application serial no. 2007-322140, filed on Dec. 13, 2007 and Japanese Patent application serial no. 2008-087368, filed on Mar. 28, 2008, the content of which is hereby incorporated by reference into this application. The present invention relates to fuel assembly and, more particularly, to fuel assembly suitably used in a boiling water reactor. Many fuel assemblies including a fuel bundle, and a channel box being a rectangular parallelepiped cylinder and surrounding the fuel bundle, are loaded in the core of a boiling water reactor. Each fuel bundle is provided with a plurality of fuel rods sealed and having a plurality of fuel pellets including uranium, an upper tie-plate for supporting upper end portions of the fuel rods, a lower tie-plate for supporting lower end portions of the fuel rods, and fuel spacers for maintaining clearances among the fuel rods. The core is kept the critical state during an operation period by absorbing neutrons generated excessively in the core by control rods inserted among fuel assemblies and a burnable poison included in the fuel pellets. An example of the burnable poison is gadolinia or another material having a large thermal neutron absorption cross section. A fuel assembly having uranium fuel rods that include gadolinia is known as a fuel assembly having fuel rods that include a burnable poison, as described in Japanese Patent Laid-open No. Hei 10 (1998)-170674. An example of reactivity suppression due to this type of burnable poison will be described below. The solid line in FIG. 3 shows an example of a change in infinite multiplication factor of a fuel assembly having fuel rods that include gadolinia with respect to specific burn-up. A horizontal axis indicates burn-up, and a vertical axis indicates the infinite multiplication factor. For comparison purposes, a dashed line indicates a behavior when the number of fuel rods including the burnable poison is reduced, and an alternate long and short dashed line indicates another behavior when concentration of the burnable poison is increased. As indicated by the solid line in FIG. 3, the infinite multiplication factor gradually increases as the burn-up increases and the burnable poison burns, and reaches a peak when the burnable poison completely burns. After the infinite multiplication factor reaches the peak, the infinite multiplication factor gradually decreases. This characteristic can be controlled by increasing and decreasing the number of fuel rods including the burnable poison. Specifically, when the number of fuel rods including the burnable poison is increased, the infinite multiplication factor at the early burning stage decreases by an amount equal to increase in neutron absorption. Conversely, when the number of fuel rods that include the burnable poison is decreased, the infinite multiplication factor at the early burning stage increases. It is also possible to control the characteristic by increasing and decreasing the concentration of the burnable poison. When the concentration increases, a time at which the burnable poison completely burns can be delayed. Accordingly, the maximum value of the infinite multiplication factor can be decreased. Conversely, when the concentration of the burnable poison decreases, the maximum value of the infinite multiplication factor can be increased. Excess reactivity and power distribution in the axial direction can be appropriately controlled by increasing and decreasing both the number of fuel rods including the burnable poison and the concentration of the burnable poison. In general, the reactivity during an operation of a boiling water reactor is controlled by a core flow rate, temperature of feed water, and control rods. In the boiling water reactor, when the core flow rate is decreased during an operation, voids in the coolant in the core increase, lowering the reactor power. When the core flow rate is increased, voids in the coolant decrease, raising the reactor power. The lowering of the temperature of the feed water brings the same effect as when the core flow rate is increased. The raising of the temperature of the feed water brings the same effect as when the flow rate in the core is decreased. A range of reactivity control by the core flow rate and the temperature of the feed water depends on the range of change of void fraction in the core, and the void reactivity coefficient of the fuel assembly. The range of reactivity control can be expanded by increasing the void reactivity coefficient of the fuel assembly toward the negative side. Generally, by expanding the range of the reactivity control in the core, the discharged burn-up of the fuel assembly can be increased, improving economical efficiency of fuel. In the fuel assemblies shown in FIGS. 5 and 7 in Japanese Patent Laid-open No. Sho 58 (1983)-216989, the uranium fuel rods including gadolinia are disposed in corner sections of the outermost layer. Noting the power distribution control for the lateral cross section of the fuel assembly during an operation of the reactor, the uranium fuel rods including gadolinia are placed in the outermost layer in which the neutron spectrum is soft and local power peaks are increased. Accordingly, the power distribution in the lateral cross section of the fuel assembly is flattened. This arrangement of the uranium fuel rods including gadolinia can also lower the power of the fuel rods placed along the outermost layer, the power being increased while the reactor is stopping. In the fuel assemblies shown in FIG. 7 of Japanese Patent Laid-open No. Sho 58 (1983)-216989, the uranium fuel rods including gadolinia are placed adjacent to water rods. Japanese Patent Lai-open No. 2000-9870 describes an MOX fuel assembly including mixed oxide (MOX) in which uranium oxide (MOX) and plutonium are mixed. In this MOX fuel assembly, uranium fuel rods including gadolinia are placed in corner sections of the outermost layer and further adjacent to water rods. Japanese Patent No. 3874466 also describes an MOX fuel assembly in which uranium fuel rods including gadolinia are similarly placed. Japanese Patent Laid-open No. Sho 63 (1988)-133086 describes a fuel assembly that has the uranium fuel rods including gadolinia. In this fuel assembly, the uranium fuel rods including gadolinia are placed in the outermost layer and further adjacent to water rods. In the outermost layer, the uranium fuel rods including gadolinia are placed at both positions adjacent to each corner. To improve the economical efficiency of fuel at a low cost, it is necessary to expand the range of reactivity control with a minimum modification of equipments in the core. The inventors conducted various studies to achieve this improvement. As a result, the inventors found that since the void fraction in a boiling water reactor can be controlled, it is desirable to increase the negative void reactivity coefficient of the fuel assembly within a range that the stability of the core can be tolerated. The inventors also found that when the negative void reactivity coefficient increases, the rate of the reactivity change during a hot-cold state change of the fuel assembly must be the same as before so that a reactor shutdown margin is not reduced. In Japanese Patent Laid-open No. Sho 58 (1983)-216989, Japanese Patent Lai-open No. 2000-9870, Japanese Patent No. 3874466 and Japanese Patent Laid-open No. Sho 63 (1988)-133086, attention is not paid to the increase in the negative void reactivity coefficient of the fuel assembly within a tolerable range of core stability and to a change in reactivity caused by a hot-cold state change in the core. An object of the present invention is to provide fuel assemblies for which the increase in the reactivity change rate during its hot-cold state change can be suppressed and the negative void reactivity coefficient can be increased. The present invention for attaining the above object is characterized in that a fuel assembly has a plurality of first fuel rods including uranium and not including a burnable poison, a plurality of second fuel rods including both uranium and a burnable poison, and water rods, wherein a second fuel rod is placed at corners of the outermost layer of a fuel rod array; other second fuel rods are placed, in the outermost layer, adjacent to the second fuel rod placed at the corner; and other second fuel rods are placed adjacent to a water rod. Since a second fuel rod is placed at corners of the outermost layer of a fuel rod array; other second fuel rods are placed, in the outermost layer, adjacent to the second fuel rod placed at the corner; and other second fuel rods are placed adjacent to a water rod, the increase in the reactivity change rate during its hot-cold state change can be suppressed and the negative void reactivity coefficient can be increased. The above second fuel rods each include a plurality of third fuel rods having a burnable poison and a plurality of fourth fuel rods having a burnable poison; the first fuel rods are placed at corners of the outermost layer of a fuel rod array, the third fuel rods are placed adjacent to the first fuel rods placed at the corners in the outermost layer, and the fourth fuel rods are placed adjacent to the water rods; when the active fuel length of the fuel assembly is denoted L and a distance from the lower end of the active fuel length L in the axial direction of the fuel assembly is denoted h, and further when the active fuel length is divided into an upper region and a lower region at a position defined by h=L/2, the third fuel rod placed adjacent to the first fuel rod placed at the corner in the outermost layer includes the burnable poison in most of the lower region and does not include the burnable poison in most of the upper region. This arrangement of the fuel rods also enables the above object to be achieved. According to the present invention, the increase in the reactivity change rate during a hot-cold state change can be suppressed and the negative void reactivity coefficient can be increased. As described above, the inventors conducted various studies to countermeasures to expand the range of reactivity control with a minimum modification of equipments in the core. As a result, the inventors found that it is desirable to increase the negative void reactivity coefficient of the fuel assembly within the range that the core stability can be tolerated, and that the change rate of the reactivity during the hot-cold state change of the fuel assembly must be the same as before so that the reactor shutdown margin is not sacrificed due to the increase in the negative void reactivity coefficient. This study result will be specifically described below. A relation between increased amount in void reactivity coefficient when gadolinia is added to a fuel assembly not including gadolinia and an average uranium enrichment in the lateral cross section of the fuel assembly is shown in FIG. 4. The gadolinia reduces the rate of the reactivity change during a hot-cold state change of the fuel assembly. Although a total amount of gadolinia cannot be largely changed from the viewpoint of the reactivity control described above, it is possible to change the arrangement of the fuel rods including gadolinia in the fuel assembly. The power of the fuel rod not including gadolinia in the fuel assembly increases as its neutron spectrum becomes soft, so its void reactivity coefficient is a large negative value. In contrast, the neutron absorption cross section of the gadolinia rapidly increases as the neutron spectrum becomes soft. Since this function of gadolinia shifts the void reactivity coefficient to the positive side, the negative void reactivity coefficient of the fuel assembly decreases. For the fuel rod including the gadolinia in the fuel assembly, reduction in power can be suppressed even when voids increase, when compared with a fuel rod not including the gadolinia. Accordingly, the negative void reactivity coefficient in the lateral cross section of the fuel assembly can be reduced. When the average enrichment in the lateral cross section of the fuel assembly is 3.7 wt % or more, the gadolinia has a larger neutron absorption cross section as the neutron spectrum becomes soft by the reduction of the void fraction. In addition, as the average enrichment of the fuel assembly increases, the total amount of the gadolinia is increased to suppress an excess reactivity coefficient of the core. Therefore, a large reduction in the negative void reactivity coefficient due to an effect by gadolinia is brought when the average enrichment in the lateral cross section of the fuel assembly is 3.7 wt % or more, as shown in FIG. 4. According to the characteristic shown in FIG. 4, when the average enrichment in the lateral cross section of the fuel assembly becomes 3.7 wt % or more, the void reactivity coefficient increases on the positive side, that is, the negative void reactivity coefficient decreases. To cope with the reduction in the negative reactivity coefficient due to the fuel rods including the gadolinia, particularly, with the large reduction in the negative reactivity coefficient caused by the fuel assembly in which the average enrichment in the lateral cross section is 3.7 wt % or more, due to the fuel rods including the gadolinia in this fuel assembly, the inventors devised a first countermeasure and a second countermeasure described below. These countermeasures could reduce an effective amount of gadolinia and increase the negative void reactivity coefficient. It is desirable that the average enrichment in the lateral cross section of the fuel assembly be 10.0 wt % or less. The first countermeasure is to place a plurality of fuel rods including the burnable poison, such as a plurality of fuel rods including the gadolinia (hereafter, referred to as gadolinia-bearing fuel rods), next to one another. In this arrangement of the fuel rods including the gadolinia, surrounding neutrons having low energy are absorbed and thus the neutron spectrum becomes hard, so the absorption reaction is suppressed when compared with independent placement of the fuel rods including the gadolinia. Accordingly, an effective amount of the gadolinia in the fuel assembly can be reduced. The second countermeasure is to place the fuel rods including the burnable poison, such as the gadolinia-bearing fuel rods, at least one corner (preferably, at the four corners) in the outermost layer of a square lattice array adjacent to a channel box or at positions adjacent to a corner in the outermost layer of a fuel rod array, and also place these fuel rods at positions around a water rod where the neutron spectrum is soft. In this arrangement of the gadolinia-bearing fuel rods, the absorption cross section for gadolinia is enlarged, and thus most neutron absorption occurs at the outer periphery region of each fuel pellet, preventing neutrons from reaching the center of the fuel pellet with ease. As a result, an effective amount of gadolinia in the fuel assembly is reduced. During a reactor operation, there is almost no void fraction change in the water rod and a gap region formed between channel boxes in the boiling water reactor, so an effective void fraction change is lessened around fuel rods placed in the outermost layer in the lateral cross section of the fuel assembly and near the water rod. Accordingly, the gadolinia-bearing fuel rods placed in the outermost layer in the lateral cross section of the fuel assembly and near the water rod less contribute to a shift of the void reactivity coefficient in the lateral cross section of the fuel assembly to the positive side. As a result, the negative reactivity coefficient of the fuel assembly can be increased. Gadolinia has a function for reducing the reactivity change rate during a hot-cold state change of the fuel assembly. As described above, when an effective amount of gadolinia in the fuel assembly is reduced, the reactivity change rate during a hot-cold state change is increased, dropping the reactor shutdown margin. Assuming that the power of a fuel assembly not including the gadolinia is constant while the reactor is operating (in a high-temperature state) and is in a cold state, FIG. 5 shows power increase ratios of each fuel rod, in the cold state, which are placed in the fuel assembly with respect to a case in which the reactor is operating, that is, change ratios of local power peaks of its fuel assembly when a hot-cold state change occurs. One corner of the fuel assembly faces a control rod CR. In the fuel assembly not including the gadolinia, fuel rods placed adjacent to the channel box in the outermost layer and fuel rods placed near the water rod undergo a significant power increase while the core is cold. When two water rods WR have a large diameter enough to occupy an region where four fuel rods can be placed, respectively, fuel rods placed at the four corners of the lateral cross section of the fuel assembly and fuel rods placed at the center part adjacent to the water rods WR undergo almost the same power increase rate. FIG. 6 illustrates a relation between the number of fuel rods placed in an region occupied by a water rod placed at the central part of the fuel assembly and the power change rate of fuel rods placed adjacent to the water rod while the core is cold. When a water rod having the same lateral cross section area as one fuel rod is placed, in a cold state, the power of the fuel rods surrounding the water rod substantially increases. When the lateral cross section area of the water rod is larger than that of more than one fuel rod (four fuel rods, for example), the power of the fuel rods surrounding the water rod in a cold state further increases. Accordingly, the power of the cold core can be reduced by placing the gadolinia-bearing fuel rods adjacent to the channel box in the outermost layer, particularly, at the four corners or positions adjacent to the corners, and at the positions adjacent to the water rods. Particularly, if large-diameter water rods, each having a lateral cross section for four fuel rods, are placed at the central part of the fuel assembly, powers outside and inside the fuel assembly can be uniformly reduced. Since the spectrum is soft, the burning of the fuel rods in the outermost layer can be suppressed, their burn-up being higher than the average burn-up in the lateral cross section of the fuel assembly. Variations in burn-ups of the fuel rods in the lateral cross section can be thereby lessened and uranium can be burned with efficiency. Therefore, the uranium can be economized. Fuel assemblies A, B, C, D, E, and F which are comparative examples of the first fuel assembly according to the present invention, are shown in FIGS. 7 and 8. In each of these fuel assemblies, fuels rods are placed in a square lattice comprising 10 rows and 10 columns and two water rods WR are placed at the central part of the lateral cross section. One water rod WR occupies an region where four fuel rods can be placed. The first fuel assembly according to the present invention is provided with a plurality of first fuel rods including uranium and not including a burnable poison, a plurality of second fuel rods including both uranium and a burnable poison, and for example, two water rods. The second fuel rods are placed at corners of the outermost layer of the fuel rod array, and other second fuel rods are placed, in the outermost layer, adjacent to the second fuel rods placed at the corners. Other second fuel rods are placed adjacent to the water rods. A fuel assembly A (see FIG. 7) is a conventional fuel assembly, which is used as a reference fuel assembly. In the fuel assembly A, a plurality of uranium fuel rods G including the gadolinia (hereafter, referred to as gadolinia-bearing uranium fuel rods) are placed in a second and inner layers relative to the outermost layer. Each gadolinia-bearing uranium fuel rod G includes the gadolinia over its entire active fuel length. Some of the gadolinia-bearing uranium fuel rods G are placed adjacent to water rods WR. Fuel rods other than the gadolinia-bearing uranium fuel rods G are uranium fuel rods U not including the gadolinia. The fuel assembly B (see FIG. 7) is equivalent to the fuel assembly shown in FIG. 5 in Japanese Patent Laid-open No. Sho 58 (1983)-216989, in which a plurality of gadolinia-bearing uranium fuel rods G are placed in corner sections of the outermost layer of the lateral cross section of the fuel assembly. No gadolinia-bearing uranium fuel rods G are placed adjacent to water rods WR. The fuel assembly C (see FIG. 7) follows the concept of the arrangement of the gadolinia-bearing uranium fuel rods G in the fuel assembly A and further includes partial-length fuel rods. In FIG. 7, the lateral cross section of the upper region of fuel assembly C is indicated and a plurality of partial-length fuel rods are placed at parts where fuel rods are not shown. The fuel assembly D (see FIG. 7) follows the concept of the arrangement of the gadolinia-bearing uranium fuel rods G in fuel assembly B and further includes partial-length fuel rods. In FIG. 7, the lateral cross section of the upper region of fuel assembly D is similarly indicated. The fuel assembly E (see FIG. 8) is equivalent to the fuel assembly shown in FIG. 7 in Japanese Patent Laid-open No. Sho 58 (1983)-216989, in which a plurality of gadolinia-bearing uranium fuel rods G are placed in corner sections in the outermost layer of the lateral cross section of the fuel assembly. Some of the gadolinia-bearing uranium fuel rods G are placed adjacent to water rods WR. Although, in the fuel assembly B, gadolinia-bearing uranium fuel rods G are placed at corners of the outermost layer, they are not placed at corners of the outermost layer in fuel assembly E. In the gadolinia-bearing uranium fuel rods G used in fuel assemblies A to E, the gadolinia is mixed in a nuclear fuel material including enriched uranium. The fuel assembly F (see FIG. 8) is an MOX fuel assembly, in which a plurality of gadolinia-bearing uranium fuel rods G are placed at corners of the outermost layer in the lateral cross section of the fuel assembly and also placed adjacent to water rods WR. This fuel assembly is equivalent to the fuel assembly shown in FIG. 2 in Japanese Patent Lai-open No. 2000-9870 and also conceptually equivalent to the fuel assembly shown in FIG. 1 in Japanese Patent No. 3874466. The gadolinia-bearing uranium fuel rods G used in fuel assembly F includes natural uranium in which the gadolinia is mixed as the nuclear fuel material. The remaining fuel rods are MOX fuel rods including an mixed oxide. The inventors studied changes in the void reactivity coefficient depending on the burn-up for each fuel assembly of the first fuel assembly and the fuel assemblies B, E, and F. FIG. 9 illustrates these studied results. The first fuel assembly in FIG. 9 indicates a fuel assembly 1 (see FIG. 1) in a first embodiment described later, as a typified example. Increased amount in the void reactivity coefficient of each fuel assembly in FIG. 9 is indicated relative to the above fuel assembly A. While a burnable poison (gadolinia, for example) is present in the fuel assemblies, that is, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, increased amount in the reactivity coefficient of the first fuel assembly and fuel assembly B are extremely smaller than those in the other fuel assemblies. Accordingly, the negative void reactivity coefficient in the first fuel assembly and fuel assembly B is increased. In the fuel assembly F, increased amount in the void reactivity coefficient is large during the above period and in contrast to the first fuel assembly, the negative void reactivity coefficient decreases. In a period in which about 2.0×104 MWd/t is exceeded and the burnable poison disappears, the negative void reactivity coefficient of the first fuel assembly is maximized, but its value is almost the same as the values of the negative void reactivity coefficients of other fuel assemblies. In light of the results illustrated in FIG. 9, the inventors further studied changes in the reactivity in the fuel assemblies other than the fuel assembly F, that is, the first fuel assembly and fuel assemblies B and E, which are caused by changes in the burn-up, during a hot-cold state change. FIG. 10 illustrates these studied results, indicating a relation between the burn-up and the increased rate of the reactivity change rate of the first fuel assembly and fuel assemblies B and E. The first fuel assembly in FIG. 10 is also indicated as the fuel assembly 1 (see FIG. 1) in the first embodiment described later. While a burnable poison (gadolinia, for example) is present in the fuel assemblies, that is, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, the increased rate of the reactivity change rate of the first fuel assembly during a hot-cold state change can be suppressed to a value 5% to 10% smaller than the increased rates of the fuel assemblies B and E. The increased rate of the reactivity change rate of each fuel assembly, illustrated in FIG. 10, during a hot-cold state change, is also indicated relative to the fuel assembly A. In FIGS. 9 and 10, increased amount in the void reactivity coefficient and the increased rate of the reactivity change rate during a hot-cold state change are not illustrated for the fuel assemblies C and D. However, the fuel assemblies C and D differ just in that partial-length fuel rods are included, so, increased amount in the void reactivity coefficient and the increased rate of the reactivity change rate during a hot-cold state change in the fuel assembly C are different from those in the fuel assembly A but indicate the same tendency. Increased amount in the void reactivity coefficient and the increased rate of the reactivity change rate during a hot-cold state change in the fuel assembly D are different from those in the fuel assembly B but indicate the same tendency. The inventors also studied change in the void fraction in the axial direction of each fuel assembly when the core flow rate changes. FIG. 11 illustrates these studied results. To increase the extent of reactivity control by increasing the negative void reactivity coefficient, the characteristic arrangement of the present invention, as described above, is preferably applied to positions where the void fraction greatly changes in the axial direction of the fuel assembly. When the active fuel length, which is the axial length in a nuclear fuel loading region in the fuel assembly, is denoted L and a height from the lower end of the active fuel length L is denoted h, according to the characteristic illustrated in FIG. 11, the characteristic arrangement of the present invention, as described above, is preferably applied to an region where changed rate of a void fraction is not less than an average changed rate of the void fraction in the axial direction of the fuel assembly, that is, in an region defined by L/8≦h≦L/2. In this arrangement, the effects illustrated in FIGS. 9 and 10 can be obtained with a minimum arrangement change in which a burnable poison is just placed in the region defined by L/8≦h≦L/2. Due to another study by the inventors, a fuel assembly could be created for which increased amount in the reactivity change rate during a hot-cold state change can be further suppressed and the negative void reactivity coefficient can be further increased, when compared with the fuel assembly A, by taking the second countermeasure without taking the first countermeasure. In this fuel assembly, each second fuel rod including uranium and a burnable poison includes a plurality of third fuel rods and a plurality of fourth fuel rods, each third fuel rod and fourth fuel rod having a burnable poison; the above first fuel rods including uranium and not including a burnable poison are placed at corners of the outermost layer of the fuel rod array; the third fuel rods are placed adjacent to the first fuel rods placed at corners of the outermost layer; the fourth fuel rods are placed adjacent to the water rods; when the active fuel length of the fuel assembly is denoted L and a distance from the lower end of the active fuel length L in the axial direction of the fuel assembly is denoted h, and further, when the fuel assembly within the active fuel length L is divided into an upper region and a lower region at a position defined by h=L/2, the third fuel rod placed adjacent to the first fuel rod disposed at the corner in the outermost layer includes the burnable poison in most of the lower region and does not include the burnable poison in most of the upper region. For convenience, this fuel assembly is referred to as the second fuel assembly according to the present invention. In the second fuel assemblies according to the present invention, third fuel assemblies in which a burnable poison is included in most parts of the lower region (defined by L/24<h≦L/2, for example) and no burnable poison is included in most parts of the upper region (defined by 14L/24<h, for example) are placed at both positions adjacent to the first fuel rods placed at the corners of the outermost layer, so the burnable poison loading region of the third fuel rod is placed at a place where the neutron spectrum is soft in the lower region. Accordingly, the effective amount of the gadolinia is reduced in the lower region. At a corner section of the second fuel assembly according to the present invention where the third fuel rods are placed, the effective void fraction change is lessened during an operation of the reactor. The burnable poison regions placed at both positions adjacent to the first fuel rod placed at a corner of the outermost layer can have reduced contribution to the void reactivity coefficient in the lateral cross section of the second fuel assembly. As a result, the second fuel assembly according to the present invention can bring a larger increase in the negative reactivity coefficient than the fuel assembly A. The second fuel assembly according to the present invention can reduce power while the core is cold by placing the third fuel rods and fourth fuel rods as described above. Therefore, increase in the reactivity change rate during a hot-cold state change of fuel assembly 1E (see FIGS. 16-18) can be suppressed. In the second fuel assembly, since the second countermeasure is taken in an region below L/2 that includes the region defined by L/8≦h≦L/2, the second fuel assembly can suppress the increase in the reactivity change rate during a hot-cold state change to a greater extent than the first fuel assembly according to the present invention. The characteristics in FIGS. 9 and 10 for the second fuel assembly according to the present invention will be described. As shown in FIG. 9, the second fuel assembly (fuel assembly 1E in a sixth embodiment, for example) brings a larger increased amount in the negative void reactivity coefficient than that of the fuel assembly A, which is the reference fuel assembly, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, but the increased amount is smaller than increased amount caused by fuel assembly E equivalent to the fuel assembly shown in FIG. 1 in Japanese Patent Laid-open No. Sho 63 (1988)-133086. The reason why the second fuel assembly brings a smaller increased amount in the negative void reactivity coefficient than that of the fuel assembly E is that the second countermeasure is taken only for part in the axial direction of the fuel assembly. The second fuel assembly according to the present invention can suppress the increased rate in the reactivity change rate illustrated in FIG. 10, that is, the increased rate in the reactivity coefficient during a hot-cold state change, to a greater extent than the first fuel assembly according to the present invention, during a period from when an operation of the reactor starts until the burn-p becomes about 2.0×104 MWd/t. In the second fuel assembly according to the present invention, the suppression effect of the increased rate in the reactivity change rate is about 3% at the start of an operation of the reactor, when compared with fuel assemblies B and E. However, when the burn-up proceeds and the burn-up becomes about 1.0×104 MWd/t, the suppression effect can be increased to 15%, when compared with fuel assemblies B and E. Accordingly, to suppress the reactivity change rate in the second fuel assembly during a hot-cold state change at the start of an operation of the reactor, it is necessary to apply, to part in the axial direction of the fuel assembly, an region to which the arrangement of a burnable poison region that reduces the reactivity change rate during a hot-cold state change (arrangement of the fuel rods including the burnable poison in the fuel assembly A, for example) is applied, as described in the sixth embodiment. This can be achieved by disposing no burnable poison regions in some parts (most parts of the upper region, for example) of the third fuel rods placed in the outermost layer of corner sections of the fuel assembly. Although the gadolinia has been used in the above studies, the same result can be obtained by using samarium or europium instead of the gadolinia. Embodiments of the present invention in which the above study results are reflected will be described. [First Embodiment] A fuel assembly in a first embodiment, which is a preferable embodiment of the present invention, will be described below in detail with reference to FIGS. 1 and 2, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1 in this embodiment is provided with a plurality of fuel rods 2, an upper tie-plate 5, a lower tie-plate 6, a plurality of fuel spacers 8, a plurality of water rods WR, and a channel box 7. In each fuel rod 2, a plurality of fuel pellets (not shown) are loaded in a sealed cladding tube (not shown). The lower tie-plate 6 supports lower end portions of the fuel rods 2, and the upper tie-plate 5 holds upper end portions of the fuel rods 2. The fuel rods 2 are placed in 10 rows and 10 columns in the lateral cross section of the fuel assembly 1, as shown in FIG. 2. Two water rods WR, each of which occupies an region where four fuel rods 2 can be placed, are placed at the central part of the lateral cross section of the fuel assembly 1. Lower end portions of these water rods are supported by the lower tie-plate 6, and their upper end portions are held by the upper tie-plate 5. The plurality of fuel spacers 8 are arranged at a fixed interval in the axial direction of the fuel assembly 1 and hold the fuel rods 2 and water rods WR in such a way that flow paths, through which cooling water flows, are formed between each two fuel rods 2 and between a fuel rod 2 and a water rod WR. The channel box 7, which is a rectangular parallelepiped cylinder having a square lateral cross section, is attached to the upper tie-plate 5 and extends downward. The fuel rods 2 bundled by the fuel spacers 8 are placed in the channel box 7. The outer width of the channel box 7 is about 15 cm, the outer diameter of the fuel rod 2 is about 1.0 cm, and the outer diameter of the water rod WR is about 2.5 cm. The fuel rods 2 in the fuel assembly 1 do not include partial-length fuel rods, which will be described in a second embodiment. The water rod WR is a large-diameter water rod having a lateral cross section that occupies an region where at least two fuel rods 2 can be placed. The fuel assembly 1 is loaded in the core of the boiling water reactor so that its one corner faces a control rod CR, which is inserted into the core and has a lateral cross section in a cross shape. The channel box 7 is attached to the upper tie-plate 5 by a channel fastener (not shown). The channel fastener has a function for keeping a clearance between fuel assemblies 1 that is enough to insert the control rod CR therebetween when fuel assemblies 1 are loaded into the core. To enable the function, the channel fastener is attached to the upper tie-plate 5 so that the channel fastener is positioned at a corner facing the control rod CR. In other words, the corner section to which the channel fastener is attached faces the control rod CR for the fuel assembly 1. Each fuel pellet loaded in each fuel rod 2 is manufactured by using uranium dioxide, which is a nuclear fuel material and includes uranium-235, which is a fissionable material. The plurality of fuel rods 2 in the fuel assembly 1 are classified into a plurality of fuel rods 3 including uranium and not including gadolinia, which is a burnable poison, (referred to below as uranium fuel rods) and a plurality of fuel rods 4 including both uranium and gadolinia (referred to below as burnable poison-bearing fuel rods). The fuel pellets in the burnable poison-bearing fuel rod 4 include the gadolinia. Fuel rods other than the burnable poison-bearing fuel rods 4 are the uranium fuel rods 3. The fuel assembly 1 has 92 fuel rods 2. Of these, 79 fuel rods 2 are the uranium fuel rods 3 and the remaining 13 fuel rods 2 are the fuel rods 4. The average enrichment in the lateral cross section of the fuel assembly 1 is about 4.6 wt % or more. That is, the fuel assembly 1 has a blanket region at an upper end portion and lower end portion of the active fuel length, and also has an enriched uranium region between the blanket region of the upper end portion and the blanket region of the lower end portion. The fuel assembly 1 has an average enrichment of about 4.6 wt % in the lateral cross section of the enriched uranium region. The two blanket regions are loaded with natural uranium rather than enriched uranium. The two blanket regions do not include the gadolinia and the enriched uranium region includes the gadolinia. Consequently, the fuel assembly 1 has an average enrichment of about 4.6 wt % in the lateral cross section of the region including the gadolinia within the active fuel length. The arrangement of the burnable poison-bearing fuel rods 4 in the fuel assembly 1 will be described in detail with reference to FIG. 2. The burnable poison-bearing fuel rods 4 are placed in the four corner sections and near the water rods WR. The burnable poison-bearing fuel rods 4 are symmetrically placed with respect to a first diagonal line that passes one corner facing the control rod CR. In the fuel rod array of 10 rows and 10 columns, eight burnable poison-bearing fuel rods 4 are placed in the outermost layer adjacent to the inner surfaces of the channel box 7, and one burnable poison-bearing fuel rod 4 is placed in a second layer from the outer periphery. Four burnable poison-bearing fuel rods 4 are placed adjacent to two water rods WR. The reason why the plurality of burnable poison-bearing fuel rods 4 are symmetrically placed with respect to the first diagonal line is to form an power distribution in the lateral cross section of the fuel assembly 1 symmetric with respect to the first diagonal line even if the degree of insertion of the control rod CR into the core changes. The one burnable poison-bearing fuel rod 4 in the second layer is placed in a first corner section facing the control rod CR on the first diagonal line, specifically, it is placed at a corner of the second layer. Two burnable poison-bearing fuel rods 4 are placed at a third corner section opposite to the first corner section and the third corner section is opposite to the control rod CR on the first diagonal line. In the outermost layer, these burnable poison-bearing fuel rods 4 are adjacent to the uranium fuel rod 3 at the corner. Two corner sections, a second corner section and a fourth corner section, are located on another diagonal line (a second diagonal line), which is orthogonal to the first diagonal line. In FIG. 2, the second corner section is a lower left corner section, and the fourth corner section is an upper right corner section. Three burnable poison-bearing fuel rods 4 placed in the outermost layer are present in the second and fourth corner sections each. Of the three burnable poison-bearing fuel rods 4, one burnable poison-bearing fuel rod 4 is placed at the corner of the outermost layer, and the remaining two burnable poison-bearing fuel rods 4 are placed, in the outermost layer, adjacent to the one burnable poison-bearing fuel rod 4 at the corner. Of the four burnable poison-bearing fuel rods 4 adjacent to the two water rods WR, two burnable poison-bearing fuel rods 4 are placed between the two water rods WR and adjacent to them. The remaining two burnable poison-bearing fuel rods 4 are placed in a third layer from the outer periphery and they are separately adjacent to the two water rods WR. The two water rods WR are placed on the second diagonal line. In the core, the cooling water supplied from the lower part of the lower tie-plate 6 rises through flow paths formed among the fuel rods 2 and the water rods WR, passes through the upper tie-plate 5, and are discharged upward. Part of the cooling water is heated by heat generated by nuclear fission of uranium-235 in the fuel rods, and turns to steam. In this embodiment, the burnable poison-bearing fuel rods 4 are placed adjacent to one another in two of the four corner sections in the outermost layer, the two corner sections being on the second diagonal line. At these two corner sections respectively, one of the burnable poison-bearing fuel rods 4 is placed at the corner of the outermost layer. A plurality of burnable poison-bearing fuel rods 4 are placed adjacent to the water rods WR, as described above. In the fuel assembly 1 of this embodiment, which has this type of arrangement of the burnable poison-bearing fuel rods 4, the first and second countermeasures described above are taken for the two corner sections on the second diagonal line. In this embodiment, in which the burnable poison-bearing fuel rods 4 are placed as described above, while gadolinia is present in the fuel assemblies, that is, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, the negative void reactivity coefficient becomes larger than that for fuel assemblies A, C, E and F, and increased rate in the reactivity change rate during a hot-cold state change is reduced to a value smaller than increased rates for fuel assemblies A, B, C, D, and E, as shown in FIGS. 9 and 10. Specifically, this embodiment can increase the negative void reactivity coefficient by about 70% when compared with fuel assembly A. The increased rate of the reactivity change rate during a hot-cold state change of the core can be suppressed to an increase of about 14% when this embodiment is compared with fuel assembly A. By comparison, the increased rate is increased by about 23% when the fuel assembly B is compared with the fuel assembly A. As described above, the burnable poison-bearing fuel rods 4 are placed at corners in the outermost layer and other burnable poison-bearing fuel rods 4 are placed adjacent to the burnable poison-bearing fuel rods 4 at the corners in the outermost layer. This arrangement of the burnable poison-bearing fuel rods 4 may also be applied not only to the second and fourth corner sections but also to the third corner section. In this case as well, the same effect as the fuel assembly 1 in which the arrangement of the burnable poison-bearing fuel rods 4 is applied only to the second and fourth corner sections can be obtained. However, since the arrangement of the burnable poison-bearing fuel rods 4 is also applied to the third corner section, the negative void reactivity coefficient is further increased, when compared with the fuel assembly 1. The above arrangement of the burnable poison-bearing fuel rods 4 may be applied only to the third corner section without being applied to the second and fourth corner sections. In this case as well, the same effect as the fuel assembly 1 can be obtained. However, since there are three corner sections where the arrangement of the burnable poison-bearing fuel rods 4 is not applied, the increase in power in the lateral cross section of the fuel assembly is distributed to the three sections and thus the effect of suppressing the imbalance of the power distribution in the lateral cross section is lessened. When the above arrangement of the burnable poison-bearing fuel rods 4 is applied to the corner section on the control rod CR side (first corner section), control rod worth is reduced, reducing the core shutdown margin. The first countermeasure is taken for the fuel assembly 1, so the increased amount in the negative reactivity coefficient can be increased when compared with the sixth embodiment, which will be described below, in which the countermeasure is not taken. [Second Embodiment] A fuel assembly in a second embodiment of the present invention will be described below with reference to FIG. 12, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1A of this embodiment differs from the fuel assembly 1 in the first embodiment in that some of the plurality of uranium fuel rods 3 are replaced with partial-length fuel rods and the number of burnable poison-bearing fuel rods 4 and their placement are changed. Other construction of the fuel assembly 1A is the same as the fuel assembly 1. In this embodiment, the partial-length fuel rod includes uranium and does not include gadolinia. Its active fuel length is smaller than that of the uranium fuel rod 3. The fuel assembly 1A has 92 fuel rods 2. Of these, 67 fuel rods 2 are uranium fuel rods, 14 fuel rods 2 are partial-length fuel rods, and the remaining 11 fuel rods 2 are burnable poison-bearing fuel rods 4. The partial-length fuel rods are placed among uranium fuel rods 2 in a second layer from the outer periphery and between two water rods WR. The partial-length fuel rods are placed in a plurality of areas 10 not marked ◯ in FIG. 12, which indicates a fuel rod, when compared with FIG. 1. FIG. 12 shows the lateral cross section of fuel assembly 1A at a position above the upper ends of the partial-length fuel rods. The dimensions of the outer width of the channel box 7, the outer diameter of the fuel rod 2, and the outer diameter of the water rod WR are the same as in the first embodiment. In the enriched uranium region of fuel assembly 1A, the average uranium enrichment in the lateral cross section that do not include the partial-length fuel rods is about 4.6 wt %, and the average uranium enrichment in the lateral cross section that includes the partial-length fuel rods is also about 4.6 wt %. In this embodiment, four burnable poison-bearing fuel rods 4 are placed adjacent to the two water rods WR, each two being adjacent to one side of the two water rods WR, and seven burnable poison-bearing fuel rods 4 are placed in three corner sections of the outermost layer. One burnable poison-bearing fuel rod 4 is placed at each corner of the outermost layers in the second, third, and fourth corner sections. In each of the second and fourth corner sections, one burnable poison-bearing fuel rod 4 in the outermost layer is placed adjacent to another burnable poison-bearing fuel rod 4 placed at the corner. In the third corner section, two burnable poison-bearing fuel rods 4 in the outermost layer are placed adjacent to another burnable poison-bearing fuel rod 4 placed at the corner. In this embodiment, the first and second countermeasures described above are taken for the second, third, and fourth corner sections. In this embodiment, in which the burnable poison-bearing fuel rods 4 are placed as described above, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, the negative void reactivity coefficient becomes larger than that for the fuel assemblies A, C, E and F, and increased rate in the reactivity change rate during a hot-cold state change is also reduced to a value smaller than those for the fuel assemblies A, B, C, D, and E. This embodiment can increase the negative void reactivity coefficient by about 79% when compared with the fuel assembly C, which indicates the same tendency as the fuel assembly A. The reactivity change rate during a hot-cold state change of the core can be reduced by about 9% when this embodiment is compared with the fuel assembly C. By comparison, the reactivity change rate is increased by about 3% when the fuel assembly D, which indicates the same tendency as the fuel assembly B, is compared with the fuel assembly C. [Third Embodiment] A fuel assembly in a third embodiment of the present invention will be described below with reference to FIG. 13, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1B of this embodiment differs from fuel assembly 1 in that two burnable poison-bearing fuel rods 4 adjacent to the water rods WR are placed at different positions. Other construction of fuel assembly 1B is the same as fuel assembly 1. The number of uranium fuel rods 3 and the number of burnable poison-bearing fuel rods 4 are the same as in the first embodiment. As described above, the two burnable poison-bearing fuel rods 4 in the fuel assembly 1 are placed, in the third layer, adjacent to the water rods WR and nearer to the control rod CR than the two water rods WR. In this embodiment, the two burnable poison-bearing fuel rods 4 are placed, in the third layer, adjacent to the water rods WR and nearer to the third corner section. In this embodiment, the negative void reactivity coefficient, and increased rate in the reactivity change rate during a hot-cold state change are almost the same as in the first embodiment. A control rod worth during a cold time is about 5% higher than in the first embodiment, improving the core shutdown margin. [Fourth Embodiment] A fuel assembly in a fourth embodiment of the present invention will be described below with reference to FIG. 14, the fuel assembly being applied to a boiling water reactor. Although the fuel assemblies 1, 1A, and 1B do not have the characteristic shown in FIG. 11, the fuel assembly 1C in this embodiment has the characteristics shown in FIG. 11. In the fuel assembly 1C, a concentration distribution of burnable poison (gadolinia, for example) in the axial direction is different from that in the fuel assembly 1, as described below. The dimensions of the outer width of the channel box 7, the outer diameter of the fuel rod 2, and the outer diameter of the water rod WR are the same as in the first embodiment. In fuel assembly 1C, the average uranium enrichment in the lateral cross section of region β is about 4.6 wt %, and the average uranium enrichment in the lateral cross section in an enriched uranium region other than region β is also about 4.6 wt %. As with the fuel assembly 1, the fuel assembly 1C also has blanket regions at an upper end portion and lower end portion of the active fuel length. Assume that a region extending upward from the lower end of the active fuel length L of fuel assembly 1C to a position up to L/8 is a region γ, a region between the position up to L/8 from the lower end of the active fuel length L and a position up to L/2 from the lower end of the active fuel length L is a region β, and a region between the position up to L/2 from the lower end of the active fuel length L and the upper end of the active fuel length L is a region α. In the region α of fuel assembly 1C, the gadolinia arrangement in the lateral cross section is the same as the gadolinia arrangement in fuel assembly C shown in FIG. 7. In the region β, the gadolinia arrangement in the lateral cross section is the same as the gadolinia arrangement in the fuel assembly 1 shown in FIG. 2. In the region γ, the gadolinia arrangement in the lateral cross section is the same as the gadolinia arrangement in fuel assembly A shown in FIG. 7. The fuel assembly 1C has 14 partial-length fuel rods. In the fuel assembly 1C, the arrangement in the lateral cross section of the fuel assembly of these partial-length fuel rods is the same as in the second embodiment. The partial-length fuel rod includes uranium and does not include gadolinia. Its upper end is at the position up to L/2 from the lower end of the active fuel length L. In the fuel assembly 1C, a plurality of uranium fuel rods 3 are placed at positions where the burnable poison-bearing fuel rods 4 are not placed in the lateral cross sections of the fuel assembly 1 in FIG. 2 and fuel assemblies A and C in FIG. 7 and also placed at positions where partial-length fuel rods are not placed in the lateral cross section of fuel assembly C. In fuel assembly 1C, the burnable poison-bearing fuel rods 4 are placed at positions where the burnable poison-bearing fuel rods 4 are placed in any of the lateral cross sections of the fuel assembly 1 in FIG. 2 and fuel assemblies A and C in FIG. 7. Of the partial-length fuel rods, two burnable poison-bearing fuel rods 4 placed between the two water rods WR in region β (see FIG. 2) include uranium and gadolinia, and the remaining 12 partial-length fuel rods include uranium and do not include gadolinia. The upper ends of the 14 partial-length fuel rods are placed at positions up to L/2 from the lower end of the active fuel length L. In the fuel assembly 1C, while the gadolinia is present in the fuel assemblies, that is, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, the negative void reactivity coefficient becomes larger than that for the fuel assemblies A, C, E and F, and increased rate in the reactivity change rate during a hot-cold state change is also reduced to a value smaller than those for fuel assemblies A, B, C, D, and E, as shown in FIGS. 9 and 10. Specifically, the fuel assembly 1C can increase the negative void reactivity coefficient by about 37% and can also suppress the increased rate of the reactivity change rate during a hot-cold state change of the core to about 4.7% when compared with a fuel assembly in which the gadolinia arrangement in the regions β and γ is the same as the gadolinia arrangement in the lateral cross section of fuel assembly A and the gadolinia arrangement in the region α is the same as the gadolinia arrangement in the lateral cross section of fuel assembly C. Accordingly, the fuel assembly 1C can minimize the increased rate in the reactivity change rate during a hot-cold state change of the core and can efficiently increase the negative void reactivity coefficient, when compared with the first embodiment. In this embodiment, a plurality of burnable poison-bearing fuel rods 4 that include the gadolinia in the region defined by L/8≦h≦L/2 and do not include the gadolinia in other regions within the active fuel length L are placed as in the fuel assembly 1. Since the burnable poison-bearing fuel rods 4 of this type are used in this embodiment, the effects illustrated in FIGS. 9 and 10 can be obtained with a minimum arrangement change in which a burnable poison is just placed in the region defined by L/8≦h≦L/2. Where, h is a distance from the lower end of the active fuel length L. In this embodiment, less burnable poison-bearing fuel rods 4 are placed in corner sections in the outermost layer of the fuel rod array in the lateral cross section of the upper part of the fuel assembly defined by L/2<h than in corner sections in the lateral cross section of the lower part of the fuel assembly defined by h≦L/2. The gadolinia may be placed in the lateral cross section of the region β in the fuel assembly 1C as shown in FIGS. 12 and 13, instead of the arrangement shown in FIG. 1. [Fifth Embodiment] A fuel assembly in a fifth embodiment of the present invention will be described below with reference to FIG. 15, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1D in this embodiment differs from the fuel assembly 1 in the arrangement of the burnable poison-bearing fuel rods 4 in corner sections in the outermost layer of the fuel rod array, the arrangement of the burnable poison-bearing fuel rods 4 adjacent to the water rods WR, and the number of the burnable poison-bearing fuel rods 4. Six burnable poison-bearing fuel rods 4 are placed in the second, third, and fourth corner sections in the outermost layer (no burnable poison-bearing fuel rods 4 are placed in the first corner section), 11 burnable poison-bearing fuel rods 4 are adjacent to the water rods WR. In the corner sections, the burnable poison-bearing fuel rods 4 are placed in the second, third and fifth corner sections and not placed in the first section. In the second and fourth corner sections, the burnable poison-bearing fuel rods 4 are placed at the corner and a position adjacent to the corner and toward the third corner. In the third corner, no burnable poison-bearing fuel rod 4 is placed at the center and two burnable poison-bearing fuel rods 4 are placed at both positions adjacent to the corner. In a second layer of the fuel rod array of fuel assembly 1D, three burnable poison-bearing fuel rods 4 are placed. Of these, one burnable poison-bearing fuel rod 4 is placed at a corner of the second layer that is near the control rod CR. The remaining two burnable poison-bearing fuel rods 4 in the second layer are placed at positions apart from the corner near the control rod CR by one fuel rod. The average uranium enrichment in the lateral cross section of fuel assembly 1D is about 6.4 wt %, which is higher than the average uranium enrichment in the fuel assembly 1 in the first embodiment. Other construction of the fuel assembly 1D is the same as fuel assembly 1. In this embodiment, the first and second countermeasures described above are taken, so the negative void reactivity coefficient can be increased to almost the same extent as in the fuel assembly in the first embodiment, and the increase in the reactivity change rate during a hot-cold state change can be suppressed. [Sixth Embodiment] A fuel assembly in a sixth embodiment of the present invention will be described below with reference to FIGS. 16 to 18, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1E in this embodiment includes a plurality of uranium fuel rods 3 and a plurality of burnable poison-bearing fuel rods 4, which are a plurality of fuel rods 2, and has lateral cross sections shown in FIGS. 16 to 18 in the axial direction. The uranium fuel rods 3 include 40 uranium fuel rods 3A having the active fuel length L of fuel assembly 1E and also include 10 partial-length uranium fuel rods 3B having an active fuel length of 14L/24. These uranium fuel rods 3 do not include a burnable poison. The burnable poison-bearing fuel rods 4 include 10 burnable poison-bearing fuel rods 4A, 22 burnable poison-bearing fuel rods 4B, 6 burnable poison-bearing fuel rods 4C, and 4 burnable poison-bearing fuel rods 4D. The burnable poison-bearing fuel rods 4A, 4B, and 4C each have the active fuel length L, and fuel assembly 4D is a partial-length fuel rod having an active fuel length of 14L/24. In the uranium fuel rods 3A and 3B and the burnable poison-bearing fuel rods 4A, 4B, 4C, and 4D, a lower region extending from the lower end region of the active fuel length L to a position up to L/24 from the lower end is a natural uranium region filled with natural uranium. In the uranium fuel rod 3A and the burnable poison-bearing fuel rods 4A, 4B, and 4C, an upper end region between a position up to 23L/24 from the lower end of the active fuel length L and the upper end of the active fuel length L is also a natural uranium region. The uranium fuel rod 3A and the burnable poison-bearing fuel rods 4A, 4B, and 4C each have an enriched uranium region filled with enriched uranium between the lower natural uranium region and the upper natural uranium region. The uranium fuel rod 3B and the burnable poison-bearing fuel rod 4D each have an enriched uranium region between the lower natural uranium region and the upper end of the active fuel length 14L/24. In the burnable poison-bearing fuel rod 4A, a region that excludes the lower end region of the active fuel length L and extends upward from the lower end of the active fuel length L to a position up to L/2 (defined by L/24<h≦L/2) is a burnable poison region G1 that includes a burnable poison such as gadolinia. In the burnable poison-bearing fuel rod 4A, the gadolinia concentration in regions other than the burnable poison region G1 is 0%. In the burnable poison-bearing fuel rod 4B, a region that excludes the upper end region of the active fuel length L and extends upwards from a position up to L/2 from the lower end of the active fuel length L (defined by L/2<h≦23L/24) is a burnable poison region G2 that includes a burnable poison such as gadolinia. In the burnable poison-bearing fuel rod 4B, the gadolinia concentration in regions other than the burnable poison region G2 is 0%. In the burnable poison-bearing fuel rod 4C, a region defined by L/24<h≦23L/24 is burnable poison area G3 that includes a burnable poison such as gadolinia. In the burnable poison-bearing fuel rod 4D, a region defined by L/24≦h≦L/2 is a burnable poison region GP that includes a burnable poison such as gadolinia. The natural uranium regions in the burnable poison-bearing fuel rods 4A to 4D do not include a burnable poison. In FIGS. 16 to 18, “0” and “0P” indicate that the gadolinia concentration is 0%. The fuel assembly 1E has the burnable poison regions G1, G3, and GP in the lateral cross section of a lower region defined by L/24<h≦L/2, as shown in FIG. 16, the burnable poison regions G2 and G3 in the lateral cross section of a middle region defined by L/2<h≦14L/24, as shown in FIG. 17, and the burnable poison regions G2 and G3 in the lateral cross section of an upper region defined by 14L/24<h≦23L/24. The upper section includes neither the uranium fuel rod 3B, which is a partial-length fuel rod, nor the gadolinia in the burnable poison-bearing fuel rod 4D. The arrangement of the fuel rods in the lateral cross section of the lower region differs from the arrangement of the fuel rods in the fifth embodiment shown in FIG. 15 just in that the burnable poison-bearing fuel rods 4 placed at the corners of the second and fourth corner sections of the outermost layer are moved toward the first corner section by one fuel rod. That is, in the lower region, the uranium fuel rods 3A rather than the burnable poison-bearing fuel rods 4 are placed at the corners of the second and fourth corner sections of the outermost layer. The burnable poison region G1 of the burnable poison-bearing fuel rod 4A is placed at both positions adjacent to each the uranium fuel rod 3A. The burnable poison-bearing rods 4A, 4B, 4C, and GP are placed adjacent to the two water rods WR. The burnable poison regions G1, G3, and GP of the burnable poison-bearing fuel rods 4A, 4C, and GP excluding the burnable poison-bearing fuel rod 4B are placed adjacent to the two water rods WR. Three burnable poison-bearing fuel rods 4D in which the burnable poison region GP is placed in the lower region are placed in the second layer of the fuel rod array. One burnable poison-bearing fuel rod 4D is placed at the corner of the second layer in the first corner section. The remaining two burnable poison-bearing fuel rods 4D are placed at positions apart from the one burnable poison-bearing fuel rod 4D at the above corner with one burnable poison-bearing fuel rod 4B intervening therebetween. None of the burnable poison region G2 of the burnable poison-bearing fuel rods 4B are placed in the lower region. Twenty burnable poison-bearing fuel rods 4 have a burnable poison region in the lower region. In the middle region, no burnable poison region is placed in the outermost layer of the fuel rod array, as in fuel assembly A. That is, the burnable poison-bearing fuel rods 4B and 4C having the burnable poison regions G2 and G3 placed in the middle region are placed inside the second layer and also placed at positions adjacent to the water rods WR. In the second layer, the burnable poison regions G2 of 16 burnable poison-bearing fuel rods 4B are placed. The burnable poison regions G2 and G3 of the burnable poison-bearing fuel rods 4B and 4C are placed adjacent to the two water rods WR. Twenty-eight burnable poison-bearing fuel rods 4 have a burnable poison region in the middle region. The arrangement of the burnable poison-bearing fuel rods 4 in the lateral cross section of the upper region is the same as the arrangement in the middle region. The average enrichment in the lateral cross section of the fuel assembly 1E is about 6.3 wt %. The lower region, middle region and upper region also has an average enrichment of about 6.3 wt % in their lateral cross sections. In the fuel assembly 1E in this embodiment, the burnable poison region G1 of the burnable poison-bearing fuel rods 4A are placed at both positions adjacent to the corners of the second, third, and fourth corner sections (excluding the first corner section) in the lower region located below the position up to L/2 from the lower end of the active fuel length L. In the outermost layers in the second, third, and fourth corner sections in the middle region and upper region, the burnable poison-bearing fuel rods 4A placed at both positions adjacent to these corners do not include the burnable poison region G1. Thus, in the fuel assembly 1E, the second countermeasure is taken for the region below half the active fuel length L, particularly, the region in which the changed amount of the void fraction shown in FIG. 11 is greater than the average changed amount of the void fraction in the axial direction of the fuel assembly (area defined by L/8≦h≦L/2), and the second countermeasure is not taken for the region above half the active fuel length L (middle region and upper region). Accordingly, six burnable poison-bearing fuel rods 4 have the burnable poison regions that are placed in corners in the outermost layer in the region below half the active fuel length L, and the six burnable poison-bearing fuel rods 4 have no burnable poison regions that are placed at identical positions in the region above half the active fuel length L. In corner sections in the outermost layer, the number of the burnable poison-bearing fuel rods 4 having the burnable poison region in the upper part of the fuel assembly 1E is smaller than the number of the burnable poison-bearing fuel rods 4 having the burnable poison region in the lower part of the fuel assembly 1E. In the fuel assembly 1E, the burnable poison-bearing fuel rods 4B are placed at both positions adjacent to the corners of the outermost layers in the second, third, and fourth corner sections. Since the burnable poison regions G1 of these burnable poison-bearing fuel rods 4B are placed in the above corner sections, where the neutron spectrum is soft, the effective amount of the gadolinia is reduced in the lower region of the fuel assembly 1E. In the second, third, and fourth corner sections, the effective change of the void fraction is lessened during an operation of the reactor. Accordingly, it is possible to reduce the degree of contribution to the void reactivity coefficient in the lateral cross section of the fuel assembly 1E by the burnable poison regions G1 placed at both positions adjacent to corners of the outermost layers in the second, third, and fourth corner sections. As a result, in this embodiment, during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, the negative void reactivity coefficient can be increased by about 30% for a fuel assembly (fuel assembly A shown in FIG. 7) in which the middle region in which the second countermeasure is not taken for the corner sections is also applied to the lower region. The fuel assembly 1E has a construction to which a new finding illustrated in FIG. 11 is applied. That is, in fuel assembly 1E, the second countermeasure (arrangement of the burnable poison-bearing fuel rods 4A in the outermost layers in corner sections and arrangement of the burnable poison-bearing fuel rods 4A, 4C, etc. adjacent to the water rods WR) is taken in the region below the position up to L/2 from the lower end of the active fuel length L, that includes the region defined by L/8≦h≦L/2 in which the changed amount of the void fraction is exceeded the average changed amount of the void fraction in the axial direction of the fuel assembly. In the fuel assembly 1E, no burnable poison region G1 is placed in the outermost layers in corner sections in most parts in the region above the position up to L/2 from the lower end of the active fuel length L. In the fuel assembly 1E having this arrangement of the burnable poison region, when application of the second countermeasure is limited to an region in which the void fraction largely changes in the axial direction of the fuel assembly, increased amount of the negative void reactivity coefficient is smaller than increased amount (see FIG. 9) of the negative void reactivity coefficient in the fuel assembly E equivalent to the fuel assembly shown in FIG. 1 in Japanese Patent Laid-open No. Sho 63 (1988)-133086, but reduction from the above known fuel assembly E can be suppressed to about 30%. During a period from when an operation of the reactor starts until the specific burnup becomes about 2.0×104 MWd/t, increase in the reactivity change rate during a hot-cold state change can be reduced to about 50% of the increase (see FIG. 10) of the fuel assembly E equivalent to the fuel assembly in the known example, and can be suppressed to a value smaller than the increase of the fuel assembly 1. [Seventh Embodiment] A fuel assembly in a seventh embodiment of the present invention will be described below with reference to FIGS. 19 and 20, the fuel assembly being applied to a boiling water reactor. The fuel assembly 1F in this embodiment has a plurality of fuel rods 2 including a plurality of uranium fuel rods 3 and a plurality of burnable poison-bearing fuel rods 4. These uranium fuel rods 3 include uranium fuel rods U1, U2, U3 and P1, and those burnable poison-bearing fuel rods 4 include burnable poison-bearing fuel rods G5, G6, G7, G8 and P2. The uranium fuel rods U1, U2 and U3 and the burnable poison-bearing fuel rods G5, G6, G7 and G8 have an active fuel length of L. The uranium fuel rod P1 and burnable poison-bearing fuel rod P2 are partial-length fuel rods having an active fuel length of 14L/24. A lower end section of each of the uranium fuel rods U1 to U3 and P1 and the burnable poison-bearing fuel rods G5 to G8 and P2, which extends from the lower end of the active fuel length L to a position up to L/24 from the lower end, is a natural uranium region NU. An upper end section of each of the uranium fuel rods U1 to U3 and burnable poison-bearing fuel rods G5 to G8, which is located between a position up to 23L/24 from the lower end of the active fuel length L and the upper end of the active fuel length L is also a natural uranium region NU. In each fuel rod 2, the region other than the natural uranium region NU is an enriched uranium region. FIG. 20 illustrates the uranium enrichment and gadolinia concentration of each fuel rod 2. In FIG. 20, A, B and C indicate uranium enrichment, and they have a relation of A>B>C, and a, b and c indicate the gadolinia concentrations, and they have a relation of a>b>c. The burnable poison-bearing fuel rods G5, G6 and P2 include the gadolinia, which is a burnable poison, in an region defined by L/24<h≦14L/24, and do not include the gadolinia in other regions. The burnable poison-bearing fuel rod G7 includes the gadolinia in an region defined by L/24<h≦23L/24, and does not include the gadolinia in other regions. The burnable poison-bearing fuel rod G8 includes the gadolinia in an region defined by 14L/24<h≦23L/24, and does not include the gadolinia in other regions. The fuel assembly 1F includes the uranium fuel rod P1, which is a partial-length fuel rod, and the burnable poison-bearing fuel rod P2, so it includes 78 fuel rods 2 in an region defined by 14L/24<h≦23L/24. The average enrichment in the lateral cross section of the fuel assembly 1F below the position up to 14L/24 from the lower end of the active fuel length L except the lower end section is about 4.7 wt %. The average enrichment in the lateral cross section of the fuel assembly 1F above the position up to 14L/24 from the lower end of the active fuel length L, excluding the upper end section, is also about 4.7 wt %. Arrangement of the uranium fuel rods 3 and burnable poison-bearing fuel rods 4 in the fuel assembly 1F will be described. The uranium fuel rods U3 are placed at the corners of the outermost layers in the first and third corner sections. The burnable poison-bearing fuel rods G5 are placed at the corners of the outermost layers in the second and fourth corner sections. The uranium fuel rods U2 are placed in the outermost layer. In the first corner section, the uranium fuel rods U2 are placed at both positions adjacent to uranium fuel rod U3. In the second and fourth corner sections, the uranium fuel rods U2 are placed adjacent to the burnable poison-bearing fuel rod G5 on the first corner section side. The burnable poison-bearing fuel rods G6 are placed in the outermost layer. In the third corner section, the burnable poison-bearing fuel rods G6 are placed at both positions adjacent to uranium fuel rod U3. In the second and fourth corner sections, the burnable poison-bearing fuel rods G6 are placed adjacent to the burnable poison-bearing fuel rod G5 on the third corner section side. The burnable poison-bearing fuel rods G7 are placed adjacent to the water rods WR. The burnable poison-bearing fuel rods G8 are placed in a second layer from the outer periphery of the fuel rod array. The burnable poison-bearing fuel rods P2 are placed between the two water rods WR. In the lateral cross section of the fuel assembly 1F below the position up to 14L/24 from the lower end of the active fuel length L, burnable poison region are disposed at positions where the burnable poison-bearing fuel rods G5 to G7 and P2 are placed. In the lateral cross section of the fuel assembly 1F above the position up to 14L/24 from the lower end of the active fuel length L, the burnable poison regions are disposed at positions where burnable poison-bearing fuel rods G7 and G8 are placed. In other construction, the fuel assembly 1F is the same as the fuel assembly 1. In the fuel assembly 1F, the first and second countermeasures are taken for the region below the position up to 14L/24 from the lower end of the active fuel length L except the lower end section. Thus, six burnable poison-bearing fuel rods 4 are placed in the outermost layers of corner sections in the region below 14L/24, and no burnable poison-bearing fuel rods 4 are placed in the outermost layers of corner sections in the region above 14L/24. That is, the burnable poison-bearing fuel rods G5 and G6 placed in the outermost layer include the burnable poison in an region between the position up to 14L/24 from the lower end of the active fuel length L and the position up to L/2 from the lower end of the active fuel length L, the region occupying most of the region below the position up to L/2 from the lower end of the active fuel length L, and does not include a burnable poison in an region between the position up to 14L/24 from the lower end of the active fuel length L and the position up to 23L/24 from the lower end of the active fuel length L, the region occupying most of the region above the position up to L/2 from the lower end of the active fuel length L. Accordingly, more burnable poison-bearing fuel rods 4 are placed in the outermost layers of corner sections in the region below L/2 than in the region above L/2. In the fuel assembly 1F, the burnable poison-bearing fuel rods 4 are placed in the outermost layers of the second, third, and fourth corner sections, excluding the first corner section. Since, in this embodiment, the first and second countermeasures are taken in the region below the position up to L/2 from the lower end of the active fuel length L, the negative void reactivity coefficient can be increased by about 50% during a period from when an operation of the reactor starts until the burn-up becomes about 2.0×104 MWd/t, when compared to fuel assemblies for which the first and second countermeasures are not taken in corner sections. In addition, since, in this embodiment, the burnable poison-bearing fuel rods 4 are not placed in the first corner section, the control rod worth is increased, and since the first and second countermeasures are not taken in the region above the position up to 14L/24 from the lower end of the active fuel length L, increase in the reactivity change rate can be suppressed during a hot-cold state change. Accordingly, the reactor shutdown margin is almost the same as in the fuel assembly for which the first and second countermeasures are not taken. Although the gadolinia is loaded in the burnable poison-bearing fuel rods 4 in the above embodiments, samarium or europium, which is also a burnable poison, may be used instead of the gadolinia.
claims
1. A scintillator panel comprising:a substrate portion having a first main surface and a first rear surface intersecting a first direction on sides opposite to each other, and a first side surface extending such that the first main surface and the first rear surface are joined to each other;a scintillator layer portion having a second rear surface formed of a plurality of columnar crystals extending in the first direction and formed to include a base portion being on one end side of the columnar crystals and facing the first main surface, a second main surface formed to include a tip portion on the other end side of the columnar crystals, and a second side surface extending such that the second main surface and the second rear surface are joined to each other; anda protective film covering the first rear surface and the first side surface of the substrate portion, and the second main surface and the second side surface of the scintillator layer portion,wherein the first side surface partially has a coarsened region,wherein the second side surface has a coarsened region including an uneven structure,wherein the protective film closely adheres to the second side surface such that the coarsened regions of the first side surface and the second side surface are covered, andwherein the first side surface includes a burr formed in a corner portion between the first rear surface and the first side surface. 2. The scintillator panel according to claim 1, wherein the uneven structure included in the coarsened region is formed when the columnar crystals become partially deficient. 3. The scintillator panel according to claim 1, wherein the second rear surface of the scintillator layer portion comes into contact with the first main surface of the substrate portion. 4. The scintillator panel according to claim 1, further comprising:a barrier layer formed to come into contact with each of the first main surface in the substrate portion and the second rear surface in the scintillator layer portion,wherein the barrier layer is formed of thallium iodide, andwherein the scintillator layer portion is formed of a material having cesium iodide as a main component. 5. A radiation detector comprising:a scintillator panel according to claim 1 emitting scintillation light in response to incident radiation; anda photo-detection substrate facing the scintillator panel and detecting the scintillation light. 6. A scintillator panel comprising:a substrate portion having a first main surface and a first rear surface intersecting a first direction on sides opposite to each other, and a first side surface extending such that the first main surface and the first rear surface are joined to each other;a scintillator layer portion having a second rear surface formed of a plurality of columnar crystals extending in the first direction and formed to include a base portion being on one end side of the columnar crystals and facing the first main surface, a second main surface formed to include a tip portion on the other end side of the columnar crystals, and a second side surface extending such that the second main surface and the second rear surface are joined to each other; anda protective film covering the first rear surface and the first side surface of the substrate portion, and the second main surface and the second side surface of the scintillator layer portion,wherein the first side surface partially has a coarsened region,wherein the second side surface has a coarsened region including an uneven structure,wherein the protective film closely adheres to the second side surface such that the coarsened regions of the first side surface and the second side surface are covered,wherein the scintillator layer portion has a notch portion formed in a corner portion between the second rear surface and the second side surface, andwherein the notch portion is filled with the protective film. 7. The scintillator panel according to claim 6, wherein the uneven structure included in the coarsened region is formed when the columnar crystals become partially deficient. 8. The scintillator panel according to claim 6 wherein the second rear surface of the scintillator layer portion comes into contact with the first main surface of the substrate portion. 9. The scintillator panel according to claim 6, further comprising:a barrier layer formed to come into contact with each of the first main surface in the substrate portion and the second rear surface in the scintillator layer portion,wherein the barrier layer is formed of thallium iodide, andwherein the scintillator layer portion is formed of a material having cesium iodide as a main component. 10. A radiation detector comprising:a scintillator panel according to claim 6 emitting scintillation light in response to incident radiation; anda photo-detection substrate facing the scintillator panel and detecting the scintillation light. 11. A scintillator panel comprising:a substrate portion having a first main surface and a first rear surface intersecting a first direction on sides opposite to each other, and a first side surface extending such that the first main surface and the first rear surface are joined to each other;a scintillator layer portion having a second rear surface formed of a plurality of columnar crystals extending in the first direction and formed to include a base portion being on one end side of the columnar crystals and facing the first main surface, a second main surface formed to include a tip portion on the other end side of the columnar crystals, and a second side surface extending such that the second main surface and the second rear surface are joined to each other; anda protective film covering the first rear surface and the first side surface of the substrate portion, and the second main surface and the second side surface of the scintillator layer portion,wherein the first side surface partially has a coarsened region,wherein the second side surface has a coarsened region including an uneven structure,wherein the protective film closely adheres to the second side surface such that the coarsened regions of the first side surface and the second side surface are covered,wherein the scintillator layer portion has an undercut portion formed in a corner portion between the second main surface and the second side surface, andwherein the undercut portion is filled with the protective film. 12. The scintillator panel according to claim 11, wherein the uneven structure included in the coarsened region is formed when the columnar crystals become partially deficient. 13. The scintillator panel according to claim 11, wherein the second rear surface of the scintillator layer portion comes into contact with the first main surface of the substrate portion. 14. The scintillator panel according to claim 11, further comprising:a barrier layer formed to come into contact with each of the first main surface in the substrate portion and the second rear surface in the scintillator layer portion,wherein the barrier layer is formed of thallium iodide, andwherein the scintillator layer portion is formed of a material having cesium iodide as a main component. 15. A radiation detector comprising:a scintillator panel according to claim 11 emitting scintillation light in response to incident radiation; anda photo-detection substrate facing the scintillator panel and detecting the scintillation light.
052689468
claims
1. A wear resistant coating for application to a zirconium-alloy cladding tube comprising a matrix of ceramic and glass particles having a diameter in the range of 10-60 microns, said glass particles acting as a binding agent for bonding said ceramic particles to said cladding tube, said ceramic particles and said glass particles having a coefficient of thermal expansion approximately equal to the coefficient of thermal expansion of said zirconium-alloy. 2. The coating of claim 1 wherein the ceramic particles substantially consist of zircon. 3. The coating of claim 1 wherein the glass particles substantially consist of a material selected from the group consisting of calcium zinc borate, calcium magnesium aluminosilicate, and sodium borosilicate. 4. A method for enhancing the wear resistance of a zirconium-alloy cladding tube adapted for containing a fissionable material in a nuclear reactor comprising: (a) pre-mixing particles of ceramic material and glass having a diameter of 10-60 microns to form a coating mixture, the particles of ceramic material and glass having coefficients of thermal expansion approximately equal to the coefficient of thermal expansion of the zirconium-alloy; (b) pre-heating the cladding tube to a temperature between 300.degree. C. and 400.degree. C.; and (c) flame spraying the coating mixture onto the outside surface of the tube to form a wear resistant matrix. (d) etching the outside surface of the matrix to remove glass material to thereby form an exposed outside surface which substantially consists of the ceramic material. 5. The method of claim 4 wherein the flame spraying is conducted under conditions wherein the glass particles are transformed to a semi-molten state while the ceramic particles remain in a non-molten state. 6. The method of claim 4 wherein the temperature of step (b) is in the range of approximately 300.degree. C. to 350.degree. C. 7. The method of claim 4 further comprising the step: 8. The method of claim 4 wherein the ceramic material and the glass is mixed in a ratio sufficient to ensure bonding of the ceramic material to the cladding tube. 9. The method of claim 4 wherein the coating mixture is applied to tube surface portions proximate one end thereof.
042661390
summary
BACKGROUND OF THE INVENTION The prior art is aware of various devices adapted to be associated either with x-ray machines to shield various parts of a person's body or of an object or associated with the person or object which are to be treated by x-rays. These shields and their adaptability to either the x-ray machine or the person or object can be seen in U.S. Pat. Nos. 2,426,884; 3,233,248; 3,631,249; 3,678,233; 3,944,838; 3,986,036 and 4,082,957. These patents typify the general state of the art in this area. SUMMARY OF THE INVENTION The present invention relates to shielding devices for x-ray machines which can be readily assembled and mounted thereon in an economical, simple and efficient manner. Simply, the shielding device is comprised of a base member provided with a removable securing means adapted to receive and hold a masking overlay which also is provided with a mating removable means. In one embodiment the overlay can comprise a plurality of similar metallic sheets with co-operating fastening means whereby the thickness can be varied as desired. In another embodiment the overlay can be trapezoidal in shape to vary the passage of x-rays from the edges toward the body of the same. Removable mounting rails of staggered profiles are utilized to mount and position the shields to the x-ray machine with the rails being reversible to accomodate base plates of varying thicknesses.
060977787
summary
BACKGROUND OF THE INVENTION This invention relates generally to nuclear reactors and, more particularly, to a gravity driven suction pump for a reactor condenser. One known boiling water nuclear reactor includes a drywell, or containment, a wetwell, a gravity driven cooling system (GDCS) and a passive cooling containment system (PCCS). The drywell can withstand pressure generated by a reactor pressure vessel (RPV) during reactor operation, and the PCCS limits the pressure within the containment to a pressure below a selected pressure to keep the RPV core substantially cool. Condensible and noncondensible gases exist or are generated by processes in the gas chamber of the nuclear reactor and are typically moved into the condenser. Condensible gases are condensed in the condenser. However, the capacity of the condenser degrades as the accumulation of noncondensible gases in the condenser increases. Noncondensible gases can be removed from a condenser through vent pipes and valves controlled by automatic logic or operator action. The steam and noncondensible gases are vented to a suppression pool. The steam is condensed in the suppression pool and the noncondensible gases pass through the pool surface and accumulate in the wetwell, i.e., the air space above the suppression pool. Alternatively noncondensible gases can be passed through a condenser by an electrical suction pump or a blower. The condenser receives steam and noncondensible gases from the drywell. The blower is connected to the condenser vent line and removes the noncondensible gases by forced air circulation from the condenser and discharges the gases back to the drywell. In yet another alternative, vent pipes can discharge the noncondensible gases to an area of relatively lower pressure. Such venting can be employed in addition to and along with utilizing the electrical suction pump or the blower described above. The vent pipes discharge steam and noncondensible gases into the suppression pool and the wetwell. A disadvantage of removing noncondensible gases from the condenser is that active components and operator action are required. Further, venting the noncondensible gases to an area of low pressure may result in a higher containment pressure after a postulated accident than if the noncondensible gases are evenly distributed among the drywell and the wetwell. Accordingly, it would be desirable to provide a system for removing noncondensible gases from the condenser without active components or operator action. Additionally, it would be desirable to provide a system that enhances the circulation of condensible and noncondensible gases through the condenser and thus enhances the performance of the condenser system. BRIEF SUMMARY OF THE INVENTION These and other objects may be attained by a gravity driven suction pump system for a nuclear reactor condenser configured to utilize the potential energy of a condensate to enhance the flow of condensible and noncondensible gases through the condenser. The gravity driven suction pump system includes an intake line, a first header, a condensing section, a second header, and at least one drain line configured to deliver the condensate and noncondensible gases to a nuclear reactor gas chamber. The potential energy of the condensate is used to remove the noncondensible gases from the condenser. More specifically, the gravity driven suction pump system utilizes the combination of a venturi section located in at least one drain line and a suction line in flow communication with the condenser. During operation of the system, condensate is formed from condensible gas and moved from the condenser to the drain line. The flow rate of the condensate through the drain line and back to the gas chamber is accelerated by the venturi section which generates a pressure differential through the suction line and draws the noncondensible gases out of the condenser. The above described gravity driven suction pump system is believed to improve the efficiency of the nuclear reactor condenser by requiring no active components or operator action, and enhancing circulation of condensible and noncondensible gases through the condenser. The gravity driven suction pump also complements the performance of the condenser.
abstract
Disclosed are apparatus and methods for storing or transporting spent nuclear fuel. In one embodiment, a container for storing spent nuclear fuel comprises a plurality of elongated tubes that receives spent nuclear fuel rods. Each tube has four sidewalls and four corners defining a rectangular cross section. The plurality of tubes is arranged in an alternating pattern. An attachment means attaches together the plurality of tubes at the corners so that two adjacent sidewalls of adjacent tubes are substantially aligned.
summary
046876200
summary
CROSS-REFERENCES TO RELATED APPLICATIONS This application is related to copending applications Ser. No. 217,060 entitled "Mechanical Spectral Shift Reactor" by W. J. Dollard et at.; Ser. No. 217,056 entitled "Latching Mechanism" by L. Veronesi; Ser. No. 217,061 entitled "Spectral Shift Reactor" by W. R. Carlson et al.; Ser. No. 217,052 entitled "Displacer Rod For Use In A Mechanical Spectral Shift Reactor" by R. K. Gjertsen et al.; Ser. No. 217,053 entitled "Mechanical Spectral Shift Reactor" by D. G. Sherwood et al.; Ser. No. 217,275 entitled "Mechanical Spectral Shift Reactor" by J. F. Wilson et al.; Ser. No. 217,055 entitled "Hydraulic Drive Mechanism" by L. Veronesi et al.; Ser. No. 217,059 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjertsen; and Ser. No. 217,051 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjertsen et al. all of which are filed Dec. 16, 1980 and to Ser. No. 228,007 entitled "Self-Rupturing Gas Moderator Rod For A Nuclear Reactor" by G. R. Marlatt, filed Jan. 23, 1981 all of which are assigned to the Westinghouse Electric Corporation. BACKGROUND OF THE INVENTION The invention relates to spectral shift reactor control and more particularly to mechanical means for spectral shift reactor control. In typical nuclear reactors, reactivity control is accomplished by varying the amount of neutron absorbing material (poisons) in the reactor core. Generally, neutron absorbing control rods are utilized to perform this function by varying the number and location of the control rods with respect to the reactor core. In addition to control rods, burnable poisons and poisons dissolved in the reactor coolant can be used to control reactivity. In the conventional designs of pressurized water reactors, an excessive amount of reactivity is designed into the reactor core at start-up so that as the reactivity is depleted over the life of the core the excess reactivity may be employed to lengthen the core life. Since an excessive amount of reactivity is designed into the reactor core at the beginning of core life, neutron absorbing material such as soluble boron must be placed in the core at that time in order to properly control the excess reactivity. Over the core life, as reactivity is consumed, the neutron absorbing material is gradually removed from the reactor core so that the original excess reactivity may be used. While this arrangement provides one means of controlling a nuclear reactor over an extended core life, the neutron absorbing material used during core life absorbs neutrons and removes reactivity from the reactor core that could otherwise be used in a more productive manner such as in plutonium fuel production. The consumption of reactivity in this manner without producing a useful product results in a less efficient depletion of uranium and greater fuel costs than could otherwise be achieved. Therefore, it would be advantageous to be able to extend the life of the reactor core without suppressing excess reactivity with neutron absorbing material thereby providing an extended core life with a significantly lower fuel cost. One such method of producing an extended core life while reducing the amount of neutron absorbing material in the reactor core is by the use of "Spectral Shift Control". As is well understood in the art, in one such method the reduction of excess reactivity (and thus neutron absorbing material) is achieved by replacing a large portion of the ordinary reactor coolant water with heavy water. This retards the chain reaction by shifting the neutron spectrum to higher energies and permits the reactor to operate at full power with reduced neutron absorbing material. This shift in the neutron spectrum to a "hardened" spectrum also causes more of the U.sup.238 to be converted to plutonium that is eventually used to produce heat. Thus, the shift from a "soft" to a "hard" spectrum results in more neutrons neutrons being consumed by U.sup.238 in a useful manner rather than by poisons. As reactivity is consumed, the heavy water is gradually replaced with ordinary water so that the reactor core reactivity is maintained at a proper level. By the end of core life, essentially all the heavy water has been replaced by ordinary water while the core reactivity has been maintained. Thus, the reactor can be controlled without the use of neutron absorbing material and without the use of excess reactivity at start-up which results in a significant uranium fuel cost savings. The additional plutonium production also reduces the U.sup.235 enrichment requirements. While the use of heavy water as a substitute for ordinary water can be used to effect the "spectral shift", the use of heavy water can be an expensive and complicated technology. Another well known phenomenon related to reactor control is referred to as xenon transient behavior. Xenon-135 is a fission product of uranium fuel some of which is a direct fission product or uranium-235 but most of which originates from the radioactive decay of tellurium-135 and iodine-135 which are produced form the fissioning of uranium-235. The major portion of the xenon thus produced is produced in a delayed manner due to the intermediate isotope production. This results in a time delay of several hours between the fissioning of fissile or fertile material and the production of large quantities of xenon-135. On the other side of the xenon transient phenomenon is the fact that since xenon-135 has a large neutron absorbing cross-section, xenon-135 tends to absorb neutrons and be destroyed thereby. Thus, xenon acts as a neutron poison in a reactor core robbing the core of neutrons that could be used to sustain the chain reaction. The transient usually associated with the xenon phenomenon arises because as power is reduced due to load follow reasons, neutron population in the core decreases which results in less destruction of xenon and in temporary xenon accumulation. This temporary accumulation of xenon further reduces reactor power by xenon absorption of neutrons. However, the reduction in reactor power lowers the core temperature which increases core reactivity due to the negative moderator temperature coefficient of the reactor. Thus, a minor oscillation in reactor power, xenon population, and core temperature can result from transient xenon production. Likewise, a similar result may occur from an attempt to increase reactor power in response to load follow requirements. This may occur since an increase in reactor power requires an increase in neutron population and fuel depletion which increases xenon productioin the fuel. But since the xenon production is delayed in time, the poisonous effect of the xenon is temporarily delayed which again produces the transient oscillations between core temperature, xenon population, and reactor power. As is well understood in the art, the effects of these xenon transients can be effectively controlled by the addition or subtraction of boron in the reactor coolant by a feed-and-bleed process. The change in boron concentration in the reactor coolant can be timed to correspond to the changes in core reactivity due to the xenon transient thereby negating such transient. This can be accomplished as long as the boron concentration in the reactor coolant is sufficiently high to make a feed-and-bleed process possible in a timely manner. However, when the boron concentration falls below a given level, for example below 100 ppm. as is necessary near the end of core life, boron cannot be removed from the reactor coolant fast enough to compensate for xenon accumulation. Therefore, as the boron concentration in the reactor coolant nears a low level such as at the end of the core life, boron compensation of xenon becomes very difficult which effectively prevents load follow maneuvering of reactor power so as to avoid xenon transients. Therefore, what is needed is a method to extend core life and provide for load follow capabilities at low reactor coolant boron concentrations. SUMMARY OF THE INVENTIONS A method of operating a pressurized water nuclear reactor comprising determining the present core power and reactivity levels and predicting the change in such levels due to displacer rod movements. Groups or single clusters of displacer rods can be inserted or withdrawn based on the predicted core power and reactivity levels to change the core power level and power distribution thereby providing load follow capability, without changing control rod positions or coolant boron concentrations.
abstract
The charged particle beam apparatus having an opening formation member formed with an opening for passage of a charged particle beam emitted from a charged particle source, and either a detector adapted to detect charged particles having passed through the passage opening or a detector adapted to detect charged particles resulting from bombardment on another member of the charged particles having passed through the opening, comprises an aligner for aligning charged particles discharged from the sample and a control unit for controlling the aligner, wherein the control unit controls the aligner to cause it to shift trajectories of the charged particles discharged from the sample so that length measurement may be executed on the basis of detection signals before and after the alignment by the aligner.
047537744
abstract
A BWR fuel assembly has a bundle of spaced fuel rods, an outer tubular flow channel surrounding the fuel rods so as to direct flow of coolant/moderator fluid along the fuel rods, and a hollow central water cross with opposed walls, a lower flow inlet end, an opposite upper flow outlet end, and an open inner cruciform flow channel for subcooled moderator fluid flow through the fuel assembly. The water cross extends through the outer flow channel and is interconnected with the outer channel so as to divide it into separate compartments and the bundle of fuel rods into a plurality of mini-bundles thereof. Also, a pair of upper and lower tie plates are connected to the opposite ends of the fuel rods in each mini-bundle thereof so as to provide a separate fuel rod subassembly in each of the compartments. The tie plates have flow openings defined therethrough for allowing the flow of the coolant/ moderator fluid into and from the separate fuel rod subsasembly. The BWR includes an improvement which allows selected flow into said water cross and cross flow of fluid between the lower ends of the respective fuel rod mini-bundles of the separate fuel rod subassemblies and in such manner minimizes maldistribution of flow between the mini-bundles. The improvement comprises cross flow inlet means in the form of opposed holes located in the opposed walls of the water cross above the lower tie plates of the mini-bundles.
054250633
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to methods for quantitative production and selective recovery of usable quantities of [.sup.18 F]F.sup.- and [.sup.13 N]NO.sub.3.sup.- /NO.sub.2.sup.- from irradiation of low-enriched [.sup.18 O]H.sub.2 O, for radiotracer synthesis for clinical PET imaging. 2. Description of the Related Art The clinical usefulness of positron emission tomography (PET) studies using [.sup.13 N]ammonia ([.sup.13 N]NH.sub.3) and 1-[.sup.18 F]fluoro-2-deoxy-D-glucose ([.sup.18 F]FDG) is well established. Myocardial imaging in clinical PET using [.sup.13 N]NH.sub.3 to measure blood flow followed in series with [.sup.18 F]FDG to assess tissue glucose uptake, is finding increased use for diagnosing myocardial disease. Schelbert, H. R. and Schwaiger, M., in Phelps, M. E., Mazziotta, J. C. and Schelbert, H. R. (eds.), (1986) Positron Emission Tomography and Autoradiography, Raven Press, New York. Chapter 12. These agents are among the best validated and most widely used PET tracers in humans and will serve as key agents in clinical PET programs for the foreseeable future. As the demand for PET studies increases, cyclotron-PET facilities must find ways to economically provide large quantities of these tracers for clinical use. Logistical complications arise in protocols requiring more than one agent simultaneously, such as when [.sup.13 N]NH.sub.3 and [.sup.18 F]FDG are required in combination for heart studies. Matters are further complicated by the relatively short half-lives of the .sup.13 N and .sup.18 F isotopes, 10 minutes and 110 minutes, respectively. Studies of this nature can impose enormous time constraints on both cyclotron and hot lab operations to produce and deliver these radiotracers in rapid succession. Such constraints can lead to unnecessary radiation exposure to personnel, particularly if production targets are mounted by hand, to excessive cyclotron usage, and ultimately to longer radiotracer delivery times. Under these circumstances, it is important for isotope production schedules to be flexible and agent syntheses to be simple, reliable and fast. Mulholland et al. in "Direct Simultaneous Production of [.sup.15 O]Water and [.sup.13 N]Ammonia or [.sup.18 F]Fluoride Ion by 26 MeV Proton Irradiation of a Double Chamber Water Target", Appl. Radiat. Isot., 41(12), 1195-1199 (1990) describe a double liquid chamber target to provide simultaneous production of [.sup.15 O]H.sub.2 O and either .sup.13 N or .sup.18 F using a single proton beam. Proton irradiation of natural water in a first chamber produces [.sup.15 O]H.sub.2 O by the .sup.16 O(p,pn).sup.15 O reaction. The [.sup.15 O]H.sub.2 O is separated from the natural water using a mixed bed of 3:1:1 AG1:AG50:Chelex 100 ion exchange resins (reusable) for in-line purification of the water as it leaves the target. A second target chamber, also in line with the incident proton beam, is used for the production of either .sup.13 N or .sup.18 F. If .sup.13 N is to be produced, the second chamber contains natural water and the .sup.16 O(p,.alpha.).sup.13 N reaction is employed. Alternatively, if .sup.18 F is desired, the second chamber contains [.sup.18 O]H.sub.2 O and the .sup.18 O(p,n).sup.18 F reaction is employed. Hydrogen gas is used in both the front and rear chambers to suppress boiling and maintain proper target thickness while creating a reducing atmosphere to inhibit oxidation of the target precursors. An in-line anion exchange (AG1) (Cl.sup.-) resin-containing column is used for radiochemical cleanup to produce high yields of sterile, aqueous [.sup.13 N]NH.sub.3 (40-200 mCi; 20 .mu.A) directly in-line from the rear chamber at the same time that the [.sup.15 O]H.sub.2 O is produced in the front chamber. Mulholland et al. report that an AG1 column is effective in removing [.sup.13 N]NO.sub.3.sup.- /NO.sub.2.sup.- contaminants from the [.sup.13 N]NH.sub.3, as well as trace amounts of [.sup.18 F]F.sup.-, produced incidentally due to the .sup.18 O present in natural abundance in the target water (see, Mulholland et al., J. Nucl. Med., 30, 926 (1989)). Although Mulholland et al. describe the simultaneous production of [.sup.15 O]H.sub.2 O with either [.sup.13 N]NH.sub.3 or [.sup.18 F]F.sup.-, they do not disclose or suggest the simultaneous production and purification of [.sup.13 N]NH.sub.3 and [.sup.18 F]F.sup.- from low-enriched [.sup.18 O]H.sub.2 O. Mulholland et al. use natural [.sup.16 O]H.sub.2 O in the rear target for producing [.sup.13 N]NH.sub.3 directly, and dispose of any trace amounts of .sup.13 N or .sup.18 F anions that might be produced. Alternatively, Mulholland et al. use expensive, highly enriched [.sup.18 O]H.sub.2 O to produce [.sup.18 F]F.sup.- ions. Accordingly, the apparatus and methods described by Mulholland et al. are incapable of producing usable quantities of both .sup.13 N and .sup.18 F radioisotopes from a single irradiation. Other target and ion separation systems for recovering one of the two isotopes of interest are described in various publications. For example, Mulholland et al., "A Reliable Pressurized Water Target for F-18 Production at High Beam Currents", J. Lab. Cpds. Radiopharm., 26, 192 (1989) is solely directed to the production of .sup.18 F from enriched [.sup.18 O]H.sub.2 O-containing targets; while Mulholland et al., "Direct In-Target Synthesis of Aqueous N-13 Ammonia by Proton Irradiation of Water Under Hydrogen Pressure", J. Nucl. Med., 30, 926 (1989) is solely directed to the production of .sup.13 N[NH.sub.3 ] from natural [.sup.16 O]H.sub.2 O containing targets. Likewise, U.S. Pat. No. 4,752,432 discloses a device and process for production [.sup.13 N]NH.sub.4.sup.+ ion from a .sup.13 C/fluid slurry target. This patent describes the use of a conventional purification column to remove unwanted nitrogen oxides (NO.sub.x.sup.-), yielding the purified [.sup.13 N]NH.sub.4.sup.+ ion aqueous product. The Schlyer et al. article, "Separation of [.sup.18 F]Fluoride from [.sup.18 O]Water Using Anion Exchange Resin", Appl. Radiat. Isot., 41(6), 531-533 (1990) describes the use of a .sup.18 O enriched water target which is bombarded with a proton beam. The method uses an anion exchange resin, Dowex 1X-10, 200-400 mesh in the chloride form which is converted to the hydroxide form. Schlyer et al. also describe using the carbonate form of the resin after similar procedures, rinsing the column with either 0.1M K.sub.2 CO.sub.3 or 0.1M Cs.sub.2 CO.sub.3 solution to elute the .sup.18 F from the column. There is no description in this paper for recovering [.sup.13 N]NO.sub.x.sup.- anions. Another procedure for recovery of [.sup.18 F]F.sup.- from [.sup.18 O]H.sub.2 O after proton bombardment of a target is described by Alexoff et al., in "Recovery of [.sup.18 F]Fluoride from [.sup.18 O]Water in an Electrochemical Cell", Appl. Radiat. Isot., 40(1), 1-6 (1989). This method only recovers [.sup.18 F]F.sup.- from the [.sup.18 O]H.sub.2 O and does not describe or suggest the production or recovery of .sup.13 N. The separation of various radioactive isotopes using anion exchange resins is well known. A detailed recitation of such separations is provided by Lavrukhina et al., in "CHEMICAL ANALYSIS OF RADIOACTIVE MATERIALS", Chapter 2 "Theoretical Bases of the Methods of Radiochemical Analysis", 67-175, Iliffe Books, Ltd. London (1967). Specifically, pages 122-126 contain a discussion of the separation of elements using anion exchange chromatography. The discussion is focused towards the use of anion exchange resin such as Dowex-1-X-8 resin to separate various heavy metals and other fission products. Lavrukhina et al. do not describe or suggest the separation of .sup.18 F.sup.-, .sup.13 N.sup.- anions from low-enriched [.sup.18 O]H.sub.2 O. Similarly, U.S. Pat. No. 2,636,044 describes rare earth separations by anion exchange chromatography. The only examples in the patent are for the extraction of radioactive Pm and Eu with a citric acid eluant. In addition, U.S. Pat. No. 3,953,568 describes a method for simultaneously separating radioisotopes of a single element from each other using an anion exchange resin column and a ligand which preferably binds to one of the isotopes. There is no description of separation of different radioisotopes, such as .sup.18 F and .sup.13 N anions from low-enriched [.sup.18 O]H.sub.2 O. Although other methods and processes are known for the clinical and experimental production of isotopes by proton irradiation, none provides a method for simultaneously producing usable quantities of [.sup.13 N]NH.sub.3 and [.sup.18 F]F.sup.- and the selective isolation and recovery of the isotopes for radiotracer synthesis. Accordingly, it is a purpose of the invention to provide an improved method for the simultaneous production of .sup.13 N and .sup.18 F isotopes for radiotracer synthesis. It is also a goal of the invention to provide an improved process for selective extraction and recovery of .sup.13 N and .sup.18 F isotopes for radiotracer synthesis. It is a further goal of the invention to provide an improved apparatus for simultaneous production of and selective extraction and recovery of usable quantities of .sup.13 N and .sup.18 F isotopes for radiotracer synthesis. Other purposes and advantages of the present invention will be more fully apparent from the ensuing disclosure and appended claims. SUMMARY OF THE INVENTION These and other purposes and goals are achieved by the present invention which solves the disadvantages inherent in the prior art by providing a method for simultaneous production of usable quantities of [.sup.13 N]NO.sub.2.sup.- /NO.sub.3.sup.- and [.sup.18 F]F.sup.- by a single irradiation of a target of low-enriched [.sup.18 O]H.sub.2 O. The method of the present invention also allows the selective extraction and recovery of usable quantities of [.sup.13 N]NO.sub.2.sup.- /NO.sub.3.sup.- and [.sup.18 F]F.sup.- from proton irradiation of low-enriched [.sup.18 O]H.sub.2 O for radiotracer synthesis for PET. The present invention is amenable to automation and enables the avoidance of unnecessary radiation exposure to personnel, the reduction of excessive cyclotron usage, and the shortening of radiotracer delivery times. The method uses an anion exchange resin, preferably a Bio-Rad AG 1-X8, carbonate form, 7 mm.times.2 mm i.d. column packed with 200-400 mesh, for simultaneous extraction of [.sup.18 F]F.sup.- and [.sup.13 N]NO.sub.3.sup.- /NO.sub.2.sup.- anions from the target water after irradiation. Selective and near quantitative recovery of these radionuclides is achieved by rinsing the resin in series with 1.5 mL of a carbonate solution, preferably 0.01M K.sub.2 CO.sub.3, to recover the bound .sup.18 F-activity and with 1.5 mL of an acid solution, preferably 1N HCl, to recover the bound .sup.13 N-activity. Rapid and quantitative conversion of the released .sup.13 N-activity can be accomplished over a reducing agent, preferably, Devarda's alloy, provided the acid solution is first made basic. The invention also provides an apparatus for simultaneous production of [.sup.13 N]NO.sub.2.sup.- and [.sup.18 F]F.sup.- anions by proton irradiation of a low-enriched [.sup.18 O]H.sub.2 O target, the selective and near quantitative recovery of these radionuclides from the target water by sequential elution of the nuclides from an anion exchange resin, the conversions of [.sup.13 N]NO.sub.2.sup.- /NO.sub.3.sup.- to [.sup.13 N]NH.sub.3 by chemical reduction, and the conveyance of the radionuclides to a laboratory for radiotracer synthesis, preferably for PET scanning. This method is amenable to automated processing of the radionuclides in the cyclotron vault. The key benefit seen by this is that it should be possible to effect simultaneous transfer of both [.sup.18 F]F.sup.- to the hot lab and [.sup.13 N]NH.sub.3 gas directly to the PET facility thus streamlining radiotracer delivery times, and minimizing personnel exposure to radiation. For a better understanding of the present invention, reference is made to the following description and accompanying figures, the scope of which is pointed out in the claims.
claims
1. A neutron generator apparatus, comprising:means defining an expansion cavity;an ion source disposed in said expansion cavity for producing ions in said expansion cavity;means defining an acceleration gap cavity;a metal extraction plate interposed between said expansion cavity and said acceleration gap cavity and having defined therein an aperture for channeling said ions from said expansion cavity into said acceleration gap cavity; anda metal target disposed in said acceleration gap cavity and separated from said extraction plate by an acceleration gap, said target and said extraction plate adapted to be biased relative to one another to accelerate said ions across said acceleration gap in an acceleration direction to strike said target, said target adapted to release neutrons in response to being struck by said accelerated ions;wherein said acceleration gap cavity has a rectilinear cross section in said acceleration direction. 2. The apparatus of claim 1, wherein said extraction plate includes a surface metallization deposited on a substrate, generally defining an ellipse, and facing said target. 3. The apparatus of claim 2, wherein said target includes a surface metallization deposited on a substrate, generally defining an ellipse, and facing said surface metallization of said extraction plate. 4. The apparatus of claim 1, wherein said accelerated ions form an ion beam having a generally rectilinear cross section in said acceleration direction. 5. The apparatus of claim 1, wherein said ion source includes a pair of surface metallization electrodes deposited on a substrate and separated by a spark gap. 6. The apparatus of claim 1, wherein said means defining said expansion cavity and said means defining said acceleration gap cavity include a substrate, and wherein said target and said extraction plate include respective metallizations deposited on said substrate. 7. The apparatus of claim 6, wherein said means defining said expansion cavity and said means defining said acceleration gap cavity include a plurality of substrate layers laminated together. 8. The apparatus of claim 6, wherein said substrate is one of a ceramic substrate, a semiconductor substrate and a printed circuit board substrate. 9. A neutron generator apparatus, comprising:means defining an expansion cavity;an ion source disposed in said expansion cavity for producing ions in said expansion cavity;means defining an acceleration gap cavity;a metal extraction plate interposed between said expansion cavity and said acceleration gap cavity and having defined therein an aperture for channeling said ions from said expansion cavity into said acceleration gap cavity; anda metal target disposed in said acceleration gap cavity and separated from said extraction plate by an acceleration gap, said target and said extraction plate adapted to be biased relative to one another to accelerate said ions across said acceleration gap in an acceleration direction to strike said target, said target adapted to release neutrons in response to being struck by said accelerated ions;wherein said extraction plate includes a surface metallization deposited on a substrate, generally defining an ellipse, and facing said target. 10. The apparatus of claim 9, wherein said target includes a surface metallization deposited on a substrate, generally defining an ellipse, and facing said surface metallization of said extraction plate. 11. The apparatus of claim 10, wherein said ion source includes a pair of surface metallization electrodes deposited on a substrate and separated by a spark gap. 12. A neutron generator apparatus, comprising:means defining an expansion cavity;an ion source disposed in said expansion cavity for producing ions in said expansion cavity, including a pair of surface metallization electrodes deposited on a substrate and separated by a spark gap;means defining an acceleration gap cavity;a metal extraction plate interposed between said expansion cavity and said acceleration gap cavity and having defined therein an aperture for channeling said ions from said expansion cavity into said acceleration gap cavity; anda metal target disposed in said acceleration gap cavity and separated from said extraction plate by an acceleration gap, said target and said extraction plate adapted to be biased relative to one another to accelerate said ions across said acceleration gap in an acceleration direction to strike said target, said target adapted to release neutrons in response to being struck by said accelerated ions. 13. A neutron generator apparatus, comprising:means defining an expansion cavity;an ion source disposed in said expansion cavity for producing ions in said expansion cavity, including a pair of electrodes separated by a spark gap, and a fuse that bridges across said spark gap and is burned away upon initial application of power to said electrodes;means defining an acceleration gap cavity;a metal extraction plate interposed between said expansion cavity and said acceleration gap cavity and having defined therein an aperture for channeling said ions from said expansion cavity into said acceleration gap cavity; anda metal target disposed in said acceleration gap cavity and separated from said extraction plate by an acceleration gap, said target and said extraction plate adapted to be biased relative to one another to accelerate said ions across said acceleration gap in an acceleration direction to strike said target, said target adapted to release neutrons in response to being struck by said accelerated ions. 14. The apparatus of claim 13, wherein said electrodes are provided as surface metallizations deposited on a substrate.
summary