patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
048030414
abstract
In order to multiply by three or four the combustion level of nuclear fuel pellets in fast neutron nuclear reactors, it is proposed that following a normal irradiation period of said pellets in the reactor core, that the irradiated can be replaced by a new can with an internal diameter slightly exceeding that of the first can. Thus, the pellets can undergo a new irradiation cycle in the reactor core. Preferably, the can is destroyed by progressive local melting over its entire length and the new can is preheated to facilitate the introduction of the pellets.
summary
claims
1. An assembly, comprising:a radiopharmaceutical container defining a first portion, a second portion and a flange positioned between the first portion and the second portion, wherein the flange comprises a pair of interoperably securable halves, and wherein one of the halves is attached to the first portion and another one of the halves is attached to the second portion; anda tamper evident seal positioned around the radiopharmaceutical container, comprising:a first band positioned around the first portion of the radiopharmaceutical container;a second band positioned around the second portion of the radiopharmaceutical container;first and second arms integral with the first band and the second band and positioned around the flange of the radiopharmaceutical container; anda connector assembly comprising a connector adapted to secure two ends of the seal together and a breakaway portion adapted to separate two ends of the seal in order to provide visual evidence that the seal has been broken and allow access to the container. 2. The assembly of claim 1 wherein the connector includes a plug and a receptacle configured such that the plug is insertable into the receptacle but withdrawal of the plug from the receptacle is not permitted. 3. The assembly of claim 1 wherein the second band comprises first and second legs and wherein the first leg includes a first end coupled to the connector and a second end coupled to the breakaway portion and wherein the second leg includes a first end coupled to the connector and a second end coupled to the breakaway portion. 4. The assembly of claim 3 wherein the breakaway portion comprises a tab having a first portion coupled to the first leg and a second portion, spaced apart from the first portion, coupled to the second leg. 5. The assembly of claim 1 wherein the seal is made of plastic. 6. The assembly of claim 1 wherein the connector includes first and second finger grips. 7. The assembly of claim 1, wherein the flange has a diameter greater than a diameter defined by the first portion and a diameter defined by the second portion. 8. The assembly of claim 1, wherein an end of each of the first and second arms are attached to the second band. 9. A tamper evident safety seal for sealing a radiopharmaceutical container having an upper portion, a lower portion and a flange positioned between the upper portion and the lower portion, the seal comprising:an upper band positionable around the upper portion of the container, the upper band defining a diameter less than a diameter of the flange;first and second arms extending from and integral with the upper band, wherein the first and second arms extend about the flange when the upper band is positioned about the upper portion of the container; anda connector assembly integral with the first and second arms and comprising first and second legs configured to jointly circumscribe and contact at least a portion of the container, a connector and a breakaway portion, wherein the first leg is connected to the connector and the breakaway portion and the second leg is connected to the connector and the breakaway portion, and further wherein the connector comprises a plug and a receptacle such that when the plug is inserted into the receptacle the plug is not removable from the receptacle, and further wherein the breakaway portion, when separated from at least a portion of the connector assembly, provides visual evidence that the seal has been broken. 10. The seal of claim 9 wherein the seal is formed of plastic. 11. The seal of claim 9 wherein the connector includes first and second finger grips. 12. The seal of claim 9, wherein the connector assembly defines a diameter less than the diameter of the flange. 13. The seal of claim 9, wherein an end of each of the first and second arms are attached to the connecter assembly.
051608472
description
DETAILED DESCRIPTION OF INVENTION FIG. 1 depicts a linear accelerator 1 in a radiation therapy or treatment room. The linear accelerator is a source of electrons for electron arc therapy. In this example, the linear accelerator is a Varian Clinac 2100C linear accelerator manufactured by the Varian Radiation Division of Varian Associates, Inc. of Palo Alto, Calif. Other linear accelerators can be used in the practice of this invention. Other manufacturers of linear accelerators include Siemens and Phillips. Linear accelerator 1 includes gantry 2 and head 3. Linear accelerator head 3 includes accesory mount assembly 4. Dynamic multivane electron arc beam collimator 5 is mounted within the accessory mount assembly 4. Linear accelerator gantry 2 is rotatable about a fixed axis of rotation defined by point 7. This gantry rotation provides for rotational movement of the head 3, mount 4 and collimator 5 about patient table 8 as shown by arrows 9. Arrows 9 indicate what is referred to herein as rotation of head 3, mount assembly 4 and/or collimator 5 through or along the arc of rotation or treatment arc. Such movement is also referred to as linear accelerator rotation. Patient table 8 is used to support the electron arc therapy patient. Patient table 8 is adjustable as indicated by arrows 10 to position the target area (i.e. treatment area) of the patient to receive the electron beam from linear accelerator 1 through head 3 and collimator 5. Collimator 5 includes an electron aperture which dynamically defines the electron field of the beam emitted by linear accelerator 1. FIG. 1 further depicts computer 11 and computer 12 in a control room. Computer 11 is used for control, operation and monitoring of the linear accelerator and represents conventional hardware and software typically used by users of the Varian Clinic 2100C linear accelerator. Computer 12 is an IBM PS/2 Model 80 system (or other computer system) that runs the Collimator Treatment Conversion Program and Remote Collimator Control Program described below. Monitor 13 is a local display panel used to display actual collimator vane positions through nine numerical displays indicating percentage of opening for each of the nine dosage planes defined by the collimator vanes. Linear accelerator 1 and patient table 8 are separated from computers 11 and 12 and monitor 13 by wall 14 (i.e. they are in separate rooms). This separation provides protection (i.e. shielding from electron radiation) to the operators in the computer control room. FIG. 2 depicts collimator 5 which is attachable to the linear accelerator head 3 by attachment to accessory mount assembly 4. The housing of Collimator 5 includes a bottom plate 20 which includes an extension which is insertable into accessory tray groove 30. The standard accessory connector 31 is not used because the collimator 5 operates independently of any electrical connection to linear accelerator 1. Accessory connector 31 could optionally be used to provide power and communication to collimator 5 thus eliminating the need for local power (e.g. local battery) and/or communications (e.g. infra-red transceiver). After insertion of collimator 5 into accessory mount assembly 4, latch 32 is closed to secure collimator 5 in place. In this manner collimator 5 is inserted into accessory mount assembly 4 and attached to the linear accelerator head 3. Accessory mount assembly 4 is standard equipment with the Varian Clinac 2100C and accepts a wide variety of accessory trays, including, for example, fixed collimators. Through the technology of this invention, a dynamic electron beam collimator (i.e. collimator with a dynamically configurable electron aperture) can be sized and adapted to utilize the standard accessory mount assembly of the linear accelerator without any electrical connection to the linear accelerator. Collimator 5 as shown in FIG. 2 includes brass collimation vanes 40 and 41. The numeral 40 represents nine vanes positioned in a row. The numeral 41 represents nine other vanes positioned in a second row parallel to the first row of vanes 40. Only part of one vane 41 is shown in FIG. 2. Vanes 41 are positioned opposite vanes 40. Each vane 40 has a corresponding opposite vane 41. Each such vane pair (i.e. pair of opposite vanes) defines a dosage plane, i.e., rotation of the vane pair through the arc of rotation (the selected treatment arc) defines a plane. The vanes 40 and 41 and the opening 43 in bottom plate 20 (a clinical use bottom plate) define the electron aperture. The electron aperture can be dynamically defined or configured (i.e., the aperture shape can be changed) by movement of the vanes 40 and 41. A vane movement means causes each vane to move linearly (i.e. along a single axis). Each vane can be caused to move towards or away from its opposite vane counterpart. The Bottom View in FIG. 4 shows two rows of vanes through the opening in the bottom plate (maintenance plate) of the collimator housing. At one extreme, the two opposing vanes have been moved towards each other as close as the vane movement means will permit and define a minimum ("MIN") aperture or opening between the two vanes. In this embodiment of the invention the minimum opening is 0.450 inches. At the other extreme shown in the Bottom View of FIG. 4, the two opposing vanes have been moved as far away from each other as the vane movement means will permit and define a maximum ("MAX") aperture or opening between the two vanes. In this embodiment of the invention the maximum opening is 2.30 inches. In the Bottom View of FIG. 4, the remaining seven vane pairs have been caused by the vane movement means to assume various intermediate positions and to thereby define various intermediate apertures or openings. The electron aperture is defined and shaped by the apertures or openings defined by the vane pairs. A separate vane movement means is associated with each vane. Both asymmetric and symmetric motion of each vane of the vane pair is allowed. The vane movement means provides for simultaneous and independent movement of each vane, i.e., each vane can be moved independent of the movement of the other vanes and each vane can be moved simultaneously with the movement of other vanes. Such movement occurs during rotation of the linear accelerator head 3 and collimator 5 through a selected arc of rotation or treatment arc (see arrows 9) as shown in FIG. 1. As the collimator 5 is rotated through the treatment arc the vanes can be moved by their respective vane movement means to appropriate vane positions. In this manner the electron aperture is dynamically defined or configured (i.e. changed) as the head 3 and collimator 5 move through the treatment arc of rotation. Such dynamic configuration or shaping of the electron aperture (and, therefore, the electron field) allows for greater uniformity in electron radiation dosage of the patient's target area and reduces electron radiation to areas outside of the target area. The movement of a vane pair through the selected treatment arc of rotation defines a dosage plane. The nine vane pairs define nine dosage planes which are parallel to each other and to the plane defined by gantry rotation. In this embodiment of the invention 9 vane pairs (18 vanes) arc used. This number of vane pairs can be varied in the practice of this invention. Typically the number of vane pairs will be within the range of 3 to 71. The preferred number of vane pairs ranges from 5 to 31. An odd number of vane pairs is preferred because this provides a center vane pair which is desirable because the patient or target treatment area is easily located and centered with respect to the center vane pair. The vanes 40 and 41 can be constructed of brass or other material suitable to provide shielding against the electrons emitted by the linear accelerator. Dimensions and details concerning the vanes in this embodiment of the invention are shown in FIG. 4. Associated with each vane is a separate vane movement means. Each vane can be moved independently of the other vanes and simultaneously with the movement of any other vane(s). The vane movement means in this embodiment of the invention is a vane assembly 45 (see FIG. 2). A separate vane assembly is associated with each vane 40 or 41. FIG. 3 depicts a vane assembly and its relationship to vane 40 or 41. The vane assembly includes sliding assembly 50, sliding plate 52, floating nut 53, worm screw 54, gear motor 55, geared pulleys 56 and 57, toothed timing belt 58, bearing 59, potentiometer 60 and circuit board 61. These components are assembled together in the manner and arrangement suggested by FIG. 3. The sliding assembly 50 is comprised of aluminum plates 51a, 51b and 51c which are bolted together. Vane movement is accomplished through gear motor 55 which drives (rotates) worm 54 (an 8 pitch brass screw assembly) which in turn causes vane 40 or 41 to move linearly to the desired vane position. Gear motor 55 is a 12000 RPM motor gear reduced 58 to 1. Gear motor 55 and worm 54 can cause vane 40 or 41 to move toward or away from its opposite vane. Full scale single vane movement of 0.925 inches can be accomplished in 2.3 seconds. Each vane is simultaneously monitored and controlled by an absolute means to a resolution of 4 mils. Potentiometer 60 serves as a vane position monitoring means. In this embodiment of the invention the potentiometer is an absolute positioning potentiometer (hybriton element 7/8" dia. 10,000 ohms res.) Proper vane movement (i.e. movement to the correct vane position) is monitored by the potentiometer 60. The potentiometer 60 provides feedback to its associated local controller as well as vane physical limit information to the drive circuitry. The local controller (i.e. three-axis processor) cannot drive the vane beyond its physical stops which are metal pins used to define a minimum opening of 0.450 inches between vanes in a vane pair and a maximum opening of 2.30 inches between vanes in a vane pair. Minimum and maximum vane openings (i.e. the width of the opening between the vanes of a vane pair) can be assigned different values as desired by the practitioner of this invention. The worm 54 is physically attached by set screw to the potentiometer 60 through toothed timing belt 58 which operates in conjunction with geared pulleys 56 and 57. This minimizes the modes of possible failure. False vane movement can only be indicated to the controller by the failure of the floating nut 53 attached to the vane itself. This floating nut is made of Delrin 500 or another sufficiently strong material to reduce the possibility of failure. Motor current trends are also monitored by the potentiometer for possible failure. Circuit board 61 provides the drive electronics for the associated gear motor 55 and vane 40 or 41. The circuit board 61 provides H-drive motor circuitry for full four-quadrant drive and control capability. FIG. 14 depicts an electrical schematic of circuit board 61. FIG. 15 depicts the placement of components on circuit board 61. A list and description of these components are set forth below as Table 1: TABLE 1 ______________________________________ Component List - FIGS. 14 and 15 Manufacturer P.N. Location Manufacturer Description ______________________________________ BD1 PCB-0034B-01 Vane Assembly Motor Drive parvus pcb C1, C2 C320C104K5R5CA Ceramic dipped 100 v Kemet capacitor .1 ufd D1, D2 1N960A 1/2 watt zener diode Motorola D4-D7 1N914 small signal diode-fast SEM recovery J1 68016-1-5 sng row rt agl ml hdr .10" grid Dupont 5 .times. 1 pos Q2, Q3 MPS6727 lw TO-92 genl purpose PNP hi Motorola current transistor Q4, Q1 MPS6715 lw TO-92 genl purpose NPN Motorola high current transistor R1-R4 RC05GF103J 10K 1/8 watt resistor Resistors U1 LM324N quad 5 v compensated op amp Motorola U2 MC74HC10N triple input NAND gate Motorola VR1, VR2 3292X-1-102 1K 3/8" sq. potentiometer, Bourns multiturn, trimmer ______________________________________ FIG. 3 also depicts local controller 70 and local controller interface 71. A local controller bracket 72 connects local controller 70 and local controller interface 71 by bolts to the lower collimator bracket (see FIG. 12). The collimator includes six local controllers (and six local controller interfaces). Each local controller is a three-axis processor. In this embodiment of the invention each local controller is a four-axis processor manufactured by the parvus Corporation. However, because the fourth axis is inactive in this application the parvus four-axis processor functions as a three-axis processor. For this reason, the local controller of this embodiment of the invention may be described herein as a three-axis processor. Each local controller (i.e. three-axis processor) controls and monitors three vanes. Each axis of the three-axis processor is dedicated to the control of a single vane. Thus the 18 vanes are controlled by six three-axis processors. As shown in FIG. 3, a vane assembly is connected to local controller 70 through local controller interface 71. The vane assembly connects to local controller interface 71 by connecting ribbon cable 76 and ribbon cable plug 75 to ribbon cable receptacle 77. In a similar manner, two other vane assemblies can be connected to ribbon cable receptacles 78 and 79 for control by local controller 70. The other five local controllers are connected to the other fifteen vane assemblies through local controller interfaces in a similar manner. FIG. 8 depicts a functional block diagram of the connections between a local controller and the circuit board 61 of each of the three vane movement means (i.e. vane assemblies) controlled by the local controller. The local controller is based on a standard parvus Node product the multi-purpose ParvNET Node. It is used unmodified except for the Local Controller Software embodied in the onboard 64K bytes of EPROM. The Local Controller has a local processor with a full module bus interface including analog input capability, an internal watchdog system, 32K RAM, 64K serial port with a (serial hardware protocol driver) port, and memory expansion to 192K bytes. The node can handle exception stacking with time tagging on up to 1000 packets. All features of the parvNET PROTOCOL STANDARD (STD-003.times.-01) are implemented in the on-board software. An 8 Mhz 68HCll processor is used to control all functions and execute the enhanced 6801 code set 68CHll. The application of distributed processing to the local controllers in an innovation of this invention. Such distribution processing is described below for this embodiment of the invention. A plurality of local controllers are used to distribute the collimator processing load for simultaneous independent motion of all eighteen vanes. Each of the local controllers is uniquely assigned to a separate grouping of three vanes (i.e. a separate grouping of three vane movement means). One local controller handles all required processing and communications for its assigned three vanes. That local controller processes information independent of all other controllers. By distributing the processing over multiple local controllers, efficiency of operation is increased and overall controller complexity and size are reduced. Multiple local controllers require less space than one local controller of equivalent processing power for controlling the same number of vanes. Distributed processing also provides a completely independent means of error detection, critical to clinical use of dynamic collimators. Once a system for distributed processing inside a collimator has been established, future enhancements and future additions are easily accomplished. One future addition can be a secondary level of collimator vane verification. FIG. 16 depicts an electrical schematic of the local controller circuitry. FIG. 18 depicts an electrical schematic of the local controller interface circuitry. FIG. 17 shows the placement of components on the local controller circuit board and on the local controller interface circuit board. A list and description of the local controller and local controller interface components are set forth in Table 2 below: TABLE 2 ______________________________________ Component List - FIGS. 16, 17 and 18 Local Controller and Local Controller Interface Manufacturer P.N. Location Manufacturer Description ______________________________________ BD1 PCB-0032B-01 Multi-purpose parvNET Node parvus pcb C1 AS303A20 20 pin flat capacitor, .03 ufd Rogers C11 C320C104K5R5CA Ceramic dipped 100 v Kemet capacitor .1 ufd C2 PDT6.8/50K 6.8 ufd 50 v capacitor Panasonic C3 513D686M016AA4 68 ufd 16 v tantilum cap, .1 Sprague lead spacing C7 TB47/6.3V 47 ufd, 6.3 v tantalum capacitor C8 C320C104K5R5CA Ceramic dipped 100 v Kemet capacitor .1 ufd C9 TB47/6.3V 47 ufd, 6.3 v tantalum capacitor D1 1N4005 1 amp rectifier, 100 piv Motorola J1 929647-01-20-10 dual 10th in grid male Dupont connector - 20 pos J2 929647-01-11-10 sgl row .10" ml header, .235 3M above plastic, gold, 11 pos J3 KRE3 3 position Lumberg terminal Lumberg R1 R25J103 10k resistor, 1/4 watt, 5% film Rohm U1 MC68HC11A1FN CMOS processor Motorola U1 821551-1 52 pin quad pack socket Amp U2 MC74HC573N tri-state octal d-type latch Motorola U3 XC68HC24PN Port replacement unit Motorola U3 821575-1 44 pin quad pack socket Amp U4 NMC27C256Q20 32k .times. 8 CMOS EPROM National 200NS U4 1CT-286-S-TG 28-pin machined low profile Robinson/Nug socket U5 1CT-286-S-TG 28-pin machined low profile Robinson/Nug socket U5A M5M5256P-12L 32K .times. 8 static RAM Mitsubishi U6 D5C031-40 20 pin EPLD Intel U7 MTOT1537.3728MHZ 7.3728 MHz Crystal M-Tron U8 7805CT 5 vdc regulator, TO-220 case Motorola U9 S8054HN low voltage indicator Seiko BD1 PCB-0033A-01 Three-axis interface printed parvus circuit borad C1 TB47/6.3V 47 ufd, 6.3 v tantalum capacitor C2-C4 C320C104K5R5CA Ceramic dipped 100 v Kemet capacitor .1 ufd J1-J3 68021172 Berg Stic 11 header -rt angle Dupont double row J4 68016-1-11 sng row rt agl male header .10" Dupont grid 11 .times. 1 pos J5 929852-01-20-10 dbl row fem header, .10" grid Dupont 20 .times. 2 pos J6 929850-01-11-10 single row fem header, .10" pos 3M grid, gold plate, 11 U1 7805CT 5 vdc regulator, TO-220 case Motorola ______________________________________ The local controllers, the infra-red transceiver and the vane movement means (e.g. gear motor) are powered by a local power source positioned within the collimator housing. In this embodiment of the invention, the local power source is a 12 v 1.9 amp battery (GS Portalac). View of Battery Power Location and Infra-red Transceiver Location is depicted in FIG. 6. Battery power system attachment is depicted in FIG. 7. The concept of a local power source (e.g. battery) in a portable electron beam collimator is one of the innovations of our invention. The dynamic multivane electron arc beam collimator is used on an intermittent basis for electron arc therapy. Its entire powered use cycle will typically be short (less than 15 minutes in many cases). The collimator can be removed from the linear accelerator and from the treatment room when not in use. During the periods of nonuse, the battery in the collimator can be charged by a battery charger through the battery charger port 39 (see FIG. 2). The local power source (e.g. battery) need only sustain the collimator for short periods of time such as one to two hours. High current usage occurs during transient vane movement. The concept of a local power source within the portable collimator eliminates the need for extra wiring and connections to the linear accelerator. The collimator is powered independent of the linear accelerator or any connection to the linear accelerator. FIG. 1 depicts infra-red transceivers 6a and 6b. Transceiver 6a is positioned within the housing of collimator 5. Transceiver 6b is positioned on wall 14 within the radiation treatment room. The infra-red transceivers provide for noncontact communication between collimator 5 and host controller 12. Noncontact communication means the communications from one device to another without physical electrical connections. FIG. 19 depicts an electrical schematic of the circuitry of infra-red transceivers 6a and 6b. FIG. 20 shows the placement of components on the infra-red transceiver circuit boards. A list and description of infra-red transceiver components are set forth in Table 3 below: ______________________________________ Component List - FIGS. 19 and 20 Infra-red Transceiver Manufacturer P.N. Location Manufacturer Description ______________________________________ BD1 PCB-0054B-01 Infra-red printed circuit board parvus C1 T350E685M025AS 6.8 ufd 25 v capacitor Kemet C10 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C11 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C12 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C13 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C14 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C2 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C3 CW15C103M Ceramic dipped 100 v capacitor Centralab .01 ufd, 20% tolerance C4 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd C5 C315C221K2G5CA 220 pfd 200 v ceramic Kemet capacitor, +/-10% C6 CY15C222M .002 ufd ceramic dipped capacitor CentraLab C7 C320C102K5R5CA .001 ufd ceramic capacitor Kemet C8 T350E685M025AS 6.8 ufd 25 v capacitor Kemet C9 C320C104K5R5CA Ceramic dipped 100 v capacitor Kemet .1 ufd D2 SDP8403-301 Infra-red phototransistor Honeywell D3 1N914 small signal diode-fast recovery SEM D4 1N914 small signal diode-fast recovery SEM D5 1N914 small signal diode-fast recovery SEM D6 1N914 small signal diode-fast recovery SEM D6 1N914 small signal diode-fast recovery SEM D8 1N914 small signal diode-fast recovery SEM J1 929850-01-11-10 shs row fom 1 dr, .10" grid 3M 11 .times. 1 pos LD2 SLR34UR# LED, Rad 1/8" dia. Rohm LD3 SEP8703-001 high output infra-red LED, clear Honeywell LD4 SEP8703-001 high output infra-red LED, clear Honeywell Q1 2N4400 general purpose NPN Motorola Q2 2N4400 general purpose NPN Motorola R1 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R10 R25J103 18k resistor, 1/4 watt resistor, Rohm 5%, film R11 RN55DS103F 510K 1/4 watt resistor, Dale 5%, film R12 R25J103 10k resistor, 1/4 watt, Rohm 5%, film R13 2.2k ohm, 5%, film Rohm R14 R25J333 33K 1/4 watt resistor, Rohm 5%, film R15 R25J470 470 ohm resistor, 1/4 watt, Rohm 5%, film R16 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R17 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R18 R25J104 100k, 1/4 watt, 5%, film Rohm R19 R25J511 510 ohm, 1/4 watt resistor Rohm R2 R25J273 27K 1/4 watt resistor, 5%, film Rohm R20 R32GF100J 10 ohm 1 watt resistor Resistors R21 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R22 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R23 R25J102 1k ohm, 1/4 watt, 5%, film Rohm R24 R25J102 1k ohm, 1/4 watt, 5%, film R25 R25J103 10k resistor, 1/4 watt, 5%, film Rohm R26 R25J101 100 ohm, 1/4 watt, 5%, film Rohm R3 2.2k ohm, 5%, film Rohm R4 R25J104 100k, 1/4 watt, 5%, film Rohm R5 R25J221 220 ohm 1/4 watt resistor, 5%, film Rohm R6 CRB14FX2002 28k, 1/4 watt resistor, metal oxide Rohm fixed R7 R25J221 220 ohm 1/4 watt resistor, 5%, film Rohm R8 R25J105 1 meg ohm, 1/4 watt, 5%, film Rohm U1 TDA2320 Infra-red pro-amp S6S U2 LM358N LIN - dual op amp Motorola U3 MC74HC00N quad 2-1 NAND gate Motorola U4 M74HC14B1 hex schmitt trigger S6S-ATES U5 LM311N General purpose comparator Motorola VR1 VRN182-20K 20k potentiometer Vernitron ______________________________________ The vanes, the vane movement means, the local controllers (including interfaces), the infra-red transceiver 6a and the local power source (e.g. battery) are contained within a housing. The housing of this invention can be a housing, chassis or support for three other collimator elements. The housing is constructed of plates as shown in FIG. 10. The plates are bolted together to form the housing which can also be seen in FIG. 2. In FIG. 10 the Bottom View shows the bottom plate as a maintenance plate. The maintenance plate has a large opening to facilitate access to the vanes, vane movement means and controllers. In actual electron arc therapy use a clinical use bottom plate having a smaller opening should be used. A clinical use bottom plate is depicted in FIG. 11. Referring again to FIG. 10, the Top View depicts the top plate of the collimator housing. The Side View depicts the side plates of the collimator housing. FIG. 10 also includes a Front View and a Back View where a front plate (not shown) and a back plate (not shown) should be positioned and bolted to the side brackets described below. The Front View shows use of two side brackets to secure the side plates to the top plate. The Back View shows nine vane assemblies. The concept of including the vanes, vane movement means, local controllers, noncontact communications means (e.g. infra-red transceiver) and local power source within a portable collimator housing represents one of the innovations of our invention. This housing innovation facilitates the use and installation of the collimator. Computer 12 (see FIG. 1) functions as the host controller of the collimator 5. The host controller can be an IBM PS/2 Model 80 microcomputer, a microVAX II minicomputer or some other kind of computer. The host controller 12 executes a computer program which we have named the "Collimator Treatment Conversion Program" or "Conversion Program." The Conversion Program was programmed in the Fortran programming language. A source code listing of the Conversion Program is filed with, and as part of, this patent application and is incorporated by reference into this specification. The Conversion Program converts a graphical image of the target area (or treatment area) of the patient into nine dosage planes (which correspond to the nine vane pairs in this embodiment of the invention). These dosage planes are parallel to the gantry rotational plane of the linear accelerator. For purposes of rotational reference, the arc of rotation is defined by 360 degrees of rotation with the point directly below the isocenter designated as zero degrees. Because the linear accelerator head does not typically rotate the full 360.degree. around the patient, only a selected treatment arc (which is a subset of a full 360 degree rotation) is used and only the arc segments (described below) within the selected treatment arc are used. Typically, the selected treatment arc will represent a rotational arc of about 90 to 270 degrees. Our invention is not, however, limited to this typical range of operation. Only the vane pair openings and vane position data calculated for arc segments within the selected arc (or treatment arc) are needed and retained for use. The following process is conducted sequentially for each vane pair (i.e. for each dosage plane): 1. The selected treatment arc is divided by the user into a plurality of arc segments. Arc segments are defined by the user by defining or selecting reference angles. In this embodiment the reference angles are selected at every five degrees of rotation. Other reference angles can be used. PA1 2. The user selects reference points which are points located within the patient, preferably equally spaced across the treatment arc, at a depth below the patient surface corresponding to the depth of dose maximum of the electron beam. PA1 3. For the first arc segment, the Conversion Program calculates the dosage quantity for each reference point within the dosage plane for each possible width of the opening defined by the vane pair. Possible widths are defined incrementally (e.g. in 1 millimeter increments) and range from minimum opening to maximum opening. PA1 4. Step 3 is repeated for each of the remaining arc segments. PA1 5. The Conversion Program uses a least squares optimization algorithm to determine a single preferred vane pair opening for each arc segment which provides the most uniform dose distribution across the entire treatment arc. For example, if the treatment arc is divided into ten arc segments then the vane pair will have ten openings assigned to it, one for each of the ten arc segments. Steps 1 to 5 are repeated for each of the remaining vane pairs (i.e. dosage planes). For nine vane pairs the process is conducted nine times, once for each vane pair. For nine vane pairs and ten arc segments the end result is a data file of 90 preferred vane openings. Each vane pair opening is independent of the other vane pair openings. The preferred vane pair openings are defined by vane position and are represented by vane position data. This data file (i.e. the vane position data) is transported to the host controller 12. This data file is referred to as the vane position data file. The vane position data file is indexed by reference angle. The vane pair openings calculated by the Conversion Program are indexed by reference angle for each dosage plane. The electron arc dose calculations used in the Conversion Program explicitly account for changes in patient shape across the arc, changes in patient density within the treatment volume, and changes in dose rate and energy across the arc. The preferred vane pair openings are converted to data representative of vane positions which will define the electron aperture needed to provide the desired uniform electron dosage. The vane position data are stored sequentially in a data file for later transmission to the collimator at the appropriate times (i.e. at appropriate reference angles) during the rotation of the linear accelerator head and collimator through the selected treatment arc. The data file for the complete treatment is preferably calculated before rotation begins. The invention is not limited to the specific Conversion Program or settings described herein. Other computer programs can be developed to serve as the Conversion Program or described settings. Changes within the scope of our invention can be made to the Conversion Program. For example, the reference angles can be different than every five degrees of rotation. The Conversion Program in combination with the necessary computer hardware and user input represents a means for creating vane position data (the "vane position data creation means"). This also represents a means for determining preferred vane pair openings for each arc segment and for representing the preferred vane pair openings as vane position data for each arc segment. The data file containing the vane position data is indexed by reference angles. The data file contains a record of vane position data for each reference angle. [Note: Each reference angle identifies the arc segment which begins with the reference angle. (Two reference angles, a beginning reference angle and an ending reference angle, define an arc segment. The beginning angle, as determined by rotational direction, is the identifying reference angle. The ending reference angle will be the beginning or identifying reference angle for the next arc segment (if there is a next arc segment)]. Each such record contains data representing the desired position of each of the eighteen vanes for the reference angle and the arc segment identified by the reference angle. As the linear accelerator head and collimator rotate through the selected treatment arc, the vanes will be moved to their appropriate positions for each record, i.e. as each new reference angle is encountered the vanes will be moved to the positions that will define the electron aperture needed to provide the desired electron dosage corresponding to the arc segment. When the next reference angle is encountered the vanes will be moved to new positions to define the next electron aperture needed to provide the desired electron dosage corresponding to the next arc segment. Computer 11, the controller of the linear accelerator 1, monitors the treatment angle of the linear accelerator as the head 3 and collimator 5 rotate through the selected treatment arc. Computer 11 provides this treatment angle data to host controller 12 (i.e. computer 12) by transmitting an RS-232 asynchronous serial signal through cable 16 to a standard asynchronous serial port of host controller 12 (see FIG. 1). Host controller 12 executes a computer program which we have named the "Remote Collimator Control Program" or "Control Program". The Control Program was programmed in the Basic programming language (QuickBasic 4.0). A source code listing of the Control Program is filed with, and as part of, this patent application and is incorporated by reference into this specification. The Control Program monitors the treatment angle data received from computer 11 via cable 16. In this manner the host controller 12 is kept informed of the current treatment angle and can detect reference angles which are encountered by linear accelerator rotation. For each treatment angle that is a reference angle, the Control Program converts the vane position data corresponding to the arc segment identified by the reference angle into error checked communications packets (one packet for each local controller) and sends the communications packets (i.e. the vane position data) to collimator 5 via cable 17 and infra-red transceiver 6b. The Control Program appends a CRC value to the packet based upon the data values of the packet. The invention is not limited to the specific Control Program. Other computer programs can be developed to serve as the Control Program. Changes within the scope of our invention can be made to the Control Program. The Control Program in combination with the necessary hardware represents a means for monitoring the treatment angle of the linear accelerator rotation (i.e. during rotation of head 3 and collimator 5 along the arc of rotation or treatment arc) to detect reference angles when encountered by such rotation and for transmitting to the collimator vane position data for each reference angles encountered during linear accelerator rotation (the "vane position data transmission means"). The vane position data for a reference angle is the vane position data for the arc segment identified by the reference angle. The communications packets (i.e. vane position data) for the reference angle (and corresponding arc segment) are transmitted by infra-red transceiver 6b to infra-red transceiver 6a in collimator 5. Each communications packet is sent by host controller 12 to transceiver 6b in the form of an RS-232 asynchronous serial signal through cable 17 (see FIG. 1). The infra-red transceiver 6b includes an asynchronous converter/monitor and an infra-red converter (see FIG. 5). The asynchronous converter/monitor converts the RS-232 signal into a 0-5 v TTL signal (transistor/transistor logic signal). The infra-red converter converts the TTL signal into an infra-red signal for noncontact transmission to the infra-red transceiver 6a in collimator 5. Infra-red transceivers 6a and 6b are capable of transmitting infra-red signals to each other and receiving infra-red signals from each other as indicated by arrows 18 in FIG. 1. Transceiver 6a also includes an asynchronous converter/monitor and an infra-red converter as depicted in FIG. 7. In this manner noncontact transmission and reception can be conducted. Each infra-red transceiver includes distributed sensors to receive infra red transmissions from the other transceiver. Although only one infra-red transceiver 6b is shown in FIG. 1, a plurality of infra-red transceivers 6b should be secured to wall 14 within the treatment room (i.e. the radiation therapy room) at different locations in position to transmit to and receive from transceiver 6a as it rotates along the selected treatment arc. The transceivers 6b can, in an alternative embodiment of the invention, be positioned in the plane defined by the selected treatment arc. This alternative would require that transceiver 6a be located on a different side of collimator 5 and that the transceivers 6b be located on a different wall (i.e. on one or both of the walls perpendicular to wall 14). The infra-red signals (i.e. data packets) between transceiver 6a and 6b are amplitude modulated infra-red signals using a 100 khz carrier frequency. By using infra-red signals or some other noncontact form of communication (e.g., AM/FM radio frequency transmission) between host controller 12 and collimator 5, the need to add additional communication wiring to the rotating linear accelerator and collimator is eliminated. Infra-red transceiver 6a converts the communications packets (i.e. vane position data) received from infra-red transceiver 6b through the infra-red converter and asynchronous converter/monitor of transceiver 6a and passes this vane position data to the local controllers (i.e. the six three-axis processors) as shown in FIG. 7. As each communication packet reaches its destination local controller, the local controller (i.e. three-axis processor) checks the integrity of the communications packet by calculating an incoming CRC value (cyclic redundancy check value) based on the data of the received packet and compares this value to the transmitted CRC value appended to the received packet. If the CRC comparison is valid, an acknowledgment packet is sent to the host controller 12 and the process of moving the vanes controlled by the local controller to their new positions begins. After all three vanes of a local controller have reached their new position an additional acknowledgment packet is sent to the host controller 12 for vane position verification. Each local controller includes a computer program, the "Local Controller Program," embodied as firmware in the EPROM of the local controller. The Local Controller Program was programmed in Assembly language. A source code listing of the Local Controller Program is filed with, and as part of, this patent application and is incorporated by reference into this specification. The Local Controller Program provides negative feedback loop control for up to four simultaneous signals. FIG. 9 depicts a flow chart of a single axis loop. The Local Controller Program is a general purpose multiple axis control program. The parameters of the control loops of the Local Controller Program have been set to optimum values for the collimator hardware. These parameters are stored in permanent memory (EEPROM) of the local controller. The EEPROM embedded in the Local Controller is refreshed or rewritten on a periodic basis by the Local Controller Program to offset the gradual data retention degradation caused by exposure to elevated radiation levels. The Local Controller Program includes communication, monitoring and error detection systems. The Local Controller Program includes a time averaged 12-bit A/D (analog/digital) conversion routine to convert analog data from the position monitoring means (e.g. potentiometer) to 12 bit digital data. The output is a 12-bit PWM (pulse width modulated) signal derived from the system clock. Polarity is determined external to the PWM signal for additional resolution and control. Simple digital braking is provided for high inertial systems. Two inputs per channel allow for auto-calibration or position checking. The six local controllers (i.e. the six three-axis processors) are located on a network. The parvNET token passing network of the parvus Corporation can be used for this purpose. As previously described the local controllers (three-axis processors) control and monitor the eighteen vanes (and the eighteen vane movement means corresponding to the vanes). See FIG. 7. The local controllers are networked as nodes on a common network. The host controller and display panel are also nodes on the network. Commands are sent to each three-axis processor on the network at a speed 19200 baud with an average packet length of 13 bytes for a total 18 vane collimator access time of 40 ms per setting. Physical communications are sent using standard RS-232C signals and encoded infra-red pulses to allow minimum modification to Varian's 2100C. There are parvNET registers in the Local Controller Program that are specifically defined for this application. They are defined only for this application and have no bearing on other applications. All unmentioned parvNET registers follow the standard definitions found in the parvNET PROTOCOL STANDARD (STD-0003x-01) of the parvus Corporation of Salt Lake City, Utah. The definitions of the registers specific to this application are given in Table 4 below. TABLE 4 ______________________________________ Register Definition ______________________________________ Register: 10 Name: Desired Position Read: 8 total bytes Bytes 0-1 - Desired Position Axis 1 2-3 - Desired Position Axis 2 4-5 - Desired Position Axis 3 6-7 - Desired Position Axis 4 Write: 8 total bytes Bytes 0-1 - Desired Position Axis 1 2-3 - Desired Position Axis 2 4-5 - Desired Position Axis 3 6-7 - Desired Position Axis 4 Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from the permanent memory values. The DESIRED POSITION register is the location in units that the negative feedback loop for the particular axis must try to achieve. The 12-bit right justified value stored for each axis can only be modified by the user. 2. Register: 20 Name: Actual position Read: 8 total bytes Bytes 0-1 - Actual Position Axis 1 2-3 - Actual Position Axis 2 4-5 - Actual Position Axis 3 6-7 - Actual Position Axis 4 Write 8 total bytes Bytes 0-1 - Actual Position Axis 1 2-3 - Actual Position Axis 2 4-5 - Actual Position Axis 3 6-7 - Actual Position Axis 4 Initialize: The A/D converters are read and entered into position calculations and stored in this register. The ACTUAL POSITION is the calculated 12-bit right justified value derived from the A/D converter connected to each axis. This value is compared to the DESIRED POSITION to determine the position error magnitude and polarity. 3. Register: 30 Name: Raw A/D Values Read: 4 total bytes Bytes 0 - Desired Position Axis 1 1 - Desired Position Axis 2 2 - Desired Position Axis 3 3 - Desired Position Axis 4 Write: None Initialize: The A/D converters are read and stored in this register The 8-bit values stored at these register locations are the actual values converted from the on-board A/D. 4. Register: 40 Name: Deadband Read: 4 total bytes Bytes 0 - Deadband for Axis 1 1 - Deadband for Axis 2 2 - Deadband for Axis 3 3 - Deadband for Axis 4 Write: 4 total bytes Bytes 0 - Deadband for Axis 1 1 - Deadband for Axis 2 2 - Deadband for Axis 3 3 - Deadband for Axis 4 Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from those permanent memory values. Any calculated error derived from the actual and desired positions that is greater the deadband value will cause an appropriate adjustment in the pwm output value. If the error is less than the DEADBAND then the output value is zeroed with the polarity set to positive. 5. Register: 50 Name: Maximum Velocity Read: 8 total bytes Bytes 0-1 - Desired Position Axis 1 2-3 - Desired Position Axis 2 4-5 - Desired Position Axis 3 6-7 - Desired Position Axis 4 Write: 8 total bytes Bytes 0-1 - Desired Position Axis 1 2-3 - Desired Position Axis 2 4-5 - Desired Position Axis 3 6-7 - Desired Position Axis 4 Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from those permanent memory values. Each scan cycle the position error is calculated and an appropriate adjustment is made to the output value. The maximum rate at which that adjustment can be made is limited by the MAXIMUM VELOCITY value. A value of zero is considered as no-limit. 6. Register: 60 Name: Maximum Acceleration/Deceleration Read: 8 total bytes Bytes 0-1 - Maximum Acc/Decc for Axis 1 2-3 - Maximum Acc/Decc for Axis 2 4-5 - Maximum Acc/Decc for Axis 3 6-7 - Maximum Acc/Decc for Axis 4 Write: 8 total bytes Bytes 0-1 - Maximum Acc/Decc for Axis 1 2-3 - Maximum Acc/Decc for Axis 2 4-5 - Maximum Acc/Decc for Axis 3 6-7 - Maximum Acc/Decc for Axis 4 Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from those permanent memory values. For each scan cycle the position is calculated and an appropriate adjustment is made to the output value. The rate is limited by the MAXIMUM VELOCITY value. Its rate of increase is controlled by the MAXIMUM ACC/DECC value. A value of zero is considered no limit. Register: 70 Name: Response Time Read: 4 total bytes Bytes 0 - Response Time for Axis 1 1 - Response Time for Axis 2 2 - Response Time for Axis 3 3 - Response Time for Axis 4 Write: 4 total bytes Bytes 0 - Response Time for Axis 1 1 - Response Time for Axis 2 2 - Response Time for Axis 3 3 - Response Time for Axis 4 Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from those permanent memory values. The position error is calculated at a fixed speed or rate. That rate is set by the RESPONSE TIME In milliseconds. For each RESPONSE TIME value in milliseconds the system calculates the error and adjusts the output value. 8. Register: 80 Name: Actual Output Value Read: 8 total bytes Bytes 0-1 - Actual Output for Axis 1 2-3 - Actual Output for Axis 2 4-5 - Actual Output for Axis 3 6-7 - Actual Output for Axis 4 Write: None Initialize: All outputs are set to zero prior to the first scan cycle. The final output of the control loop is a PWM signal. That signal is a 12-bit right justified value that determines `ON` time of total 12-bit count. The system clock is used to drive the PWM. The ACTUAL OUTPUT is provided for reference purposes only. 9. Register: 90 Register: A0 Register: B0 Register: C0 Register: D0 Name: Undefined Register Read: 16 total bytes Bytes 0-F - Undefined Write: 16 total bytes Bytes 0-F - Undefined Initialize: All bytes are set to zero as default. The on-board permanent memory is read, checksum verification is performed, and then if a proper checksum exists then all bytes are updated from those permanent memory values. The above-identified registers are currently undefined in the Local Controller Program. As features and functions are added to the application these registers may be used. Unused registers are 16 bytes in length for both read and write. They can be used for temporary storage. ______________________________________ The foregoing description of invention so fully reveals the general nature of the invention (including apparatus and methods) that others can readily modify such invention and/or adapt it for various applications without departing from its generic concept, and, therefore such adaptations and modifications should be and are intended to be comprehended within the meaning and range of equivalents of the following claims, which claims define subject matter regarded by us to be our invention.
abstract
An emergency safety jacket equipped with a rear ejecting parachute to protect an operator against forward accidental impact when riding a motorcycle-type vehicle is herein disclosed. The safety jacket comprises a back-mounted parachute being manually activated and ejected rearward using a propelling device, thereby removing the operator off the rear of the vehicle. The safety jacket also comprises a padded long-sleeve design with an outer shell which provides enhancements to protect the operator from impact, abrasion, and fire. The emergency safety jacket is specifically envisioned for use with motorcycle and scooter type vehicles.
053751493
claims
1. A method of extracting energy from energetic ions produced by nuclear fusion in a toroidal plasma comprising the steps of: immersing said toroidal plasma in a steady-state toroidal magnetic field; preparing said plasma such that said energetic ions are produced essentially in the central region of said toroidal plasma; and injecting waves of predetermined frequency and phase traveling substantially in a selected poloidal direction within said toroidal plasma to diffuse the energetic ions in energy and space such that said energetic ions lose energy and amplify said waves; said injected waves satisfying a resonance condition with energetic ions such that .omega.-k.sub..vertline. v.sub.i.vertline. -k.sub..perp. v.sub.i.perp. .ltoreq.0, is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, k.sub..perp. is the wave wavenumber perpendicular to said toroidal magnetic field, v.sub..vertline. is the ion parallel velocity and v.sub.i.perp. is the ion perpendicular velocity. said resonance condition with the electrons satisfying .omega.-k.sub..vertline. v.sub.e.vertline. =0, where .omega. is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, and v.sub.e.vertline. is the electron parallel velocity. said resonance condition with fuel ions satisfying .omega.-k.sub..vertline. v.sub.f.vertline. -k.sub..perp. v.sub.f.perp. .ltoreq.0, where .omega. is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, and v.sub.f.vertline. is the fuel ion parallel velocity, k.sub..perp. is the wave wavenumber perpendicular to the magnetic field, and v.sub.f.perp. is the fuel ion perpendicular velocity. means for immersing said toroidal plasma in a steady-state toroidal magnetic field; means for preparing said plasma such that said energetic ions are produced essentially in the central region of said toroidal plasma; and means for injecting waves of predetermined frequency and phase traveling substantially in a selected poloidal direction within said toroidal plasma to diffuse the energetic ions in energy and space such that said energetic ions lose energy and amplify said waves; said means for injecting waves adapted such that injected waves satisfy a resonance condition with energetic ions such that .omega.-k.sub..vertline. v.sub.i.vertline. -k.sub..perp. v.sub.i.perp. .ltoreq.0, where .omega. is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, k.sub..perp. is the wave wavenumber perpendicular to said toroidal magnetic field, v.sub.i.vertline. is the ion parallel velocity and v.sub.i.perp. is the ion perpendicular velocity. said resonance condition with the electrons satisfying .omega.-k.sub..vertline. v.sub.e.vertline. =0, where .omega. is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, and v.sub.e.vertline. is the electron parallel velocity. said resonance condition with fuel ions satisfying .omega.-k.sub..vertline. v.sub.f.vertline. -k.sub..perp. v.sub.f.perp. .ltoreq.0, where .omega. is the wave frequency, k.sub..vertline. is the wave wavenumber parallel to said toroidal magnetic field, and v.sub.f.vertline. is the fuel ion parallel velocity, k.sub..perp. is the wave wavenumber perpendicular to the magnetic field, and v.sub.f.perp. is the fuel ion perpendicular velocity. 2. The method of claim 1 and said injected waves generated by injecting radio-frequency power of predetermined frequency and phase into said toroidal plasma. 3. The method of claim 1 and said injected waves including lower hybrid waves. 4. The method of claim 1 and including the step of removing energetic ions near the outer periphery of the toroidal plasma. 5. The method of claim 1 wherein said injected waves travel substantially in a selected toroidal direction and increase preferentially the energy of electrons traveling substantially in one toroidal direction to generate a toroidal plasma current. 6. The method of claim 5 wherein said injected waves are substantially electrostatic and satisfy a resonance condition with both energetic ions and electrons; 7. The method of claim 1 wherein said injected waves increase preferentially the energy of fuel ions within the plasma to increase the fusion reactivity of the fuel ions. 8. The method of claim 7 wherein said injected waves are substantially electrostatic and satisfy a resonance condition with both energetic ions and fuel ions; 9. The method of claim 1 wherein said toroidal plasma includes both minority and majority fuel ions having differing charge states, and wherein said injected waves travel substantially in a selected toroidal direction and increase preferentially the energy of minority fuel ions traveling substantially in one toroidal direction to generate toroidal plasma current and increase fusion reactivity of the minority fuel ions. 10. The method of claim 9 wherein said injected waves are resonant with superthermal minority fuel ions such that (.omega.-.OMEGA..sub.m)/k.sub..vertline. =4v.sub.Tm, where .omega. is the wave frequency, .OMEGA..sub.m is the minority fuel ion gyrofrequency, k.sub..vertline. is the wave wavenumber parallel to the toroidal magnetic field, and v.sub.Tm is the average thermal velocity of the minority fuel ions. 11. An apparatus for extracting energy from energetic ions produced by nuclear fusion in a toroidal plasma comprising: 12. The apparatus of claim 11 wherein said means for injecting waves is adapted such that injected waves travel substantially in a selected toroidal direction and increase preferentially the energy of electrons traveling substantially in one toroidal direction to generate a toroidal plasma current. 13. The apparatus of claim 12 wherein said means for injecting waves is adapted such that injected waves are substantially electrostatic and satisfy a resonance condition with both energetic ions and electrons; 14. The apparatus of claim 12 wherein said means for injecting waves is adapted such that injected waves increase preferentially the energy of fuel ions within the plasma to increase the fusion reactivity of the fuel ions. 15. The apparatus of claim 14 wherein said means for injecting waves is adapted such that injected waves are substantially electrostatic and satisfy a resonance condition with both energetic ions and fuel ions; 16. The apparatus of claim 11 wherein said toroidal plasma includes both minority and majority fuel ions having differing charge states, and wherein said means for injecting waves is adapted such that injected waves travel substantially in a selected toroidal direction and increase preferentially the energy of minority fuel ions traveling substantially in one toroidal direction to generate toroidal plasma current and increase fusion reactivity of the minority fuel ions. 17. The apparatus of claim 16 wherein said means for injecting waves is adapted such that injected waves are resonant with superthermal minority fuel ions such that (.omega.-.OMEGA..sub.m)/k.sub..vertline. .perspectiveto.4v.sub.Tm, where .omega. is the wave frequency, .OMEGA..sub.m is the minority fuel ion gyrofrequency, k.sub..vertline. is the wave wavenumber parallel to the toroidal magnetic field, and v.sub.Tm is the average thermal velocity of the minority fuel ions.
047708450
summary
The invention relates to control systems for nuclear reactors, particularly to a control system for a liquid metal cooled reactor, and more particularly to a self-actuated control system responsive to low-flow or over-power conditions of the reactor. The use of control systems to regulate the reactivity of a nuclear reactor by varying the location of control (neutron absorber) elements with respect to the reactive core is well known. With a view toward the possibility of an emergency condition arising, as by an unexpected drop in coolant flow or rise in reactivity, such control systems include arrangements for "scramming" the control rods; i.e., for rapid insert of the absorber elements into the core to quickly shut down the reactor. With the advent of the liquid metal fast breeder reactor (LMFBR), a need for faster, less complex, more reliable control rod scram or shutdown systems has become apparent, whereby the reactivity of the reactor can be quickly shut down. More recent efforts have been directed to the desirability of utilizing secondary or alternate control systems of the self-actuating type which would make an LMFBR inherently safe. Such alternate or self-actuating systems provide control without reliance on the primary reactor control system or plant operators, while being capable of actuation by the plant operators. These efforts have resulted in systems which sense the reactor flow rate and actuate when the flow drops below a predetermined level, or measure the neutron flux or reactivity level of the reactor and actuate when the reactivity exceeds a specified level. The following exemplifies various operator-actuated and/or self-actuated prior art control systems. U.S. Pat. No. 4,158,602 issued June 19, 1979, to L. E. Minnick discloses a self-actuating scram system triggered by a loss of primary coolant flow which supports the absorber rods above the reactor core region. A loss of primary coolant flow causes a decrease in the supporting pressure on the absorber rods allowing the rods to fall into the core region, thus scramming the reactor. U.S. Pat. No. 3,359,172 issued Dec. 19, 1967, to C. S. Olsson discloses a reactor shutdown system employing an electromagnet-operated valve to terminate coolant flow. Absorber rods, normally suspended above the core, will fall into the core region upon loss of coolant flow. U.S. Pat. No. 3,462,345 issued Aug. 19, 1969, to F. S. Jabsen discloses a reactor control system utilizing coolant pressure to support control rods above the reactor core region. Upon loss of coolant flow, the control rods fall under the influence of gravity into the core region effecting a self-actuated scram. A scram may also be initiated by de-energizing an electromagnet coupled to a valve disposed in the coolant flow line. Actuation of the valve cuts off coolant flow, terminating the support pressure on the control rod, thus allowing the rod to fall under the influence of gravity into the core region. U.S. Pat. No. 4,187,145 issued Feb. 5, 1980, to R. C. Noyes et al discloses a scram release system in which control rods are supported by coolant pressure acting on a hydraulic latch plug. A reduction in coolant flow rate causes a reduction in pressure across the plug, resulting in release of absorber material into the core under the influence of gravity as well as under the influence of high-pressure fluid applied to the top of the absorber material by a conduit opened by the release of the plug. U.S. Pat. No. 4,138,320 issued Feb. 6, 1979, to A. L. Grantz discloses a fluidic control module which senses reactor coolant flow rate and/or flux changes and varies the flow rate accordingly. The flow of coolant supports absorber materials, and when coolant flow is restricted the absorber material falls into the reactor core region. In addition, a flux sensor is employed to cause the thermal expansion of a metallic orifice upon the sensing of an increase in neutron flux. Expansion of the metallic orifice triggers a scram release mechanism. U.S. Pat. No. 3,177,124 issued Apr. 6, 1965, to D. T. Eggen et al discloses a reactor control device triggered by the melting of a solder joint. Upon experiencing an increase in neutron flux, a layer of uranium abutting the solder joint begins to heat the joint until it melts, releasing absorber material. Thus, while various approaches have been developed for reactor control, a need still exists for a self-actuated control system which is failsafe; reliable; testable in the core at shutdown; resettable; and capable of actuating, upon sensing, either the initiation of a transient undercooling (loss of flow) event, or a transient over-power (increased reactivity) event, as well as being capable of response to reactor coolant over-temperature and actuation by plant operators. RELATED APPLICATION The present invention is in the same general field of art as U.S. application Ser. No. 270,682, filed June 4, 1981, and assigned to the assignee of this application. SUMMARY OF THE INVENTION It is an object of the present invention to provide a self-actuated control system for nuclear reactors. It is a further object of the invention to provide a self-actuating reactor shutdown system responsive to loss of reactor coolant flow or high-neutron flux. Another object of the invention is to provide a reactor shutdown system which utilizes pressure differential of reactor coolant across a neutron absorber element and/or an electromagnetic valve actuated by a thermionic diode in response to neutron-flux level. Other objects of the invention will become apparent from the description of the invention and the accompanying drawings illustrating the invention. The present invention involves a hydrostatic supported absorber/self-actuating reactor shutdown system which is particularly applicable for a liquid metal fast breeder reactor, but is not limited to this specific type of reactor. The system is capable of initiating scram insertion of an absorber element into the reactor core by a signal from the plant protection system, or by independent action by directly sensing reactor conditions of low flow or over-power. By these means, this system achieves a degree of independence from reactor operational instrumentation and control systems. More specifically, upon loss or reduction of reactor coolant flow, a decrease in pressure differential across the neutron absorber element which produces a force less than the weight of the absorber element, allows the element to begin to drop. Equalization of the pressures across the element allows the element to fall under full gravitational force. The reduction in coolant flow for actuating the absorber element may result from an undesirably high neutron flux, or coolant over-temperature, which actuates a thermionic diode to control an electromagnetically actuated valve which, in turn, controls the coolant flow across the absorber element. The thermionic diode, which is uranium blanketed, changes state (becomes conductive) as the uranium blanket is heated by the high-neutron flux or by high coolant temperature, causing the electromagnet to be shorted; and the valve element, formerly held in open condition by magnetic attraction, closes off the flow of coolant across the absorber element. It is thus seen that the invention in its broadest sense involves a shutdown system for a nuclear reactor, including means for retaining neutron absorbing material above a core region of the reactor, the retaining means being responsive to a pressure differential created by the coolant flow across the neutron absorbing material, and means responsive to neutron flux or coolant temperature for controlling the coolant flow.
053533224
summary
BACKGROUND A recognized way of reducing the feature size of circuit elements on microchips is to lithographically image them with radiation of a shorter wavelength. This is clear from the well-known relationship ##EQU1## where R is the resolution dimension representing feature size, K is a process-related constant of order unity, .lambda. is the wavelength of the radiation, and NA is the numerical aperture of the imaging system projecting the radiation onto a wafer. Shortening the wavelength .lambda. thus directly reduces the resolution dimension. Enlarging the numerical aperture, as another way of reducing the resolution dimension, also reduces the depth of focus (Df), by the relationship ##EQU2## For several reasons, including the practical flatness of wafers, depth of focus is preferably larger than about 1.0 micron, which in turn limits the resolution improvement achievable by enlarging the numerical aperture. This leaves shortening the wavelength of the radiation as the most desirable way of improving resolution, providing ways can be found for distortion-free imaging with shorter wavelengths. Moving down the electromagnetic spectrum to wavelengths shorter than UV radiation leads to the so-called "soft" X-ray radiation in the range of 2 to 20 nanometers wavelength. Radiation in the soft X-ray range cannot be focused refractively by passing through glass lenses, but can be focused by reflective mirrors having multilayer coated surfaces. This possibility has led to some work on soft X-ray imaging systems using mirrors in a projection imaging lens system. Examples of such work include: The basic problem is well explained by H. Kinoshita et al. in their paper "Soft x-ray reduction lithography using multilayer mirrors" (J. Vac. Sci. Technol. B 7 (6), November/December 1989, pages 1648-1651). PA1 One of the inventors of this application (J. H. Bruning) has contributed to a paper entitled "Reduction imaging at 14 nm using multilayer-coated optics: Printing of features smaller than 0.1 .mu.m" (J. Vac. Sci. Technol. B 8 (6), November/December 1990, pages 1509-1513). PA1 A workshop on this subject, High-Precision Soft X-ray Optics Workshop, held Oct. 5 and 6, 1989, was sponsored by the Air Force Office of Scientific Research and the National Institute of Standards and Technology. A notebook entitled "High-Precision Soft X-Ray Optics" from this workshop includes a section on "Optical Fabrication", pages 16-20. PA1 The Optical Society of America sponsored a topical meeting, Soft-X-Ray Projection Lithography Topical Meeting, on Apr. 10-12, 1991. A paper entitled "Design and Analysis of Multimirror Soft-X-Ray Projection Lithography Systems", by D. L. Shealy, C. Wang, and V. K. Viswanathan, was published in OSA Proceedings on Soft-X-Ray Projection Lithography, 1991, Vol. 12, Jeffrey Bokor (ed.), Optical Society of America, pages 22-26. PA1 Another paper on the subject, authored by R. H. Stulen and R. R. Freeman, and entitled "Optics Development for Soft X-Ray Projection Lithography Using a Laser Plasma Source", dated Nov. 15, 1990, was published in OSA Proceedings on Soft-X-Ray Projection Lithography, 1991, Vol. 12, Jeffrey Bokor (ed.), Optical Society of America, pages 54-57. PA1 A selection of overview papers from SPIE Proceedings-Summer/Fall 1990, SPIE Advent Technology Series, Volume AT 2, (ed., Western Washington University), SPIE Optical Engineering Press, includes, at page 320, a paper entitled "Design survey of x-ray/XUV projection lithography systems", by D. L. Shealy and V. K. Viswanathan. PA1 Another paper entitled "Reflective systems design study for soft x-ray projection lithography" is by T. E. Jewell, J. M. Rodgers, and K. P. Thompson, and appears in J. Vac. Sci. Technol. B 8 (6), November/December 1990, American Vacuum Society, pages 1519-1523. SUMMARY OF THE INVENTION In our research of the optical design of mirror-based imaging systems for X-ray projection lithography cameras, we have devised a way of representing all possible three-mirror lenses with only two parameters, allowing design solutions to be graphically displayed in a comprehensive and insightful manner. The two parameters, which are the magnification of a convex mirror and the magnification ratio of two concave mirrors, can be plotted on coordinate axes in a two-dimensional magnification plane where any specific three-mirror lenses are located as points. Then using the two-dimensional magnification display to help explore the characteristics of possible design solutions, we have located and identified a region of the magnification space where optimum design solutions exist. The solutions within our region are free of many problems encountered by solutions outside our region, and our solutions generally embody practical requirements that contribute to workability. Also, our simple way of two-dimensionally representing our region of optimum solutions enables a designer to proceed more rapidly to a first-order solution and to identify first-order solutions that present the best prospects for extremely low distortion lens designs using well-known computer optimization techniques. Although two- and four-mirror systems can be used in X-ray projection lithography cameras, we prefer three-mirror systems, with or without a fourth plane mirror for folding or turning the radiation. Three-mirror systems have been suggested for a soft X-ray projection lithography camera (published European Patent Application EP-252-734-A, entitled "X-ray Reduction Projection Exposure System of Reflection Type", of Canon KK), but the suggested systems all lie outside our region of optimum solutions. The shortcomings involved in this are explained below. Our work on three-mirror soft X-ray lithography lenses has led to discovery of a pair of unusual but especially effective lens systems offering several advantages. These lenses fall within our optimum design solution region of magnification space and are explained toward the end of this specification.
claims
1. A method of irradiating a material in an elution capsule, the elution capsule including,a tube with a first end portion having a first inside diameter, a second end portion having a second inside diameter,a singular first opening in the first end portion and a singular second opening in the second end portion, the first and second openings facing opposing directions and being about collinear with a longitudinal centerline of the tube,a middle portion having an inside diameter smaller than the inside diameters of the first and second end portions,the middle portion being between the first end portion and configured to hold the material,an interface between the first end portion and the middle portion forms a first shoulder and an interface between the second end portion and the middle portion forms a second shoulder,a first washer inside the first end portion contacting the first shoulder,a first filter inside the first end portion contacting the first washer,a second filter inside the first end portion contacting the first filter, the first filter being located between the first washer and the second filter,a first end cap sealing the first opening,a second washer inside the second end portion contacting the second shoulder,a third filter inside the second end portion contacting the second washer,a fourth filter inside the second end portion contacting the third filter, the third filter being located between the second washer and the fourth filter, anda second end cap sealing the second opening, the method comprising:placing the material in the middle portion of the elution capsule;placing the elution capsule in a neutron flux source; andirradiating the capsule and the material in the neutron flux source. 2. The method of claim 1, wherein the material includes one of titanium molybdate, zirconium molybdate, titanium tungstenate, and zirconyl tungstenate. 3. A method of eluting a material comprising:placing a sealed elution capsule enclosing the material into a nuclear reactor, the elution capsule having first and second end portions with a singular, sealed first opening in the first end portion and a singular, sealed second opening in the second end portion, the first and second openings facing opposing directions and being about collinear with a longitudinal centerline of the elution capsule;irradiating the sealed elution capsule and the material in a reactor;removing the sealed elution capsule and irradiated material from the reactor; andperforming an elution step by puncturing the first opening of the elution capsule with a needle to supply a solution to the elution capsule and puncturing the second opening with a needle to provide a vacuum to draw the solution through the irradiated material to collect the eluant. 4. The method of claim 3, further comprising:applying a first adhesive layer on an inner surface of a first flexible material that forms a seal over the first opening and a second adhesive layer on an inner surface of a second flexible, material, that forms a seal over the second opening. 5. The method of claim 3, wherein the material is one of titanium molybdate, zirconium molybdate, titanium tungstenate, and zirconyl tungstenate and the solution is one of distilled water, deionized water, saline, oxidizers, acids, or bases. 6. The method of claim 3, wherein the sealed elution capsule includes a multidiameter tube with the first end portion having a first inside diameter, the second end portion having a second inside diameter, and a middle portion between the first end portion and the second end portion having an inside diameter smaller than the inside diameters of the first and second end portions, wherein an interface between the first end portion and the middle portion forms a first shoulder and an interface between the second end portion and the middle portion forms a second shoulder. 7. The method of claim 6, further comprising:placing a first washer inside the first end portion to contact the first shoulder;placing a first filter inside the first end to contact the first washer;placing a second filter inside the first end such that the first filter is between the first washer and the second filter;applying epoxy to a first end cap;inserting the first end cap in the first end portion to seal the first opening;placing the material into the middle portion of the multidiameter tube, wherein the material enters the middle of the multidiameter tube from the second end portion;placing a second washer inside the second end portion to contact the second shoulder;placing a third filter inside the second end portion to contact the second washer;placing a fourth filter inside the second end portion such that the third filter is between the second washer and the fourth filter; andapplying epoxy to a second end cap;inserting the second end cap in the second end portion to seal the second opening;applying epoxy to internal surfaces of a first non-hardening flexible seal;placing the first non-hardening flexible seal over the first opening after the irradiation step to form an extra seal for sealing the first opening of the capsule;applying epoxy to internal surfaces of a second non-hardening flexible seal; andplacing the second non-hardening flexible seal over the second opening after the irradiation step to form an extra seal for sealing the second opening of the capsule. 8. The method of claim 2, further comprising:removing the elution capsule from the neutron flux source;eluting the elution capsule using a solution that is one of distilled water, deionized water, saline, oxidizers, acids or bases.
claims
1. A cooling duct assembly for a control element drive mechanism that is installed on an upper assembly of a nuclear reactor that comprises a control element drive mechanism that is disposed above a reactor head; a cable tray disposed above the control element drive mechanism, and a cooling air handling device that is disposed above the cable tray, the cooling duct assembly comprising:a cylindrical skirt that is combined on a circumference of the reactor head and has first air channels in an inner side thereof;a lower duct that is combined with an upper side of the skirt, has second air channels connected to the first air channels, is disposed to surround a circumference of the control element drive mechanism, and has flow spaces for allowing air around the reactor head to flow towards the control element drive mechanism,an upper duct, one edge of which is combined with the cooling air handling device, and another edge of which is detachably combined with the lower duct; anda lifting rig that moves the upper duct up and down,wherein the lifting rig comprises a guide rail that extends in upper and lower directions of the upper duct and is combined with an outer surface of the upper duct, a guiding block that supports the guide rail by being attached to the cable tray or the cooling air handling device , a wire having an edge fixed on a lower edge of the upper duct, a winch that lifts and descends the upper duct by winding or unwinding the wire, and a pulley that is disposed between the edge of the wire and the winch to guide the wire,wherein air that cools the control element drive mechanism is discharged to the outside after sequentially passing through the first air channels of the skirt, the second air channels of the lower duct, the upper duct, and the cooling air handling device, andthe upper duct separated from the lower duct is lifted together with the cooling air handling device, and the edge of the upper duct is detachably combined with the cooling air handling device. 2. The cooling duct assembly of claim 1, wherein the winch comprises:a reducer that controls a rotation speed of a driving axis;a motor that rotates the driving axis; anda brake that stops the motor from rotating. 3. The cooling duct assembly of claim l, wherein the cable tray or the cooling air handling device further comprises a fixing plate that is combined with the guiding blocks, and the pulley is rotatably combined with supporting bracket that is combined with the fixing plate. 4. The cooling duct assembly of claim 1, wherein the lower duct comprises a plurality of sub-lower ducts having flow spaces for inducing air around the reactor head towards the control element drive mechanism, wherein the sub-lower ducts are separated from each other. 5. The cooling duct assembly of claim 4, wherein the sub-lower ducts comprise a first sub-lower duct having a flow space for inducing the air around the reactor head towards the control element drive mechanism and a second sub-lower duct having flow spaces for inducing the air around the reactor head towards the control element drive mechanism.
description
The present application is a continuation of, and claims benefit under 35 USC 120 to, international application PCT/EP2019/069679, filed Jul. 22, 2019, which claims benefit under 35 USC 119 of German Application No. 10 2018 212 224.5, filed Jul. 23, 2018. The entire disclosure of these applications are incorporated by reference herein. The disclosure relates to a radiation source having a device for feeding back emitted radiation to a laser source, and to a device of this type. Furthermore, the disclosure relates to a method for operating a free electron laser. Moreover, the disclosure relates to an illumination system for a projection exposure apparatus or a metrology system and also a microlithographic projection exposure system and a metrology system. In addition, the disclosure relates to a method for producing a micro- or nanostructured component, and a corresponding component. By way of example, free electron lasers (FELs) are appropriate as radiation sources for EUV projection exposure apparatuses. Such FELs usually have very large dimensions. Moreover, radioactivity may be released during the disposal of the used electron beam. The disclosure seeks to provide an improved a radiation source for a projection exposure apparatus. The disclosure provides a device for feeding back emitted radiation to a laser source and also a radiation source having a device of this type. The disclosure involves coupling out a part of the emitted radiation from a beam path and coupling at least one portion of the coupled-out radiation into the laser source again. The emitted radiation can serve as illumination radiation, for example, for a projection exposure apparatus. The term illumination radiation is also used hereinafter instead of the term radiation or electromagnetic radiation. This should not be understood to be limiting. The subject matter of the present disclosure are also afforded in other applications. With the aid of the optical components for coupling out electromagnetic radiation from the beam path and for coupling at least one portion of the coupled-out electromagnetic radiation, for example for coupling a so-called seed pulse, into the laser source, for example into a storage ring thereof, the size of the radiation source can be considerably reduced. The electromagnetic radiation can have a wavelength of at most 30 nm, for example EUV radiation (e.g., from 5 nm to 30 nm, from 13.5 nm or 6.8 nm). Illumination radiation for operating a microlithographic projection exposure apparatus can be involved. The laser source can be a free electron laser. A diffractive optical element can serve for coupling out electromagnetic radiation from the beam path. The diffractive optical element can be arranged on the component for coupling out electromagnetic radiation. With the aid of the device, an FEL can be provided with a self-seed mechanism. The device for feeding back the emitted electromagnetic radiation to the laser source can be embodied in such a way that mode matching of the coupled-in electromagnetic radiation to the electromagnetic radiation generated in the laser source is effected. For example, mode-matched coupling of seed pulses into the laser source again is effected. In accordance with one aspect of the disclosure, the at least one optical component for coupling out electromagnetic radiation from the beam path and/or the at least one optical component for coupling electromagnetic radiation into the laser source include(s) in each case a grazing incidence mirror (GI mirror). Expansion of the illumination beam can be achieved via a grazing incidence mirror. This can be used to reduce the thermal load on the optical components. The angle of incidence (grazing angle) can be less than 15° (e.g., less than 10°). It can be 0.1 rad=5.7°, for example. The mirror of the component for coupling out electromagnetic radiation from the beam path can be superpolished. In the used range it has an integral figure error of at most 10 nm rms (e.g., 2.5 nm rms) (root mean square) for spatial wavelengths of greater than 1 mm, up to the free aperture of the mirror and an integral rms roughness of at most 1 nm (e.g., 0.5 nm rms) for spatial frequencies of between 10 nm and 1 mm. In accordance with a further aspect of the disclosure, the mirror of the optical component for coupling out electromagnetic radiation is arranged at a distance of at least 1 m (e.g., at least 2 m, at least 5 m, at least 8 m) from the output of the laser source. The distance is preferably at most 30 m (e.g., at most 20 m, at most 12 m). The optical component of the device for feeding back electromagnetic radiation that can be downstream of the optical component for coupling out electromagnetic radiation at a distance of at least 1 m (e.g., at least 2 m, at least 3 m, at least 5 m, at least 8 m) and/or at most 30 m (e.g., at most 20 m, at most 12 m) from the GI mirror in the forward direction. This can influence firstly the expansion of the coupled-out illumination beam, and secondly the transverse distance between the returning beam and the outgoing beam. In accordance with a further aspect of the disclosure, the GI mirror of the optical component for coupling out electromagnetic radiation is embodied can be a convex mirror (e.g., to a first approximation off-axis paraboloid mirror or as an ellipsoidal mirror), for example having a beam waist at a focal point (e.g., a hyperboloid mirror, a freeform surface mirror). In accordance with a further aspect of the disclosure, the mirror of the component for coupling the electromagnetic radiation into the laser source, apart from the diffractive structure, is embodied substantially identically to the mirror of the optical component for coupling out electromagnetic radiation. In accordance with a further aspect of the disclosure, the diffractive optical element is embodied as drivable. For example, a controllable grating element is involved. By way of example, an acousto-optical element can be involved. For details, reference should be made to DE 10 2016 217 426 A1. The diffractive optical element can have a variable grating constant, such as a controllable grating constant. This can make possible variable, for example controllable, power feedback to the FEL. As an alternative thereto, the diffractive optical element can also be embodied as a static grating. This can enable a particularly simple implementation of the disclosure. The diffractive optical element can have at least 30 lines per millimetre (e.g., at least 38 lines per millimetre). The line density can be less than 100 lines per millimeter. As a result, it is possible to separate two adjacent orders at the optical element (M2) downstream of the diffractive optical element by at least the beam diameter. In accordance with a further aspect of the disclosure, the diffractive optical element can be embodied in a blazed fashion (blazed grating). It is thereby possible to concentrate the reflected power in a few orders, for example in two orders. In accordance with a further aspect of the disclosure, provision is made for using the zero order or a higher order as a seed pulse for coupling into the laser source again. In accordance with a further aspect of the disclosure, the diffractive optical element has lines perpendicular to the beam direction. As an alternative thereto, the diffractive optical element can have lines in the beam direction. It can be embodied as a conical grating, for example. Details concerning the design can be gathered from WO2004/021086 A1 and DE 10 2012 201 497 A1. A conical grating diffracts the orders of diffraction which are different than zero out of the plane of incidence, i.e. with a directional component in the direction of the grating vector. A (conventional) grating having lines perpendicular to the light direction diffracts within the plane of incidence. Depending on structural space conditions, an optimum design can be achieved by way of appropriate choice. In accordance with a further aspect of the disclosure, at least one of the grazing incidence mirrors is produced from silicon carbide, silicon, copper, ruthenium, aluminum or diamond or includes constituents of these substances or compounds or alloys thereof. The grazing incidence mirror be formed of the aforementioned substances or compounds thereof or a combination of same. In accordance with a further aspect of the disclosure, the at least one optical component having the diffractive optical element includes a cooling unit. The component can be internally cooled, for example. A selection from water, oil, carbon dioxide or nitrogen can serve as cooling medium. Examples for the integration of a cooling unit can be gathered from, for example, WO2007/051638A1, US2010/0182710A1 or U.S. Pat. No. 9,007,559B2 or DE 10 2012 201 221 A1, DE 10 2015 100 918 A1, DE 10 2009 039400 A1, DE 10 2016 221 878 A1. In accordance with a further aspect of the disclosure, the device for feeding back electromagnetic radiation to the laser source includes two grazing incidence mirrors and two normal incidence mirrors (NI mirrors). The device includes, for example, in each case exactly two GI mirrors and two NI mirrors. It has been found that this has enabled the thermal load on the individual mirrors to be kept below a predefined limit value, for example below 1000 W/cm2 (e.g., below 500 W/cm2, below 300 W/cm2, below 200 W/cm2). In accordance with a further aspect of the disclosure, the mirrors of the device are arranged symmetrically, for example mirrorsymmetrically, with respect to a center plane. Optionally, the device overall has a symmetrical construction. This can facilitate mode-matched coupling of the electromagnetic radiation, for example of the seed pulses, in again. The features of a radiation source having a feedback device in accordance with the preceding description are evident from those already described. The radiation source can be an EUV radiation source, i.e., a radiation source for generating electromagnetic radiation in the EUV wavelength range. The disclosure also seeks to provide an improved method for operating a free electron laser. In an aspect, the disclosure provides a method in which a part of the electromagnetic radiation generated by the FEL is coupled out from the beam path and at least partly coupled into the FEL again. Provision is made, for example, for coupling seed pulses into the FEL again. The coupling in again can be effected in a mode-matched manner. The disclosure provides a compact-storage-ring-based FEL which is operated far below saturation (approximately <1% of the saturation power), with the result that the degradation of the electron beam quality owing to the FEL process is kept so low that it becomes possible to store the electron beam. Details concerning the design of this FEL can be gathered from the publications of Lyncean Technologies Inc. Michael Feser, https://www.euvlitho.com/2017/P17.pdf and Michael Feser et al., Proc SPIE Vol 10450 1045011-2 (2017). The total EUV power which can be in the kilowatts range can arise as a result of a high repetition rate. The repetition rate can be at least 5 Hz (e.g., at least 10 Hz, at least 20 Hz, at least 30 Hz, at least 50 Hz, at least 100 Hz, at least 200 Hz, at least 300 Hz). It is usually less than 1 GHz. Optionally, a plurality of pulses (e.g., at least 5 pulses, at least 10 pulses, at least 20 pulses, at least 30 pulses, at least 50 pulses) can be stored in the storage ring. Since the electrons can be stored for a long period of time, the number of electrons to be disposed of can decrease dramatically. The issue with respect to protection against radiation is thus simplified as well. The power of that portion of the coupled-out electromagnetic radiation which is coupled in again can be at least 10 W (e.g., at least 20 W, at least 30 W, at least 50 W, at least 100 W), and/or less than 1 kW (e.g., less than 500 W, less than 300 W, less than 200 W). In accordance with a further aspect of the disclosure, the exact value of the power coupled in again can be controlled by the control of the diffractive optical element. The flexibility of the feedback can be improved as a result. In accordance with a further aspect of the disclosure, provision is made for controlling, for example by closed-loop control, the electromagnetic radiation used for illuminating the object field, for example the intensity of the electromagnetic radiation, by driving the diffractive optical element. It is possible, for example, to control, for example by closed-loop control, the electromagnetic radiation used for imaging the reticle on a wafer in the image field of the projection optical unit, for example the intensity of the electromagnetic radiation, by driving the diffractive optical element. Using suitable feedback and/or feedforward information, it is possible to realize dose control at the reticle and/or wafer level. Further aspects of the disclosure include improving an illumination system for a projection exposure system or a metrology system and also a projection exposure system and a metrology system having a corresponding illumination system. The disclosure provides an illumination system having a radiation source in accordance with the description above. The features are evident from those already described. With the aid of the illumination system, it is possible, for example, to feed back a part of the electromagnetic radiation generated by the FEL to the latter for seeding purposes. The orders of diffraction which are not used for seeding can be guided without obscuration to one or more scanners of the projection exposure system, for example to one or more projection optical units. For details of a corresponding projection exposure system, reference should again be made to DE 10 2016 217 426 A1. Further features of the disclosure include improving a method for producing a micro- or nanostructured component and also a component of this type. The disclosure provides a projection exposure system according to the description above. Firstly, certain constituent parts of a projection exposure system 1 will be described below with reference to FIGS. 1 and 2. The description of the general construction of the projection exposure system should be understood to be by way of example and not limiting. For further details, reference should be made to the description of DE 10 2016 217 426 A1 as a representative, which is hereby fully incorporated in the present disclosure as part thereof. In particular, the output coupling and/or beam expanding optical units disposed downstream of the radiation source module 2 can be embodied differently than is illustrated in FIGS. 1 and 2. The subdivision of the projection exposure system 1 into subsystems that is carried out below serves primarily for the conceptual demarcation thereof. The subsystems can form separate structural subsystems. However, the division into subsystems need not necessarily be reflected in a structural demarcation. The projection exposure system 1 includes a radiation source module 2 and one or a plurality of scanners 3i. The radiation source module 2 includes a radiation source 4 for generating illumination radiation 5. The radiation source 4 is a free electron laser (FEL), for example. The radiation source 4 has for example an average power in the range of 1 kW to 25 kW. It has a pulse frequency in the range of 10 MHz to 10 GHz. Each individual radiation pulse can amount to an energy of 83 μJ for example. Given a radiation pulse length of 100 fs, this corresponds to a radiation pulse power of 833 MW. The radiation source 4 can also have a repetition rate in the kilohertz range, for example of 100 kHz, or in the low megahertz range, for example at 3 MHz, in the medium megahertz range, for example at 30 MHz, in the upper megahertz range, for example at 300 MHz, or even in the gigahertz range, for example at 1.3 GHz. The radiation source 4 is an EUV radiation source, for example. The radiation source 4 emits in particular radiation having a wavelength of at most 30 nm, in particular EUV radiation in the wavelength range of, for example, between 2 nm and 30 nm, in particular between 2 nm and 15 nm. The radiation source 4 emits the illumination radiation 5 in the form of a raw beam 6. The raw beam 6 has a very small divergence. The divergence of the raw beam 6 can be less than 10 mrad, in particular less than 1 mrad, in particular less than 100 μrad, in particular less than 10 μrad. To facilitate the description of positional relationships, coordinates of a Cartesian xyz-coordinate system are used below. The x-coordinate together with the y-coordinate regularly spans a beam cross section of the illumination radiation 5. The z-direction regularly runs in the radiation direction of the illumination radiation 5. In the region of the object plane 21 and of the image plane 24, respectively, the y-direction runs parallel to a scan direction. The x-direction runs perpendicular to the scan direction. The raw beam 6 is emitted in a specific direction by the radiation source 4. The direction is also designated hereinafter as pointing P. The raw beam 6 can have an etendue that is less than 0.1 mm2, in particular less than 0.01 mm2. The etendue is the smallest volume of a phase space which contains 90% of the energy of the illumination radiation 5 emitted by the radiation source 2. Definitions of the etendue corresponding thereto can be found for example in EP 1 072 957 A2 and U.S. Pat. No. 6,198,793 B1. The radiation source module 2 furthermore includes a beam shaping optical unit 7 disposed downstream of the radiation source 4. The beam shaping optical unit 7 serves for generating a collective output beam 8 from the raw beam 6. The collective output beam 8 has a very small divergence. The divergence of the collective output beam 8 can be less than 10 mrad, in particular less than 1 mrad, in particular less than 100 μrad, in particular less than 10 μrad. In particular, the diameter of the raw beam 6 or of the collective output beam 8 can be influenced via the beam shaping optical unit 7. In particular, an expansion of the raw beam 6 can be achieved via the beam shaping optical unit 7. The raw beam 6 can be expanded via the beam shaping optical unit 7 in particular by a factor of at least 1.5, in particular at least 2, in particular at least 3, in particular at least 5, in particular at least 10. The expansion factor is in particular less than 1000. It is also possible to expand the raw beam 6 to different extents in different directions. In particular, it can be expanded to a greater extent in an x-direction than in a y-direction. In this case, the y-direction in the region of the object field 11i corresponds to the scan direction. The divergence of the collective output beam 8 can be less than the divergence, in particular less than half the divergence, of the raw beam 6. For further details of the beam shaping optical unit 7, reference should be made to DE 10 2013 223 935 A1, which is hereby incorporated into the present application. The beam shaping optical unit 7 can include, in particular, one or two beam shaping mirror groups each having two mirrors. The beam shaping mirror groups serve, in particular, for the beam shaping of the collective output beam 8 in mutually perpendicular planes which run parallel to the propagation direction of the collective output beam 8. The beam shaping optical unit 7 can also include further beam shaping mirrors. The beam shaping optical unit 7 can include in particular cylindrical mirrors, in particular at least one convex and at least one concave cylindrical mirror. It can also include mirrors having a freeform profile. Such mirrors have in each case a height profile which is not representable as a conic section. In addition, the intensity profile of the raw beam 6 can be influenced via the beam shaping optical unit 7. Moreover, the radiation source module 2 includes an output coupling optical unit 9, described in even greater detail below. The output coupling optical unit 9 serves for generating a plurality of, namely n, individual output beams 10i (i=1 to n) from the collective output beam 8. The individual output beams 10i in each case form beams for illuminating an object field 11i. The individual output beams 10i are in each case assigned to one of the scanners 3i. The beams of the individual output beams 10i can in each case include a plurality of separate partial beams 12i. As will be described in even greater detail below, the functionality of the beam shaping optical unit 7 can be integrated into the output coupling optical unit 9. In this case, a separate beam shaping optical unit 7 can be dispensed with. In the case of the alternative in accordance with FIG. 2, the output coupling optical unit 9 is arranged downstream of the beam shaping optical unit 7 in the direction of propagation of the illumination radiation. Preferably, the output coupling optical unit 9 is arranged directly downstream of the radiation source 4, in particular before the raw beam 6 is influenced via the beam shaping optical unit 7. In this case, provision can be made of separate beam shaping optical units for each of the individual output beams 10i. Such arrangement of the output coupling optical unit 9 makes it possible to reduce radiation losses on account of damping at the output coupling optical unit 9. The radiation source module 2 is arranged in an evacuatable housing, in particular. The scanners 3i in each case include a beam guiding optical unit 13i and a projection optical unit 14i. The beam guiding optical unit 13i serves for guiding the illumination radiation 5, in particular the respective individual output beams 10i, to the object fields 11i of the individual scanners 3i. The projection optical unit 14i serves in each case for imaging a reticle 22i arranged in one of the object fields 11i into an image field 23i, in particular onto a wafer 25i arranged in the image field 23i. The beam guiding optical unit 13i includes, in the order of the beam path of the illumination radiation 5, in each case a deflection optical unit 15i, an input coupling optical unit 16i, in particular in the form of a focusing assembly, and an illumination optical unit 17i. The input coupling optical unit 16i can in particular also be embodied as a Wolter type III collector. The deflection optical unit 15i can also be integrated into the output coupling optical unit 9. The output coupling optical unit 9 can be embodied in particular in such a way that it already deflects the individual output beams 10i in a desired direction. In accordance with one variant, the deflection optical units 15i in their entirety can also be dispensed with. Generally, the output coupling optical unit 9 and the deflection optical units 15i can form an output coupling-deflection device. For different variants of the deflection optical units 15i, reference should be made to DE 10 2013 223 935 A1, for example, which is hereby incorporated in the present application as part thereof. The input coupling optical unit 16i serves in particular for coupling in the illumination radiation 5, in particular one of the individual output beams 10i generated by the output coupling optical unit 9, into a respective one of the illumination optical units 17i. The beam guiding optical unit 13i together with the beam shaping optical unit 7 and the output coupling optical unit 9 form constituent parts of an illumination device 18. The illumination device 18, just like the radiation source 4, is part of an illumination system 19. Each of the illumination optical units 17i is respectively assigned one of the projection optical units 14i. Together the illumination optical unit 17i and the projection optical unit 14i assigned to one another are also referred to as an optical system 20i. The illumination optical unit 17i serves in each case for transferring illumination radiation 5 to a reticle 22i arranged in the object field 11i in an object plane 21. The projection optical unit 14i serves for imaging the reticle 22i, in particular for imaging structures on the reticle 22i, onto a wafer 25i arranged in an image field 23i in an image plane 24. The illumination optical unit 17i in each case includes a first facet mirror 28i and a second facet mirror 29i, the function of which corresponds in each case to that of the facet mirrors known from the prior art. The first facet mirror 28i can be a field facet mirror, in particular. The second facet mirror 29i can be a pupil facet mirror, in particular. However, the second facet mirror 29i can also be arranged at a distance from a pupil plane of the illumination optical unit 17. This general case is also referred to as specular reflector. The facet mirrors 28i, 29i each include a multiplicity of facets 28a, 29a. During the operation of the projection exposure system 1, each of the first facets 28a is respectively assigned one of the second facets 29a. The facets 28a, 29a assigned to one another in each case form an illumination channel of the illumination radiation 5 for illuminating the object field 11 at a specific illumination angle. The facets 28a of the first facet mirror 28i can be embodied such that they are displaceable, in particular tiltable, in particular with two degrees of freedom of tilting in each case. The facets 28a of the first facet mirror 28i can be embodied as virtual facets. This should be understood to mean that they are formed by a variable grouping of a plurality of individual mirrors, in particular a plurality of micromirrors. For details, reference should be made to WO 2009/100856 A1, which is hereby incorporated in the present application as part thereof. The facets 29a of the second facet mirror 29i can correspondingly be embodied as virtual facets 29a. They can also correspondingly be embodied such that they are displaceable, in particular tiltable. The reticle 22 having structures that are reflective for the illumination radiation 5 is carried by a reticle holder 30. The reticle holder 30 is displaceable in a manner driven via a displacement device 33. The wafer 25 is held by a wafer holder 32. The wafer holder 32 is displaceable in a manner controlled via a displacement device 33. The displacement device 31 of the reticle holder 30 and the displacement device 33 of the wafer holder 32 can be signal-connected to one another. They are synchronized, in particular. The reticle 22 and the wafer 25 are displaceable in particular in a synchronized manner with respect to one another. During projection exposure for producing a micro- or nanostructured component, both the reticle 22 and the wafer 25 are displaced in a synchronized manner, in particular scanned in a synchronized manner, by the corresponding driving of the displacement devices 31 and 33. The wafer 25 is scanned at a scan rate of 600 mm/s, for example, during projection exposure. The projection exposure system 1 includes in particular at least two, in particular at least three, in particular at least four, in particular at least five, in particular at least six, in particular at least seven, in particular at least eight, in particular at least nine, in particular at least ten, scanners 3i. The projection exposure system 1 can include up to twenty scanners 3i. The scanners 3i are supplied with illumination radiation 5 by the common radiation source module 2, in particular the common radiation source 4. The projection exposure system 1 serves for producing micro- or nanostructured components, in particular electronic semiconductor components. The input coupling optical unit 16i is arranged in the beam path between the radiation source module 2, in particular the output coupling optical unit 9, and a respective one of the illumination optical units 17i. It is embodied in particular as a focusing assembly. It serves for transferring a respective one of the individual output beams 10i into an intermediate focus 26i in an intermediate focal plane 27. The intermediate focus 26i can be arranged in the region of a through opening of a housing of the optical system 20i or of the scanner 3i. The housing is evacuatable, in particular. The illumination optical unit 17i in each case includes a first facet mirror and a second facet mirror, the function of which corresponds in each case to that of the facet mirrors known from the prior art. The first facet mirror can be a field facet mirror, in particular. The second facet mirror can be a pupil facet mirror, in particular. However, the second facet mirror can also be arranged at a distance from a pupil plane of the illumination optical unit 17i. This general case is also referred to as specular reflector. The facet mirrors in each case include a multiplicity of first and second facets, respectively. During the operation of the projection exposure system 1, each of the first facets is respectively assigned one of the second facets. The facets assigned to one another in each case form an illumination channel of the illumination radiation 5 for illuminating the object field 11i at a specific illumination angle. The channel-by-channel assignment of the second facets to the first facets is carried out depending on a desired illumination, in particular a predefined illumination setting. The facets of the first facet mirror can be embodied such that they are displaceable, in particular tiltable, in particular with two degrees of freedom of tilting in each case. The facets of the first facet mirror are switchable in particular between different positions. In different switching positions they are assigned to different second facets from among the latter. At least one switching position of the first facets can in each case also be provided in which the illumination radiation 5 impinging on them does not contribute to the illumination of the object field 11i. The facets of the first facet mirror can be embodied as virtual facets. This should be understood to mean that they are formed by a variable grouping of a plurality of individual mirrors, in particular a plurality of micromirrors. For details, reference should be made to WO 2009/100856 A1, which is hereby incorporated in the present application as part thereof. The facets of the second facet mirror can correspondingly be embodied as virtual facets. They can also correspondingly be embodied such that they are displaceable, in particular tiltable. Via the second facet mirror and, if appropriate, via a downstream transfer optical unit (not illustrated in the figures), which includes three EUV mirrors, for example, the first facets are imaged into the object field 11i in the reticle or object plane 21. The individual illumination channels lead to the illumination of the object field 11i at specific illumination angles. The totality of the illumination channels thus leads to an illumination angle distribution of the illumination of the object field 11i by the illumination optical unit 17i. The illumination angle distribution is also referred to as illumination setting. In a further embodiment of the illumination optical unit 17i, in particular given a suitable position of the entrance pupil of the projection optical unit 14i, it is also possible to dispense with the mirrors of the transfer optical unit upstream of the object field 11i, which leads to a corresponding increase in transmission for the used radiation beam. The reticle 22i having structures that are reflective to the illumination radiation 5 is arranged in the object plane 21 in the region of the object field 11i. The projection optical unit 14i in each case images the object field 11i into the image field 23i in the image plane 24. The wafer 25i is arranged in the image plane 24 during the projection exposure. The wafer 25i has a light-sensitive coating that is exposed during the projection exposure via the projection exposure system 1. One advantageous embodiment of the illumination system 19 is described below. It has been recognized that a free electron laser (FEL) or a synchrotron-based radiation source can advantageously be used as the main radiation source 4. An FEL scales very well, that is to say that it can be operated particularly economically in particular if it is designed to be large enough to supply a plurality of scanners 3i with illumination radiation 5. The FEL can supply in particular up to eight, ten, twelve or even twenty scanners with illumination radiation 5. On the other hand, it may be advantageous to provide compact and thus more cost-effective FELs that each pass illumination radiation just to a single scanner. This holds true in particular if just single scanners are installed in the EUVL factories. This is the application principally to which the storage-ring-based FELs are directed which are the subject matter of this disclosure without being restricted thereto. It is also possible for more than one radiation source 4 to be provided. A desirable property of the projection exposure system 1 is that the radiation intensity that reaches the individual reticles 22i and in particular the radiation dose that reaches the wafers 25i can be regulated very exactly and very rapidly. The radiation dose that reaches the wafers 25i is intended to be able to be kept as constant as possible, in particular. Fluctuations of the illumination radiation 5 impinging on the reticle 22i, in particular of the total intensity of the illumination radiation 5 impinging on the reticles 22i, and thus of the radiation dose impinging on the wafers 25i can be attributable to intensity fluctuations of the main radiation source and/or to geometric fluctuations, in particular to fluctuations of the direction of the raw beam 6 emitted by the main radiation source 4 and/or fluctuations of the cross-sectional profile, in particular in the region of the output coupling optical unit 9, of the raw beam. Fluctuations of the cross-sectional profile can be attributable in particular to divergence fluctuations of the raw beam 6 emitted by the radiation source 4 and/or of the collective output beam 8. Details of the radiation source module 2, in particular of the radiation source 4, are described below with reference to FIG. 3. The radiation source 4 includes the free electron laser 35 (FEL). The general construction of the compact FEL 35 is known. As a representative in this regard reference is made to the publications of Lyncean Technologies Inc. Michael Feser, https://www.euvlitho.com/2017/P17.pdf and Michael Feser et al., Proc SPIE Vol 10450 1045011-2 (2017). These publications also reveal the importance of the constituent parts of the FEL 35 that are only illustrated schematically in FIG. 3. The FEL 35 emits the illumination radiation 5. The FEL 35 emits, in particular, illumination radiation 5 having a wavelength of at most 30 nm, in particular having a wavelength in accordance with the description above. In addition, the radiation source 4 includes a device 36 for feeding back illumination radiation 5 to the FEL 35. The device 36 includes four mirrors M1, M2, M3 and M4 in the order of the beam path of the illumination radiation 5. The mirrors M1 to M4 are preferably arranged symmetrically with respect to a center plane 40. The mirrors M1 and M4 are grazing incidence mirrors (GI mirrors). Illumination radiation 5 impinges on them at an angle of incidence g (grazing angle) of approximately 6°. The mirrors M2 and M3 are normal incidence mirrors (NI mirrors). The angle of incidence n is, in particular, approximately 6°. A diffractive optical element, in particular in the form of a grating structure 41, is arranged on the first mirror M1. This can be a blazed grating, in particular. As an alternative thereto, an acousto-optical element can serve as grating structure 41. For details of such an acousto-optical element, reference should be made to DE 10 2016 217 426 A1. The mirror M1 with the grating structure 41 forms a mechanism for coupling out a part of the illumination radiation 5 from the raw beam 6 or the collective output beam 8. Provision is made, in particular, for guiding only one specific order of diffraction 42 of the illumination radiation 5 diffracted at the grating structure 41 to the mirror M2, while all other orders of diffraction are passed on to one or more of the scanners 3i. The mirror with the grating structure 41 can be regarded in particular as part of the beam shaping optical unit 7 and of the output coupling optical unit 9. It can form in particular a part of a combined beam shaping and output coupling optical unit. Preferably, the zero order of diffraction 420 is guided to the mirror M2. The first order of diffraction 42+1 and the −1st order of diffraction 42−1 are guided to two of the scanners 3i, 3j. Alternatively, the higher orders of diffraction can be collected again by a suitable optical unit and be fed to a single scanner. Using suitable blazing of the grating structure 41, the reflected power can be concentrated in a few orders of diffraction, preferably in two orders of diffraction. The mirror M2 guides the coupled-out part of the illumination radiation 5, in particular the zero order of diffraction 420, to the third mirror M3. The third mirror M3 passes the coupled-out illumination radiation 5 on to the fourth mirror M4. From there the illumination radiation 5 is coupled into the FEL 35 again in the circulation direction of the electron beam. The direction in which the raw beam 6 leaves the FEL 35 is referred to as the forward direction 43. The direction perpendicular thereto is referred to as the transverse direction 44. These directions are depicted schematically in FIG. 3. The mirror M1 is arranged at a distance of approximately 10 m from the output of the FEL 35 in the forward direction 43. The mirror M2 is at a distance of likewise approximately 10 m from the mirror M1 in the forward direction 43. The distance between the mirrors M1 and M2 in the transverse direction 44 is approximately 2 m. The distance between the mirrors M2 and M3 in the forward direction is approximately −52 m. The mirror M1 is superpolished. It has, in particular, an EUV-compatible figure error and an EUV-compatible roughness. The figure error of the mirror M1 is—at a wavelength of 13.5 nm—at most 2.5 nm rms, and the roughness is at most 1 nm rms. The mirror M4, apart from the grating structure 41, is constructed substantially identically to the mirror M1. The mirrors M2 and M3 have—at a wavelength of 13.5 nm—a figure error of at most 0.25 nm rms. The permissible figure errors typically scale with the wavelength, and the permissible roughnesses scale with the square of the wavelengths. All of the mirrors M1, M2, M3 and M4 can be coated in order to achieve the highest possible reflectivity. The NI mirrors M2 and M3 have a reflectivity of at least 60%, preferably 70%, at a wavelength of 13.5 nm. The GI mirrors M1 and M4 can have a coating, in particular a ruthenium coating. The reflectivity of the NI mirrors M1 and M4 at a wavelength of 13.5 nm can be increased to 94% as a result. The material and achievable reflectivity of the coatings are dependent on the exposure wavelength. By way of example, Mo/Si-based multilayer structures are used at 13.5 nm, while La/B-based multilayer structures are more readily used at 6.8 nm. The abovementioned indications conserving the roughness and the figure error of the mirrors relate to illumination radiation having a wavelength of 13.5 nm. In the case of illumination radiation having a different wavelength, for example of 6.8 nm, the values may deviate from those mentioned above. The permissible grazing angles are also dependent on the wavelength of the illumination radiation. They likewise decrease as the wavelength becomes shorter. Upon impinging on the first mirror M1, the illumination radiation 5 has a beam diameter of approximately 2 mm. Given a grazing angle of 0.1 rad=5.7°, the beam on the mirror M1 is expanded to approximately 20 mm in the forward direction 43. The beam diameter on the mirror M2 is approximately 50 mm. The thermal load per unit area is considerably reduced as a result. M1 can be embodied as a convex, to a first approximation off-axis paraboloidal mirror. It can also be embodied as an ellipsoid, in particular with the beam waist at a focal point, or as a hyperboloid or as a freeform surface. The freeform surface can be approximated by toroids, in particular. The grating structure 41 includes grating lines, which can be oriented either normal to the beam direction or in the beam direction. In particular, a conical grating having lines in the beam direction can serve as the grating structure 41. With the aid of the grating structure, a portion of the illumination radiation 5 that is appropriate to the seed line is coupled out into the zero order 420, which forms the used order in this example. The remaining orders 42i, |i|≥1, are passed on to the scanners 3i. As already mentioned, the grating structure 41 can be embodied as a dynamic grating. This enables the portion of the coupled-out illumination radiation to be controlled, in particular by closed-loop control. In order that the orders of diffraction 42i, |i|≥1, which are not used for seeding can be passed on without obscuration, two adjacent orders at the mirror M2 have to be separated from one another by at least the beam diameter. This can be achieved—at wavelength of 13.5 nm—if the grating structure 41 has approximately 38 lines per millimeter. M2 and M3 are preferably designed as concave mirrors. They can be embodied to a first approximation as off-axis paraboloidal mirrors. It is thereby possible to generate a parallel beam 45 in the region between M2 and M3. The beam 45 has a diameter d of approximately 5 cm. The mirror M3 receives the beam 45 and focuses it onto the mirror M4. All of the mirrors M1, M2, M3 and M4 are embodied as multilayer mirrors having a multilayer structure. For a seeding power of 50 W, an output power of the FEL 35 of 1179 W is used with the feedback device 36 described above. The maximum thermal load on the components of the device 36 is less than 180 W/cm2 in this case. It arises at the mirror M1. The thermal load can be further reduced by reducing the grazing angle g and/or by increasing the distance between the mirror M1 and the output of the FEL 35. Preferably, the mirror M1 is produced from materials having a high thermal loading capacity, in particular thermally conductive materials. The mirror M1 can be produced in particular from silicon carbide, silicon, copper, ruthenium, aluminum or diamond. A solid ruthenium mirror can also serve as the mirror M1. A cooling unit (not illustrated in the figures) can be provided for cooling the mirror M1. The feedback device 36 is embodied in such a way that the seed pulse in the undulator passes parallel to the electron beam of the FEL 35. The undesired generation of high-energy x-ray radiation as a result of coherent inverse Compton scattering of light and electron radiation passing antiparallel is avoided as a result. Using an accurate adaptation of the distances between the mirrors M1, M2, M3 and M4 or via an adaptation of the propagation time of the illumination radiation 5 in the feedback loop, it is possible to achieve a synchronization with the pulses circulating in the FEL 35. Further details of the device 36 and of the operation of the radiation source 4 having the device 36 are described as a brief outline below. The pulse coupled into the FEL 35 again via the device 36 is matched to the laser mode. In terms of its lateral intensity profile, in particular, the pulse is identical to the pulse in the FEL 35. A controllable grating structure 41, for example with the aid of an acousto-optical element, enables variable power feedback. Provision can be made for embodying the radiation source 4 in such a way that the FEL 35 can be switched off during operation. This can be done by suppressing the diffraction efficiency into the order of diffraction used for “seeding”, in particular into the zero order of diffraction. This can be done for example by switching off the control voltage at the acousto-optical element. This can be achieved in the case of a static grating by displacing or totally removing the grating in or from the beam path. By influencing the amplitude of the grating, it is possible to directly influence the diffraction efficiencies. By influencing the grating period of the e.g. acousto-optical element, it is possible to control diffraction angles and powers coupled in as a result of overexposure losses at the downstream mirrors and mode mismatch, and the FEL power. With these measures, on the basis of suitable feedback or feedforward information, it is then possible to realize e.g. dose control at the reticle or wafer level. The radiation source 4 in accordance with the description above can also be used for a metrology system instead of the projection exposure system 1.
abstract
An apparatus and method for partial ion implantation, which desirably provide control over the energy of the implanted dopants, generally includes an ion beam generator, and first and second deceleration units. The first deceleration unit decelerates the energy of an ion beam generated by the ion beam generator; and a subsequent, second deceleration unit further decelerates the energy into different energy levels according to regions of a wafer into which the ions are to be implanted.
abstract
A basket (1) for transport and/or storage packaging of radioactive materials. The basket (1) comprises an internal partition (6, 8) having at least one wall (82, 84), and a peripheral partition (10). The wall (82, 84) has two opposing lateral surfaces (81, 85). The peripheral partition (10) interacts with the internal partition (6, 8) to define cells for housing the radioactive materials. The peripheral partition (10) comprises a housing accommodating one end of the at least one wall (82, 84). The housing comprises two opposing lateral housing surfaces (102, 106) and a bottom (104) bringing together the two lateral surfaces (102, 106) of the housing. According to the invention, the basket (1) comprises a tightening element configured to press at least one of the lateral surfaces (81, 85) of the wall against at least one of the lateral surfaces (102, 106) of the housing.
054835640
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIG. 1, a pertinent detail of a portion of a reactor core is shown. Control rod drive housing H has fuel support casting C supported thereon. Fuel support casting C includes arm 16 which orients casting C with respect to pin 14 in core plate P. Core plate P divides high pressure lower plenum L from core R, preserving the necessary pressure differential barrier to cause the controlled circulation within the many individual fuel bundles of the reactor. Fuel support casting C includes four apertures 20 onto which four fuel bundles B at their respective lower tie plate assemblies T are placed. Each lower tie plate assembly T is disposed to cause its inlet nozzle N to communicate to one of the apertures 20 of the fuel support casting. Fuel support casting C also includes apertures through which control rods 22 penetrate to the interstices of the four fuel bundles sitting on top of the fuel support casting C. Since the action of the control rods is not important with respect to this invention, further discussion of this aspect of the reactor will not be included. Remembering that only four out of a possible 750 fuel bundles are illustrated, it will be understood that the pressure drop across core plate P is important. Accordingly, a review of the pressure drop within a boiling water nuclear reactor can be instructive. First, and through an orifice (not shown) in the fuel support casting C, an approximate 7 to 8 psi pressure drop occurs at typical 100% power/100% flow operating conditions. This pressure drop is utilized to ensure uniform distribution of bundle coolant flow through the many (up to 750) fuel bundles within a boiling water nuclear reactor. Secondly, at in the lower tie plate of the fuel bundles on each fuel support casting C, approximately 11/2 psi of pressure drop occurs. This pressure drop is a result primarily of the change in flow velocity occurring through this complex geometry structure. Finally, and as the coolant rises and generates steam within the fuel bundle, approximately 10 to 12 psi of pressure drop is incurred. This pressure drop is distributed throughout the length of the fuel bundle--and is important to the operating stability of both the individual fuel bundles and the collective fuel bundles constituting the core of the nuclear reactor. The reader should understand that the summary of pressure drop given above is an over simplification. This is a very complex part of the design and operation of a nuclear reactor. Having said this much, one point must be stressed. Pressure drop within the individual fuel bundles of a boiling water must remain substantially unchanged. Accordingly, if apparatus for preventing debris entrainment into the fuel bundles is going to be utilized, appreciable change in overall fuel bundle pressure drop should be avoided. Having carefully reviewed the requirements for the avoidance of increased pressure drop in debris restricting devices, several further comments can be made. First, any debris catching arrangement should be sufficiently rigid so that the excluding apparatus does not under any circumstance break apart, fail to stop debris, and become the source of further debris itself. For this reason, wire screens are not used. Instead, perforated metal is in all cases utilized in the examples that follow. Second, we have found that it is desirable to restrict pressure drop to a minimum. This can be done by making the velocity of flow through the apertures themselves as low as feasible. A second reason for this limitation is the entrainment of the debris in the flow. Assuming entrainment of debris in the flow, if any possible angle of attack can be realized that will enable debris to pass through an aperture, given sufficient time, passage through the aperture will eventually occur. By maintaining slow velocity at the respective apertures, entrainment of debris is less likely to occur. Further, it has been found that a reorientation of the flow at a rejecting hole to an angle where debris passage is less likely can be achieved. Consequently, flow velocity at restricting apertures is restricted to the minimum possible value. Third, we find that modification of the rod supporting grid--a technique utilized in the prior art--is not satisfactory. Specifically, we prefer to use straining apertures that are as small as possible--down to a dimension of 0.050 of an inch diameter. Unfortunately, the rod supporting grid is a member that must have the required static and dynamic properties to support the fuel rods under all conceivable conditions. Utilizing a matrix of such holes in the rod supporting grid at the pitches required for low pressure drop in the lower tie plate is not practicable. First, since the small apertures would be confined to the plane of the rod supporting grid, a total reduction of flow area will be present that would lead both to unacceptable pressure drop as well as high flow velocities through the individual holes in rod supporting grid. Further, such a matrix of small apertures in the rod supporting grid would reduce the strength of the grid to a level below that required for support of the fuel rods. We have identified the so-called flow volume of the lower tie plate assembly as a primary candidate for the location of debris rejection apparatus--preferably the perforated metal utilized with this construction. In boiling water nuclear reactor fuel bundles at the lower tie plate assembly, there is defined between the nozzle at the lower end and the fuel rod supporting grid at the upper end, a relatively large flow volume. This flow volume is sufficiently large to accommodate a three dimensional structure--with one side of the three dimension structure communicated to the nozzle inlet and the other side of the three dimensional structure communicated to the rod supporting grid. At the same time, periphery of the three dimensional supporting-structure can be attached to the sides of the lower tie plate assembly--so that all fluids passing through the flow volume of the lower tie plate simply must pass through the restricting apertures of the perforated plate. Only small modification to the lower tie plate assembly is required. The flow volume in the lower tie plate assembly has an additional advantage. Specifically, and if the flow restricting grid has to be confined to a plane extending across the lower tie plate flow volume, the apertures in the plate would define a total flow area less than the plane in which the perforated plate was disposed. Where a perforated plate is utilized to manufacture a three dimensional structure, the area of the available apertures can increase beyond that total area possible when the perforated plate is confined to a flat plane. In fact, where sufficient structure is utilized, the total flow area available in the aggregated holes of the three dimensional structure can approach and even exceed the total cross sectional area across the flow volume of the lower tie plate assembly before the insertion of the debris restricting assembly. In addition a properly designed debris catcher assembly could improve the flow distribution at the inlet to the fuel bundle. Having set forth these considerations, attention can be directed to the embodiments of the invention. Referring to FIG. 2, debris catcher 40 is a separate piece consisting of a short cylinder 42 integral with a hemispherical cap 44. The hemispherical cap has an area approximately twice the are of the lower tie plate assembly inlet throat. Therefore the total flow area through the holes in the cap can be greater than the throat area. By varying the height of the assembly, the flow area through the holes can be adjusted to give an optimum pressure drop through the lower tie plate. The debris catcher of FIG. 2 has a favorable effect on the flow distribution in the flow volume of the lower tie plate assembly. The flow exiting from each hole has a direction normal to the hemispherical cap. The net effect of flow from all of the holes is to distribute the flow uniformly over the area of lower tie plate assembly at the horizontal plane near the rod supporting grid G. This uniform flow results in a uniform flow into the fuel bundle. The debris catcher of this invention requires a modification of the lower tie plate assembly fabrication. Currently the entire assembly T, including the bars 46 of the lower bail, is a single casting. In order to insert the debris catcher, the bars 46 are omitted from the lower tie plate assembly casting, and are a separate casting. The debris catcher is inserted into the modified lower tie plate assembly casting and is welded in place, and then bars 46 are welded over the nozzle N. Referring to hemispherical cap 44, one disadvantage is present. Specifically, and as to those apertures in the dome, debris entrained in the flow will essentially approach the individual holes of the hemispherical cap 44 directly--that is axially of the axis of each of the holes. This is not preferred. It is better if the overall flow requires a change in direction--in the order of up to 90.degree.--so that the entrained debris and the fluid can have the added forces of momentum separation for separating the usually heavier debris from the less dense coolant/moderator flow. If the flow approaches the screening apertures and then turns in the order of 90.degree., the tendency will be for the debris to be left on the surface of the three dimensional grid construction. This being the case, attention can be devoted to at least some of the following designs. Referring to FIGS. 3A-3C, a three dimensional grid construction is shown having a central inverted cone 50 and a supporting cylinder 60. A separate casting N consists of the bars 46 and a circular ring 48. A lip 62 at the bottom of the cylinder 60 is captured when the casting N is attached to the main casting T. Flow arrows 54, 64 demonstrate with respect to cone 50 and cylinder 60 the general change in direction required for coolant/moderator flow through the three dimensional grid construction disclosed. This has the tendency to cause debris to be deposited on the surface of the perforated plate construction and carried along the surface of the grids to the region 52 where the inverted cone 50 joins the cylinder 60. Referring to FIGS. 4A-4C, a modification of the concept of FIGS. 2 and 3A-3C is shown containing a debris trap. Specifically lower tie plate assembly T in the vicinity of nozzle N is enlarged and fitted with a slightly enlarged cylinder 60'. To the bottom of this is mounted an annulus assembly 70. Annulus assembly 70 gives substantially the same inlet nozzle N dimension as the prior art. The annular volume 72 forms an occluded space which can be used as a debris trap. Specifically, and during prolonged flow an operation, it can be expected that debris will migrate along the surfaces of the three dimensional grid construction to the top of the cylinder 60' and the base of the inverted cone 50. When the flow is reduced or stopped, debris will fall. At least some debris will move into the occluded annular volume 72. Further, and once in annular volume 72, when flow recommences, complete re-entrainment of debris is unlikely. Consequently, once a fuel bundle is removed, to the extent that debris is trapped in annular volume 72, the debris likewise will be removed. Referring to FIGS. 5A-5C, a three dimensional construction featuring an inverted pyramid 80 is utilized having pyramid faces 81-84 fastened to the inside of lower tie plate assembly T adjacent rod supporting grid G. Alteration of the fabrication of assembly T occurs by casting grid G as a separate assembly and joining grid G as by welding at 90. As a possible additional feature, it can be further seen that an annulus 95 has been cast interiorly of flow volume V of lower tie plate assembly T, this annulus being immediately adjacent the base of the inverted pyramid 80. This has the advantage of allowing debris to fall a short distance to the formed debris trap within flow volume V without having the fall of the debris scatter the debris away from the underlying debris catching shadow formed by the annulus 95. Referring to FIGS. 6A-6C, an inverted pyramid construction 80' is illustrated having the discrete sides fabricated from a corrugated construction. This has the advantage of expanding the total area of the grid construction while maintaining the three dimensional grid construction substantially unchanged. Referring to FIGS. 7A and 7B, a three dimensional grid construction is shown wherein a perforated plate 100 is provided with numerous corrugations. The corrugations--like the other three dimensional constructions--expand the effective area as it is disposed across flow volume V of lower tie plate assembly T. FIG. 7C is a detail of the construction. Holes can be placed over the entire surface of the plate, or they can be omitted in regions of sharp bending 110. Using holes over the entire surface provides more flow area and reduces pressure loss. However in regions 110 the general flow direction is the same as the axis of the holes, so some debris may pass through. When holes are omitted in regions 110, all the flow must make nearly 90.degree. bends. Thus the construction with no holes in the regions of sharp bends is preferred, as shown in FIG. 7C. Thus far, all constructions have shown modification to the lower tie plate assembly T either by introducing the three dimensional grid structure at the nozzle N or under rod supporting grid G. As shown in FIG. 8, the three dimensional grid assembly can be introduced through the lower tie plate assembly T along a side wall 120 into aperture 121. As is shown, grid 100 can be mounted between walls 122 and thereafter inserted in the side walls of the lower tie plate assembly T. The reader will understand that there is the possibility of constructing this invention with a two part tie plate which is bolted together. Referring to FIG. 9, this can be plainly seen. Referring to FIG. 9, a lower tie plate T is shown having a nozzle section N and a rod supporting grid section G. Rod supporting grid section G includes a standard threaded bore 100 for receiving tie rods. Nozzle section N has an underlying threaded bore 102 into which cap screw 101 threads fastening rod supporting grid section G. Thereafter, a tie rod (not shown) is conventionally threaded into rod support grid at that portion of threaded aperture 100 not filled by cap screw 101. As disclosed before, a pyramid shaped three dimensional grid construction 110 with a peripheral flange 115 is fastened between the two tie plate sections. Referring to FIG. 10, substantially the same construction is shown with the rod supporting grid G and the nozzle section N held together with tie rods having an extended end plug 108. Simply stated tie rods R include a lower plug having an extended neck 108 and a lower threaded portion 106. In operation, tapered portion 109 of the lower end plug bears against rod supporting grid section G. At the same time, threaded section 106 threads into threaded bore 104. As before, three dimensional grid 110 is trapped at flange 115 between the confronting portions of the lower tie plate assembly T. It will be realized by those having skill in the art that if tie rods R are removed for inspection, the lower tie plate T as shown in FIG. 10 can become disassembled. For this reason, the embodiment of FIG. 9 is preferred. Referring to FIG. 11A, a double corrugated plate configuration for a strainer is shown. This includes an upper corrugated plate 201 and a lower corrugated plate 210. The upper plate can be similar to that described for FIG. 7B. The lower plate is also perforated with equal or larger diameter holes. The lower most ridges of the corrugations (towards the encroaching flow) are solid while the inner ridges (nearest the upper plate) are perforated. Operation is easy to understand. Debris will grossly be excluded from the lower tie plate supporting grid by lower corrugated plate 210. Due to the larger holes, some debris will pass through the larger holes and into contact with upper corrugated plate 201. There the debris will be halted. It will be understood that upon the cessation of flow, debris between upper and lower corrugated plates 201, 210 will be trapped. Specifically, for debris making the interstitial penetration between the plates, considerable time under flow conditions is required to pass debris through the larger holes in lower corrugated plate 210. When the flow is stopped, the debris will fall onto the lower plate 210. With no reverse flow, it is highly unlikely that debris will fall through the holes in lower plate 210. Accordingly, the vast majority of such debris will be trapped and consequently be removed with the fuel bundle. It will understood that the disclosed double plate construction can be utilized with any of the forgoing strainer constructions previously set forth. The reader will understand that the concepts here disclosed will admit of modification. For example, the interior of the lower tie plate volume V can be cast in anticipation of the receipt of the three dimensional grid construction. For example, a boss running along the interior of flow volume V having the profile of grid 100 can receive and seat the three dimensional grid interior of flow volume V. Likewise, other modifications can be made.
050671448
description
DETAILED DESCRIPTION In a preferred but non-limiting example of the invention, an x-ray source/modulator assembly 10 slides up and down along column 12 so that it can be positioned at a selected vertical (y-direction) level prior to the x-ray procedure. When energized, assembly 10 generates a fan beam 14 which is thin in the horizontal (x) direction and tall in the vertical direction, and sweeps beam 14 horizontally across an object position 16 while selectively and individually modulating vertically spaced sectors of beam 14 to vary the intensity of the radiation delivered to object position 16 (or to an object 16 at that position) by the respective beam sectors. Also prior to the x-ray procedure, a detector/film assembly 18 slides vertically along column 20, preferably in synchronism with assembly 10, to a vertical position at which assembly 18 can receive an object-attenuated fan beam 14' exiting object 16. As fan beam 14 sweeps horizontally across object 16, assembly 18 receives the post-object radiation and uses it for two purposes: (i) to measure the radiation intensity distribution of fan beam 14' and in response to generate feedback information; and (ii) to image object 16. The feedback information, along with information related to the effect that the modulation has on individual sectors of fan 14, is used to estimate the attenuating effect of object 16 and to control the modulator to increase or decrease the local amount of radiation delivered to object 16 in order to selectively equalize the radiation delivered to assembly 18. As best seen in FIGS. 2 and 3a-3c, source/modulator assembly 10 comprises an x-ray tube 22 having a focal spot 22a. In order to sweep object 16 with fan 14 horizontally, source rotation drive 24 selectively pivots tube 22 horizontally, with focal spot 22a serving as the center of rotation. Affixed to tube 22 to pivot therewith is a modulator assembly 26. In order to shape the radiation from tube 22 into the desired fan beam, assembly 26 has a fixed collimator 28 defining the maximum vertical extent of fan 14, a patient field collimator 29 which can be adjusted to define the size of the irradiated, typically rectangular area at the image plane, and an adjustable collimator 30 defining a vertically extending collimator slit that determines the horizontal dimension of fan 14. For a given sweep of fan 14, the setting of patient field collimator 29 typically is fixed so that the fan at the film plane would irradiate only the field of the desired size and shape, e.g., for standard x-ray film sizes and orientations. The slit aperture of collimator 30 can be set depending on factors such as the overall size and expected attenuation properties of the object, etc. to deliver the desired overall intensity to the object. For example, the slit aperture could be set to a horizontal dimension in the range of 0" to 0.5" at the aperture plane, which corresponds to about 0" to 3.5" at the image plane. In order to individually and selectively modulate vertically spaced sectors of fan 14, modulator assembly 26 uses modulator pins 32 which are arranged in two generally vertical rows, inner row 34 and outer row 36 (FIG. 3b), along respective arcs which are centered at focal spot 22a of tube 22 and are in a vertical plane that includes focal spot 22a. Pins 32 slide individually and selectively into fan beam 14 in the horizontal, x-direction (along the plane of the paper in FIG. 3a and normal to the paper in FIGS. 3b and 3c) such that the pin section in a vertical plane within fan 14 is generally triangular, as illustrated in FIGS. 3b and 3c. This triangular area and the attenuation of a respective beam sector increase as a pin moves further into fan 14. As best seen in FIGS. 4a-4h, a modulator pin 32 comprises an elongated stem 32a and a wedge-shaped head 32b. Base 38 of head 32b is rectangular. Ridge 40 slopes from the back (the stem) toward the front of the pin such that the area of the triangular section gradually decreases toward the tip of the wedge-shaped head. Stated differently, the z-direction dimension of the triangular section (i.e., the dimension along the direction of propagation of the appropriate ray in fan 14) decreases gradually in moving toward the tip of the pin. Ridge 40 can be rounded or truncated, as illustrated in FIG. 4a, and the tip of the pin can be truncated as also seen in FIG. 4a. In fact, FIGS. 4a and 4b illustrate pin 32 close to scale. In an alternative embodiment, the ridge can be truncated to a significantly greater extent, to arrive at the shape illustrated in FIGS. 9a-9d. Bases 38 of the pins in row 34 are as close to each other as allowed by factors such as mounting and sliding movement constraints, and the same is true of the pins in row 36. The pins of the two rows are offset such that the center line of ridge 40 of a pin in row 36 is along a ray of fan 14 passing through the small distance between two adjacent pins 32 of row 34. Accordingly, if all pins 32 are all the way into fan 14, most rays of fan 14 would pass through both a pin of row 34 and a pin of row 36. The exception would be the relatively few rays that would pass through the small distance between two adjacent pins in row 34 or two adjacent pins of row 36. Since any one pin 32 can slide into fan 14 independently of the other pins of either row, a given ray within fan 14 can pass through no pin, through one pin, or through two pins. In order to reduce artifacts while taking into account reasonably expected relative positions of pins 32, factors such as the relative dimensions, shapes and placement of focal spot 22a and pins 32 are carefully selected to achieve a smooth intensity profile in the vertical direction within fan 14. For example, when a vertical plane of fan 14 passes through a section of a pin 32 as illustrated at FIG. 5a, the intensity profile I of that plane as affected by that section varies smoothly as illustrated. Similarly, when two approximately equal pin sections 32 are in a fan plane as illustrated in FIG. 5b, the intensity profile in that plane as affected by those two sections varies smoothly as illustrated. Still similarly, when two unequal area sections of pins 32 are in a plane of the fan as illustrated in FIG. 5c, the intensity profile still varies smoothly as illustrated. In a currently preferred embodiment, modulator pins 32 vary the intensity of respective sectors of fan 14 in ratio of about 5:1 between most and least attenuation. A ray can pass through a minimum of less than 0.125" of pin material to a maximum of about 1"; at 140 KV source voltage this corresponds to a transmitted radiation ratio of about 1:5. The geometry is such that the maximum intensity change can occur within about 0.5" at the film plane in the vertical direction. In order to achieve a smooth intensity profile by taking into account typical focal spot sizes, pins 32 are placed about 7 to 15 inches from the focal spot and the base 35 of a pin in a plane normal to the scan direction is about 2 to 5 times the size of the focal spot, e.g., if the focal spot diameter is about 1 mm (e.g., 1.2 mm), the base is about 3 mm (e.g., 3.2 mm). A typical distance between the focal spot and the image plane is about 72 inches. A typical time for a scan is 1 sec. While the pins in rows 34 and 36 are shown in a preferred configuration in which the ridge (i.e., the apex of the triangular section) of each pin of one row faces the apices of the triangular sections of the pins of the other row, other configurations are possible. Any ridge can point toward or away from the focal spot which is the origin of the fan beam. For example, the apices of all pins, of both rows, can face in the same general direction such that the apices of one row face the bases of the other row, or the apices of the two rows can point to opposite directions such that the bases of one row face the bases of the other row, and in each case both rows of modulator pins can be at one side of the collimator 30 (at the source side, as shown, or at the opposite side), or collimator 30 can be between the two rows on modulator pins. In addition, while as shown the tips of the modulator pins all point in the same direction (the x-direction), the pins can be mounted such that the tips of the two rows face each other such that the pins of one row slide into fan 14 from the left but the pins of the other row slide into the fan from the right. As seen best in FIGS. 6a and 6b, pins 32 are driven by respective modulator motors 42 to slide selectively into fan 14. Each motor 42 can be a stepper motor connected to the respective pin 32 through a flexible linkage 44 passing through one or more wire guide blocks 46. Pins 32 are slidably mounted in a pin guide block 48 in which each pin stem slides in the x-direction in a respective slot that helps maintain a precise sliding path for the pin. In the preferred but non-limiting example, the stroke of a modulator pin can be about 1", performed by about 40 contiguous steps of a motor 42, each resulting in a change of about 4% in transmitted intensity of a respective sector of fan 14. As best seen in FIG. 7, beam 14' exiting the object impinges on detector/film assembly 18 which comprises the following elements arranged in the propagation, z-direction of the x-rays: an anti-scatter grid 50, a feedback detector 52, and a film cassette 54. These elements are mounted in a supporting arm 56 slidably mounted on column 20. Grid 50 can comprise a 12:1 scatter rejection grid for reducing the amount of scattered radiation reaching detector 52. Detector 52 can comprise a flat plate Xenon detector having an active volume of, e.g., 17.times.17.times.0.25" and filled with Xenon at about 1 atmosphere pressure. The electrodes on one side can be horizontally extending strips 0.23" high and 17" long, separated vertically by insulating spaces of 0.02". Preferably it attenuates fan beam 14' as little as possible, e.g., about 12% attenuation Film cassette 54 can be a standard 14.times.17" cassette mountable in either orientation. In an alternative embodiment, modulator pins 32 can be replaced with the structure illustrated in FIGS. 8a-8c which comprises a flexible diaphragm 58 of an attenuating material such as leaded rubber, mounted on a frame 59, and pusher pins 60. Pusher pins 60 slide toward or into fan 14 similarly to modulator pins 32. However, while they may provide some selected attenuation, their main purpose is to push a selected portion of diaphragm 58 into fan 14 to a selected degree. Because of the way diaphragm 58 stretches, the intensity along the vertical direction of fan 14 varies smoothly. While as illustrated pusher pins 60 push the diaphragm from only one side, it is possible to have pusher pins on both sides of the diaphragm, pushing from both directions to ensure that the diaphragm can be made to curve as sharply as desired. In operation, the operator places a film cassette in detector/film assembly 18 and positions the patient (or an object) at position 16 between assemblies 10 and 18, and moves assemblies 10 and 18 up or down along the respective columns 12 and 20 to match the position of the patient or object. The operator uses appropriate data entry devices at console 11 (FIG. 1) to select the exposure setting, the voltage setting and the scan mode, and the system takes the exposure during which fan beam 14 scans object 16, feedback detector 52 generates a modulation feedback signal delivered to a modulator feedback circuit 62 (FIG. 10) which in turn feeds the desired control signals to modulator assembly 26 to control the position of pins 32 or 60 in order to equalize the exposure at a film in cassette 54. Power to the x-ray tube comes from auxiliary equipment 13 (FIG. 1). Other types of penetrating radiation can be used in place of x-rays such as, without limitation, gamma rays or other radiation. As earlier noted, in an alternate equalized radiography system using the invention, a fan of penetrating radiation can scan generally vertically, and the detailed description set forth above applies equally well, except for interchanging the terms horizontal and vertical as appropriate.
abstract
A method for treating, preventing and/or reducing neurodegeneration in subjects with neurodegenerative disease, such as those neurodegenerative diseases that affect the eye, including glaucoma, using radiation, such as gamma radiation or X-ray radiation, either alone or together with a bone marrow transfer treatment. The method includes irradiating a targeted area of an animal, such as the eye region, with radiation, either alone or followed by injection with T-cell depleted bone marrow cells. Also a method for screening and/or selecting agents and/or treatment methods for inhibiting, treating and/or reducing neurodegeneration, particularly the neurodegeneration of the eye that occurs as a consequence of glaucoma.
abstract
In an electron emission method, a voltage is applied to a field electron emission element that has a boron nitride material containing crystal, formed on an element substrate to show a conical projection of the boron nitride material and shows a stable electron emitting property in an atmosphere when a voltage is applied thereto to emit electrons. An electron emission threshold of the field electron emission element falls due to formation of a surface electric dipolar layer by bringing it into contact with an operating atmosphere containing polar solvent gas when applying a voltage to the field electron emission element so as to emit electrons.
summary
summary
abstract
The present invention provides a porous cooling block for cooling corium, comprising: a base part that includes a plurality of pores; a plurality of porous cooling blocks that include a channel part that communicates with some of the plurality of pores of the base part; a sacrificial part that covers the exposed upper surfaces of the porous cooling blocks; and a cooling-water supply unit that supplies cooling water to the porous cooling blocks. Corium is cooled by using steam or cooling water discharged from the porous cooling blocks. Therefore, the corium can be cooled and solidified in the shape of a porous form. Further, the cooling water is uniformly supplied to one surface formed by the cooling block on the whole, thereby easily cooling the corium.
043702988
summary
The present invention resides in a breeder reactor system for producing thermal energy and valuable isotopes by exploding a plurality of sub-critical masses of fissile and fertile actinide slugs within an ellipsoidal pressure vessel. A molten metal working fluid is injected into the vessel prior to the explosion and the heated working fluid is thereafter drained from the vessel for use in generating electric power or for pumping. Various proposals have been made for exploding pellets of a nuclear fuel within an explosion containing vessel and in which the fuel is ignited by means of an intense laser pulse. One type of thermo-nuclear fusion reactor has been described in U.S. Pat. No. 3,624,239, issued to Arthur P. Fraas on Nov. 30, 1971. In this type of reactor pellets of frozen thermo-nuclear fuel of Deuterium-Tritium are periodically and singly injected into a central void of a body of liquid lithium which is circulated in a pressure vessel. Each pellet is bombarded with an intense laser pulse to produce a fusion reaction, thereby heating the lithium. The heated lithium is then conveyed to a heat exchanger and tritium recovery system after which the lithium is conveyed back to the pressure vessel. Another proposal for laser ignition of a pellet of Deuterium-Tritium in a pressure vessel is disclosed in U.S. Pat. No. 3,762,992, issued on Oct. 2, 1973 to Hedstrom. In this laser driven fusion reactor, a thick blanket containing lithium is provided in the pressure vessel to convert the fast neutron energy from the thermo-nuclear explosion into heat and into tritium fuel. The reactor employs a solid wall to separate the reaction cavity from the lithium blanket. Protection of the reactor's "first wall" is provided by a thin layer of ablative lithium, which, as it vaporizes, absorbs the energy of radiation and impact. Additional proposals are set forth in "Transactions" of the American Nuclear Society (TANSAO 18-1-401) of June 23-27, 1974 in which the author A. D. Krubein discusses laser ignited fission-fusion micro-explosions in containment chambers. Various designs of fission-fusion blanketed explosion devices which are injected into a pressure vessel for producing thermal energy and valuable isotopes are also disclosed in Edward F. Marwick U.S. patent application Ser. No. 268,864, filed July 3, 1972; Ser. No. 284,086, filed Aug. 28, 1972; Ser. Nos. 308,978, 308,979, and 308,980, all filed on Nov. 24, 1972; Ser. No. 325,005, filed Jan. 19, 1973; and Ser. Nos. 355,015 and 355,016, both filed on Apr. 27, 1973. U.S. Application Ser. No. 268,863 more particularly, discloses the concept of exploding blanketed nuclear devices in a pressure vessel having an atmosphere of carbon dioxide. Radioactive debris produced by the explosion, including valuable isotopes, are collected at the bottom of the vessel while steam is produced outside of the chamber for the production of useful work. U.S. Application Ser. No. 308,978, more specifically, discloses a tubular shaft for photon ignition by means of a laser of a nuclear explosive device within non-transparent fluids. U.S. Application Ser. No. 308,979, more specifically, discloses a lens system for concentrating laser energy onto a nuclear explosive device. The difficulty in the construction of the various types of nuclear fission-fusion reactors thus far proposed, resides in the problem of igniting the projectiles or pellets by means of laser generated photon pulses. Calculations have shown that the critical mass of a fissionable assembly can be reduced by laser implosion techniques to such a degree that a fission micro-explosion becomes possible. However, even the largest lasers in operation today are not yet powerful enough to generate the ultra-high pressures needed to implode the pellet material into a heated plasma state to cause a fusion reaction. Thus, the sort of short-pulsed, very intense laser for generating the ultra-high temperature plasma needed to initiate "burning" of the fuel has not been developed and efforts to scale up present generation of lasers are not proceeding as rapidly as planners had hoped. The task of heating the nuclear fuel to a sufficiently high temperature at the required density so that it will begin to "burn" has proven to be much more difficult than anticipated. Once the fuel is ignited, the hot, dense, gaseous mixture of ions must be held together for a time long enough so that more energy is liberated through "burning" than is invested in the ignition process. Serious problems have also been encountered in the timing of generating a laser pulse and in the alignment of a laser such that a laser pulse will intercept a pellet at the desired position as a pellet is injected into a pressure vessel. Any timing error or misalignment in the direction of the laser pulse will thus result in the failure of obtaining "ignition" of a pellet thereby wasting great amounts of energy used in the operation of the laser and in the fabricating cost of the pellets. Accordingly, laser induced thermo-nuclear microexplosions for the economic production of thermal energy and fissile isotopes are not yet within the reach of present day technology. The present invention provides an alternative to controlled fission reactors which are in operation today as well as to the various types of fission, fusion, or fission-fusion reactors in which nuclear projectiles or pellets are ignited by laser generated photon pulses. SUMMARY OF THE INVENTION The present invention overcomes the foregoing problems in providing a reactor system capable of containing an essentially unlimited number of successive fission explosions within an ellipsoidal pressure vessel. The fission explosion is produced by propelling a pair of slugs or pellets, each containing a sub-critical mass of actinides into the vessel. The slugs intercept near the center of the vessel to produce the explosion as the combining masses become more than critical. A major portion of a working fluid is allowed to flow under gravity into the pressure vessel so that a major portion of the working fluid is concentrated in a somewhat spherical pattern around the combining slugs near the center of the vessel and without interfering with the movement of the slugs as they enter the vessel through barrel openings provided in the vessel. A minor portion of the working fluid is sprayed into the vessel to protect the barrel openings at the instant of the explosion and to cool and protect the wall of the pressure vessel. The slugs are propelled into the pressure vessel by means of reuseable accelerating mechanisms positioned externally of the vessel. The working fluid, heated by the explosion, is drained from the pressure vessel and is used to perform useful work. The debris produced by the exploding slugs, including fissile and fertile isotopes, is collected as a precipitate from the working fluid and is fabricated into additional slugs. Other isotopes such as Pu.sup.239 and Tritium produced in the reactor system are useful in other reactors. Tritium decays into He.sup.3 which is suitable as a reactor control gas in todays atomic reactors or as a fuel in fusion reactions. A neutron absorbing fluid or slurry is pumped through a passageway within the wall of the pressure vessel to provide additional protection to the integrity of the wall and to lessen fissionings near the wall. Movable closure mechanism are provided to allow access of the slugs into the pressure vessel while preventing the working fluid and other radioactive material and gases from escaping from the vessel into the slug accelerating mechanisms. Solid materials and gases are evacuated from the vessel and are separated for reuse or disposal.
claims
1. A method for monitoring the health of a hydraulic control system comprising:a) capturing a layout and parameters of the hydraulic control system;b) capturing a valve signature during a System Integration Test;c) generating a simulated valve signature to match the valve signature captured during the System Integration Test by using a simulation algorithm and adjusting parameters of the hydraulic control system;d) recording the parameters of the hydraulic control system used to generate the simulated valve signature that matches the valve signature captured during the System Integration Test; subsequent to steps c to d:e) capturing a valve signature for a subsea valve opening/closing;f) generating a simulated subsea valve signature to match the subsea valve signature captured for the subsea valve opening/closing by using the simulation algorithm and adjusting parameters of the hydraulic control system;g) recording the parameters of the hydraulic control system used to generate the simulated subsea valve signature that matches the valve signature captured for the subsea valve opening/closing;h) repeating steps e), f) and g); andi) tracking changes in the parameters of the hydraulic control system over time. 2. The method of claim 1, further comprising detecting problems with the hydraulic control system based on the changes in the parameters of the hydraulic control system over time. 3. The method of claim 1, wherein the parameters of the hydraulic control system include data on tubing, hydraulic fluid properties, supply pressure, accumulator, actuator and flow restrictions imposed by different equipment in the system. 4. The method of claim 1, wherein the parameters of the hydraulic control system are selected from the group consisting of line length, line inside diameter, line wall thickness, line wall roughness, source pump pressure, accumulator volume, accumulator pre-charge, actuator volume, spring force, flow resistance imposed by equipment, hydraulic fluid properties, and directional control valve drop-out pressure. 5. The method of claim 1, wherein the system comprises a subsea directional control valve, and further wherein the valve signature comprises pressure readings recorded during opening or closing of the actuator. 6. A method for maintaining performance of a hydraulic control system comprising:a) monitoring the health of the system according to the method of claim 1; andb) performing preventative maintenance on the system based on analyzed performance of the system. 7. The method of claim 1, wherein steps (b) and (e) comprise recording valve signatures comprising pressure readings recorded during accumulator recharge from pressure sensors; and further comprising comparing the valve signatures, wherein:an increased pressure differential of the valve signatures with increased pressure indicates a leak; andthe valve signatures being parallel indicates sensor drift. 8. The method of claim 1, wherein step (b) comprises recording valve signatures from pressure sensors in the system during system integration testing, and further comprising:calculating an initial flow resistance, Cν0, using the recorded valve signatures during system integration testing and actuator volume, Vact;recording valve signatures from the pressure sensors during operation of the system; andcalculating a value for ∫ 0 T ⁢ Cv 0 ⁢ ⅆ p ⁢ ⁢ ⅆ t during operation of the system, wherein dp is a pressure differential between the pressure sensors over time during operation of the system, and T is actuator opening time;wherein a calculated value for ∫ 0 T ⁢ Cv 0 ⁢ ⅆ p ⁢ ⁢ ⅆ t greater than Vact indicates leaking and/or clogging in the hydraulic control system. 9. A method for maintaining performance of a hydraulic control system comprising:a) determining performance of the system according to the method of claim 7; andb) performing maintenance on the system if a comparison of the valve signatures indicates a leak or sensor drift. 10. The method of claim 8, wherein calculating Cν0 comprises using the equation: Cv 0 = V act ∫ 0 T ⁢ ⅆ p ⁢ ⁢ ⅆ t . 11. A method for monitoring the health of a hydraulic control system comprising:determining whether a leak and/or clog exists in a hydraulic control system according to the method of claim 8; andperforming maintenance on the system if calculating a value for ∫ 0 T ⁢ Cv 0 ⁢ ⅆ p ⁢ ⁢ ⅆ t indicates leaking and/or clogging in the hydraulic control system. 12. The method of claim 8, wherein there is not a filter located between the pressure sensors. 13. The method of claim 8, further comprising calculating a leak volume in the system using the equation: V leak = ∫ 0 T ⁢ Cv 0 ⁢ ⅆ p ⁢ ⁢ ⅆ t - V act . 14. The method of claim 8, further comprising calculating a margin of error for the leak volume based on accuracy of the pressure sensors. 15. The method of claim 8, wherein a calculated value for ∫ 0 T ⁢ Cv 0 ⁢ ⅆ p ⁢ ⁢ ⅆ t greater than Vact plus the leak volume in the system indicates clogging in the hydraulic control system. 16. A method for maintaining performance of a hydraulic control system comprising:determining whether a leak exists in a hydraulic control system according to the method of claim 14; andperforming maintenance on the system if the leak volume exceeds a predetermined amount.
claims
1. A system comprising:a particle beam column configured to generate a particle beam directed to a first processing location;a laser system configured to generate a laser beam directed to a second processing location located a distance from the first processing location;a first stage configured to hold an object at the first processing location;a second stage configured to hold an object at the second processing location; anda transport device configured to transport the object between the first and second stages. 2. The system according to claim 1, wherein at least one of the first and second stages comprises a base and an object mount to mount the object, and the at least one of the first and second stages is configured to displace the object mount relative to the base in three independent directions. 3. The system according to claim 1, further comprising a vacuum chamber, wherein the first processing location, the second processing location, and a transport path of the object between the first and second processing locations are located within the vacuum chamber. 4. The system according to claim 3, wherein the vacuum chamber includes a door separating a first vacuum space in which the first processing location is located from a second vacuum space in which the second processing location is located. 5. The system according to claim 4, wherein the transport device comprises a rod and a gripper attached to the rod, the gripper is configured to grip the object, the transport device is configured to displace the rod between first and second rod positions, the gripper is located closer to the first processing location when the rod is in the first rod position than when the rod is in the second rod position, and the rod extends through an orifice of the door when the rod is in the first rod position. 6. The system according to claim 1, wherein the particle beam column is an electron beam column. 7. The system according to claim 1, wherein the particle beam column is an ion beam column.
062529226
summary
BACKGROUND OF THE INVENTION The present invention relates to a method of handling a nuclear reactor and an apparatus used in the handling method and in particular to a handling technique of an internal structure of a nuclear reactor with which a taking-out working of the internal structure of the nuclear reactor to outside of a nuclear reactor building or containment is provided. In the nuclear reactor building or containment of an atomic power plant station, a nuclear reactor pressure vessel is received and in this nuclear reactor pressure vessel a shroud for surrounding a reactor core of a nuclear reactor and an upper portion lattice plate and a reactor supporting plate etc. exist as an internal structure. In the nuclear reactor internal structure of the atomic power plant station, a damage state of the nuclear reactor internal structure is carried out to inspect and mend during every regular inspection time, however from the aspects of a conservation performance, an economic performance and a prevention preservation in a midway of durable years the nuclear reactor internal structure is exchanged over and renewed with a new nuclear reactor internal structure. In a renewal working or processing of the nuclear reactor internal structure according to the prior art, in a nuclear reactor well pool, an upper portion lattice plate, an upper portion shroud and a reactor core supporting plate etc. are cut roughly and taken out in advance. In a nuclear reactor building using a previous-established ceiling crane, the roughly cut-down upper portion lattice plate, the roughly cut-down upper portion shroud and the roughly cut-down reactor core supporting plate etc. are temporally placed in a dry separator (herein, it is called as "DS"). Further, in DS pool, a decomposition taking-out working and a fine cutting working for the roughly cut-down upper portion lattice plate, the roughly cut-down upper portion shroud and the roughly cut-down reactor core supporting plate etc. are performed with a remote control in the water. Accordingly, the upper portion lattice plate, the upper portion shroud and the reactor core supporting plate etc. are converted to waste materials. Accordingly, so as to isolate the nuclear reactor well pool a and DS pool, DS pool gate is newly established. Further, the fine cut waste materials (the small chips of the nuclear reactor internal structure) are received in plural receptacles such as casks and on occasion the fine cut waste materials are transferred and kept on the premises to a sight bunker or a solid waste material reservoir. Accordingly, in accordance with the working for cutting roughly in the nuclear reactor well pool, the working for cutting finely in DS pool, the supplementary working for increasing the storage containers and the supplementary material amount increase, since the above stated workings extend over a long period, there is problems from the aspects of the cost and radiation exposure etc. Further, a long period for a plant stop period in a total becomes a big burden economically to an electric power company from a reduction in an operation of rate of an equipment. On the other hand, when the nuclear reactor pressure vessel is exchanged over, as described in Japanese application patent laid-open publication No. Hei 8-285981 and Japanese application patent laid-open publication No. Hei 8-262190, a technique has known in which the nuclear reactor pressure vessel is drawn in in a transfer receptacle or container which has waited on a roof of a nuclear reactor building by a crane and the nuclear reactor pressure vessel with the transfer container is transferred to a desired point of the nuclear reactor building. In the above stated prior art example, the nuclear reactor pressure vessel with the transfer container is moved at an upper portion to the roof by performing an uncover to the nuclear reactor pressure vessel and by lifting the nuclear reactor pressure vessel with the transfer container in the nuclear reactor building. Accordingly, an exposure reduction countermeasure against to working men in the nuclear reactor building who relates to radiation from the nuclear reactor pressure vessel is insufficient. Taking into consideration about the exposure reduction countermeasure against to the working men in the nuclear reactor building, as disclosed in Japanese application patent laid-open publication No. Hei 9-145882, a techniques has known in which plural divided shield bodies are assembled so as to surround the nuclear reactor pressure vessel in the nuclear reactor building and the reduction of the radiation and the diffusion of the radioactivity are shielded by the shield bodies and as a result the nuclear reactor pressure vessel is taken out from the roof of the nuclear reactor building. However, in the above stated prior art method, since the assembling working of the shield body in the nuclear reactor building equipment is accompanied with, such an assembling working requires the labor time and accordingly it takes the time for carrying out the shield bodies to an outside of the nuclear reactor building. To avoid the labor time for requiring the assembly of the shield bodies in the nuclear reactor building containment, a technique described in Japanese application patent laid-open publication No. Sho 62-285100 has known. The contents described in this patent publication will be shown as follows. Namely, a cask for storing the radioactive waste material is lifted by a crane which is provided at an outside of the nuclear reactor building and the cask is passed through a provisional opening which is provided with an opening and closing state on the roof of the nuclear reactor building and further the cask is lifted in the nuclear reactor building. After that, the cask is separated once from the crane and the cask is placed on a floor of the nuclear reactor building and further the cask is moved in a horizontal direction at a position in which the radioactive waste material to be subjected to the carry-out exists. Next, the radioactive waste material (in concretely, an upper portion cover of the nuclear reactor pressure vessel) is lifted in the cask using a lifting machine in the cask and after that a bottom portion of the cask is closed according to an opening and closing door. After that, the cask is moved toward a horizontal direction at just an under portion of the provisional opening which has provided with the opening and closing state on the roof of the nuclear reactor building. The cask is connected together with the crane and this cask is lifted up by the crane and further the cask is passed through the provisional opening of the cask and is carried out to the outside of the nuclear reactor building. In the prior art technique described in Japanese application patent laid-open publication No. Sho 62-285100, it takes no labor time for requiring the assembly of the shield bodies in the nuclear reactor building, however it is effective to the reduction of radiation exposure and the diffusion of the radioactivity. However, in this prior art technique, the cask is separated once on the floor of the nuclear reactor building from the crane and the cask is placed and after that the cask is moved toward the horizontal direction. Accordingly, from the lift-in of the cask in the nuclear reactor building until the lift-out of the cask, however since the working of the horizontal movement of the cask and the working of the connection and the separation of the cask and the crane are accompanied with, it takes the labor time yet. In a case of the requirement of the above stated labor time, since the exchange-over of the internal structure is delayed, and further since also a re-operation time period of the atomic power plant station after the exchange-over of the internal structure is delayed, an operation efficiency of the atomic power station becomes worse. Further, in a case of the decomposition of the atomic power plant station, there is a problem about a long-pending of the decomposition working. SUMMARY OF THE INVENTION An object of the present invention is to provide to a method of handing a nuclear reactor and an apparatus used in this handling method wherein it can be compatible with a speedy handling being accompanied with a carry-out for an internal structure of a nuclear reactor from a nuclear reactor building and a handling by reducing an exposure amount which is received by the working men from the radioactive internal structure. For attainment the above stated object according to the present invention, it employs a method of handling an internal structure of a nuclear reactor, comprising the steps of lifting in a container in a nuclear reactor building from an outer side of the nuclear reactor building through an opening which is opened at an upper portion of the nuclear reactor building, maintaining the container under a condition in which the container is lifted at an upper portion of the internal structure of a nuclear reactor pressure vessel, storing the internal structure in the container which is maintained under the lifted condition, and lifting out the container which stores the internal structure toward an outside of the nuclear reactor building through the opening. Further, it employs a method for exchanging over an internal structure of a nuclear reactor, comprising the steps of, taking out the internal structure through the opening which is opened at the upper portion of the nuclear reactor building in accordance with the above stated handing method of the internal structure, lifting up a new internal structure in the nuclear reactor building from the outside of the nuclear reactor building through an opening which is opened at an upper portion of the nuclear reactor building, installing the new internal structure in the nuclear reactor pressure vessel of the nuclear reactor building, and restoring the opening which is opened at the upper portion of the nuclear reactor building. As an apparatus to realize the above stated methods, according to the present invention, it employs a handling apparatus of an internal structure of a nuclear reactor, comprising an opening opened at a portion of a nuclear reactor building which is positioned at an upper portion of a nuclear reactor well pool, a cask for storing the internal structure which has taken off from a nuclear reactor pressure vessel in the nuclear reactor building, a crane for lifting out and lifting in the cask from an outer side of the nuclear reactor building through the opening, and a hoisting device lifted up together with the cask according to the crane and for drawing in the internal structure in the cask.
summary
description
In the following well-known ray-optics is applied to a sawtooth geometry. The thin lens approximation is made. The definitions are illustrated in FIG. 7 illustrating a substantially triangular sawtooth. The law of refraction yields sin(xcex3+xcex1)=n sin(xcex3+xcex1+xcex94xcex1)xe2x80x83xe2x80x83(i) Since xcex94xcex1 is very small and xcex1 greater than greater than xcex3, this can be written sin(xcex3+xcex1)=n sin(xcex3+xcex1)+n cos(xcex3+xcex1)xcex94xcex1xe2x80x83xe2x80x83(ii) Δα = ( 1 - n ) ⁢ sin ⁡ ( γ + α ) n ⁢ xe2x80x83 ⁢ cos ⁡ ( γ + α ) ≈ δ ⁢ xe2x80x83 ⁢ tan ⁡ ( γ ) = δ tan ⁡ ( β ) ( iii ) where n=1xe2x88x92xcex4 and xcex2+xcex3=xcfx80/2. After passage of N sawteeth the total deflection angle will be xcex94xcex1tot=2N xcex4/tan(xcex2)xe2x80x83xe2x80x83(iv) (see also FIG. 8) This angle is so small that it will be assumed that the ray will traverse the lens in a straight line parallel to the axis. The geometry above shows that Δ ⁢ xe2x80x83 ⁢ α tot ⁡ ( y ) = y s o + y s i ≡ y f ( v ) where f is the focal length of the compound lens. Combination of (iv) and (v) gives the number of teeth seen by a ray at a distance y from the axis, N ⁡ ( y ) = tan ⁡ ( β ) ⁢ Δ ⁢ xe2x80x83 ⁢ α tot 2 ⁢ δ = y ⁢ xe2x80x83 ⁢ tan ⁡ ( β ) 2 ⁢ δ ⁢ xe2x80x83 ⁢ f ( vi ) The distance a ray has to travel before seeing an additional tooth can be calculated from y ⁡ ( N ) = 2 ⁢ N ⁢ xe2x80x83 ⁢ δ ⁢ xe2x80x83 ⁢ f tan ⁡ ( β ) ⇒ y ⁡ ( i ) - y ⁡ ( i - 1 ) = 2 ⁢ δ ⁢ xe2x80x83 ⁢ f tan ⁡ ( β ) ( vii ) and an additional path length is obtained in the material x ⁡ ( y ) = 2 ⁢ y tan ⁢ xe2x80x83 ⁢ ( β ) ⇒ Δ ⁢ xe2x80x83 ⁢ x = x ⁡ ( i ) - x ⁡ ( i - 1 ) = 4 ⁢ δ ⁢ xe2x80x83 ⁢ f tan 2 ⁡ ( β ) ( viii ) The total path-length follows from summation of all contributions: X ⁡ ( y ) = Δ ⁢ xe2x80x83 ⁢ x ⁡ ( 1 + 2 + … + N ⁡ ( y ) ) = Δ ⁢ xe2x80x83 ⁢ x ⁢ 1 2 ⁡ [ N ⁡ ( y ) ] 2 = 4 ⁢ δ ⁢ xe2x80x83 ⁢ f tan 2 ⁡ ( β ) ⁢ 1 2 ⁢ ( y ⁢ xe2x80x83 ⁢ tan ⁢ xe2x80x83 ⁢ β 2 ⁢ δ ⁢ xe2x80x83 ⁢ f ) 2 = y 2 2 ⁢ δ ⁢ xe2x80x83 ⁢ f ( ix ) Thus, it is shown that the path-length as a function of y will be parabolic. If y is the height of the first and largest tooth, the radius of curvature is R=xcex4f . In reality, it is not a continuous function since a finite number of sawteeth exist, and the parabola will be approximated by a few hundred straight lines. This could give a perceptible aberration effects in some imaging applications, However, the effect should be small and neglectable. Considering the case of a finite source perfectly projected onto a slit with size ds. The attenuation length is denoted xcex. A ray that has lateral displacement y is attenuated by a factor. exp ⁡ ( - X ⁡ ( y ) λ ) = exp ⁡ ( - y 2 2 ⁢ δ ⁢ xe2x80x83 ⁢ f ⁢ xe2x80x83 ⁢ λ ) ( x ) Thus, the rms beam spread is xcex4={square root over (xcex4fxcex)}xe2x80x83xe2x80x83(xi) The gain will be a product of the geometrical gain and the transmission through the lens. G ⁡ ( y d ) = xe2x80x83 ⁢ 2 ⁢ y d d s ⁢ s 0 + s i s 0 ⁢ 1 y d ⁢ ∫ 0 y d ⁢ exp ⁡ ( - y 2 2 ⁢ σ 2 ) ⁢ ⅆ y = xe2x80x83 ⁢ 2 ⁢ ( 1 + M y ) d s ⁢ 2 π ⁢ π 2 ⁢ ∫ 0 y d 2 ⁢ π ⁢ exp ⁡ ( - ξ 2 ) ⁢ 2 ⁢ σ ⁢ ⅆ ξ = xe2x80x83 ⁢ 1 + M y d s ⁢ 2 ⁢ π ⁢ σ · erf ⁡ ( y d 2 ⁢ σ ) My is the lateral magnification and the error function is used: erf ⁡ ( z ) = 2 π ⁢ ∫ 0 z ⁢ exp ⁡ ( - x 2 ) ⁢ ⅆ x ( xii ) The error function will approach unity when the height is increased, and in the limiting ydxe2x86x92xe2x88x9d, G max = 2 ⁢ π ⁢ ( 1 + M y ) ⁢ σ d s ( xiii ) This is evidently an unphysical limit. However, the error-function approaches unity quickly. The growth of the length of the lens quadratically with yd will not contribute much for a fixed focal length. Since the length should be kept down for practical and economical reasons. Once the geometry and lens parameters are fixed, the system will be optimized for one single energy. Calculating the gain in this case is less straightforward. Assuming that the beam from a point source on the optical axis is focused at s1+xcex94, it follows that (referring to FIG. 9) 1 s 0 + 1 s i + Δ = 1 f ( xiv ) d s / 2 Δ = h s l + Δ ( x ⁢ xe2x80x83 ⁢ v ) The maximal angle a ray can make horizontally and still encounter the slit is θ = h s 0 = d s / 2 s 0 ⁢ s 1 ⁢ 1 ϵ ⁢ ⁢ where ( xvi ) ϵ = | 1 s 0 + 1 s 1 - 1 f | ( x ⁢ xe2x80x83 ⁢ v ⁢ xe2x80x83 ⁢ i ⁢ xe2x80x83 ⁢ i ) The absolute value makes the relation valid even if the focus lies in front of the slit, However, h must not be greater than the height of the lens, yd, in which case the ray would miss the lens entirely. In the absence of the lens, the fraction of the x-rays emitted by the source that would encounter the slit would be (the normalization factor I/2xcfx80 is omitted) I 0 = d s 0 + s i ( xviii ) With the lens present, but with no absorption of the x-rays, this would be increased to xe2x80x83Ilens=xcex8xe2x80x83xe2x80x83(ixx) Including absorption, the flux falling on the slit is given by an integral over the angle xcex1 of the ray from the source; I lens a ⁢ xe2x80x83 ⁢ b ⁢ xe2x80x83 ⁢ s = ∫ - min ⁡ ( θ , y d / s 0 ) min ⁡ ( θ , y d / s 0 ) ⁢ exp ( - s 0 2 ⁢ α 2 2 ⁢ σ 2 ) ⁢ ⅆ α ( xx ) Here a simplification is made. The aperture is limited either by xcex8 or by yd=s0. However, even in the last case integration is made to xcex8. This is a good approximation, since rays that far from the optical axis will be strongly absorbed and only have a small contribution to the flux. I lens a ⁢ xe2x80x83 ⁢ b ⁢ xe2x80x83 ⁢ s = 2 ⁢ π ⁢ σ ⁢ 1 s 0 ⁢ erf ⁡ ( θs 0 ⁢ 1 2 ⁢ σ ) = 2 ⁢ π ⁢ σ ⁢ 1 s 0 ⁢ erf ⁡ ( d s 2 ⁢ σ ⁢ xe2x80x83 ⁢ s i ⁢ ϵ ⁢ 2 ) ( xxi ) The gain will be G ⁡ ( 0 ) = I lens a ⁢ xe2x80x83 ⁢ b ⁢ xe2x80x83 ⁢ s / I 0 = 2 ⁢ π ⁢ s 0 + s i s l ⁢ σ d s ⁢ erf ⁡ ( d s 2 ⁢ 2 ⁢ σϵ ⁢ xe2x80x83 ⁢ s ⁢ xe2x80x83 i ) ( xxii ) Now assuming that the point source is located at ys from the optical axis and a similar geometrical exercise gives (omitting the algebraic details) G ⁡ ( y s ) = π 2 ⁢ s 0 + s i s 0 ⁢ σ d s ⁡ [ erf ⁡ ( d s 2 ⁢ 2 ⁢ σϵ ⁢ xe2x80x83 ⁢ s ⁢ xe2x80x83 l + y s 2 ⁢ 2 ⁢ σϵ ⁢ xe2x80x83 ⁢ s ⁢ xe2x80x83 0 ) - erf ⁡ ( - d s 2 ⁢ 2 ⁢ σϵ ⁢ xe2x80x83 ⁢ s ⁢ xe2x80x83 i + y s 2 ⁢ 2 ⁢ σϵ ⁢ xe2x80x83 ⁢ s ⁢ xe2x80x83 0 ) ] ( xxiii ) It is interesting to study how the maximal gain depends on the material properties of the lens. From Eqs. xi and xiii is obtained Max gain xcex1"sgr"=sqrt{fxcex4xcex}xe2x80x83xe2x80x83(xxiv) and thus xcex4xcex should be maximized. The attenuation length is a strong function of the atomic number and it is obvious a material with the lowest possible Z is interested. In this energy region it is a good approximation to take xcex4xe2x88x9dExe2x88x922 and a parameterization of the X-ray cross-section in barns (xe2x88x9dxc2xd) is (from fitting totabulated values) 24.15Z4.2Exe2x88x929+0.56Zxe2x80x83xe2x80x83(xxv) where the two terms Z and E are photo and Compton effect, respectively (E in keV). Then the optimum energy may be calculated using: d/dE(xcex4, xcex)=0=Eopt=2.78Z1.07 keVxe2x80x83xe2x80x83(xxvi) For example for Beryllium and PMMA, the optimal energies are 12 keV and 19 keV, respectively. PVC with a higher effective Z and thus lower contribution from Compton scattering has a much higher optimum around 48 keV. While PMMA is 3 times better than vinyl at 18 keV, it is only 84% better at 40 keV. This is due to the high Compton scattering at high energies for the very low-Z materials. A refracting arrangement, which can be used as a lens in x-ray applications is schematically illustrated in FIG. 1. The arrangement 100, hereinafter referred to as lens, comprises a volume having a first end 105, a second end 106 opposite said first end 105, and longitudinal surfaces 107-110. Within the volume are arranged cavities 102 extending substantially from said first end 105 to said second end 106. The cavities are so arranged that the longitudinal axis of each cavity is substantially parallel to the said first and second ends. Each cavity 102 comprises a first (e.g. upper) and a second (e.g. lower) ridge shaped groove 103 and 104, which consecutively form a sawtooth formed first (e.g. upper) and a second (e.g. lower) lens sections 101. The theory behind the design of the cavities is described above. During the operation, the lens 100 is arranged to receive X-rays, e.g. through the first end 105, and the X-rays after being refracted are emerged from the second end 106. Preferably, the volume material should have an atomic number as low as possible, i.e. a low Z-material; good candidates are, e.g. beryllium and plastics such as polymethylmethacrylate (PMMA). In FIG. 2, a section 201 (e.g. lower part) of another sawtooth profiled refractive x-ray lens according to the present invention is illustrated. Sawtooth shaped grooves are arranged on one surface 207 of the section while the opposite surface 208 is plane. According to this embodiment, the size of the grooves 203 vary by decreasing the depth of the grooves is linearly from a first end 205 towards a the second end 206 of the volume. In a preferred embodiment the section contains, e.g. approximately 300 straight cut grooves with depth 211 decreasing linearly from about 100 to 0 microns and a bottom angle 212 of approximately 90xc2x0. This will give a total length of 30 mm. However, the bottom angle is a free parameter and can be optimized with respect to practical and manufacturing issues. The width 213 of the section can be varied according to the requirements, ranging from mm to dm. In one embodiment, the invention is a split saw-tooth profile refractive x-ray lens. FIG. 3a shows a cut through an embodiment of the lens 300 consisting of two sections 201 according to FIG. 2. The sawtooth profile refractive x-ray lens includes two volumes 201 of low-Z material, placed on opposite sides of the optical axis. The volumes 201 of low-Z material form a first end 305 that receives x-rays, preferably of commercially-applicable power emitted from a commercial-grade x-ray source. From the opposite, second end 306 the x-rays emerge. The plurality of grooves are oriented such that the x-rays which are received at the first surface, pass through the volume of low-Z material and through the plurality of grooves. In so doing, the x-rays of a single energy that emerge are refracted to a single focal point. If the x-ray source emits x-rays of variable energy, the spectrum of x-rays received at a single focal point will be enhanced near a unique energy. The projection of the amount of traversed material for an X-ray entering parallel to the optical axis will be a parabolic profile, as illustrated in FIG. 3b. Thus, in principal, the described geometry could be replaced by a single parabolic surface, given by the equation x = y 2 2 ⁢ R ( 4 ) where R is the radius of curvature and x and y are defined in FIG. 3a. This, however, would be extremely difficult to manufacture. One can look at the present invention as a redistribution of the low-Z material to simplify fabrication. With the geometry described above, R=0.167 m. Assume that the low-Z material is beryllium, for which d=8.5xc3x9710xe2x88x927 at 20 keV. This will, according to Eq. 2, give a focal length F=195 mm for 20 keV X-rays. Consequently, unlike the meter-level focal lengths associated with prior art experimental high-energy X-ray focusing devices, the sawtooth profile refractive X-ray lens 300 of the present embodiment attains a focal length on the order of decimeters. In the embodiment outlined in FIG. 4, the lens 400 comprises to sections 401, in which the jags (teeth) 416 all have the same size. By slightly tilting the parts 401 with respect to the optical axis 415, the similar focusing behaviour as in FIG. 3 is achieved. The depth of the grooves is, e.g. about 100 mm. To achieve the same focusing properties as in the previous embodiment, still 300 sawteeth are needed, but the total length of the sawtooth profile refractive lens will be doubled to 60 mm. The separation 413 should be twice the depth of the grooves, i.e. 200 mm. This will give a tilt angle 414 of about 0.1xc2x0. These volumes of low-Z material will be substantially easier to manufacture than other geometries. In this embodiment the lens is a tunable sawtooth profile refractive x-ray lens. The volumes 401 of low-Z material including the plurality of straight-cut grooves, through which the x-rays pass, each has thus a small angle to the optical axis. The focal length will be a function of this angle. By varying the angle 414, the focal point for a given energy will be translated. Alternatively, by varying the angle, at a fixed point, the energy at which the spectrum is enhanced will consequently be varied. FIG. 5 is a side view of a one-dimensional focusing geometry of the sawtooth profile refractive x-ray lens 500 in accordance with the embodiment shown in FIG. 4. A divergent beam from a source S is focussed to a line at the focal point P. The lense according to this embodiment comprises two halves of refractive arrangements which are designed with sawteeth on both faces of the volume instead of only one face. This design may further improve the focusing properties of the lens. FIGS. 6a and 6b show the side and the top view, respectively, of an embodiment in which two sawtooth profile refractive lenses 600a and 600b are used to achieve two-dimensional focusing. The second sawtooth profile refractive lens 600b is rotated 90xc2x0 around the optical axis with respect to the first one 600a. A divergent beam from the source S is focussed to a point at the focal point P. In still another embodiment (not shown), the present invention recites a method for providing a dual energy distribution from an x-ray source using a sawtooth profile refractive leas. In such an embodiment, the sawtooth profile refractive x-ray lens includes two volumes of low-Z material, placed on opposite sides of the optical axis. The volumes of low-Z material include a plurality of straight-cut grooves through which the x-rays will pass. Each of the volumes has a small unique angle to the optical axis. By having different angles for the two halves, each half will have a separate focal point. At a given point on the optical axis, the x-ray spectrum will he enhanced at two separate energies and thus yield a bimodal energy distribution. According to one preferred method for manufacturing a lens of the invention, the shape of the grooves are transferred onto a (e.g. plastic) carrier by means of an engraving machine, comprising a hot engraving pointer which is controlled by a controlling arrangement transferring the shape of the grooves on to the carrier. Then a (metallic) master is formed using the carrier. The master may be used directly or through intermediate steps to make pressing moulds for pressing the grooves on suitable material. Accordingly, the sawtooth lens resembles a vinyl phonograph record. A rough calculation gives that the groove pitch of such a record should be around 120 xcexcm (10 cm at 33 rpm in 25 min). In order to have the dimensions of vibration decoupled, the bottom angle must be 90xc2x0 in stereo mode, i.e. xcex2 as defined in the xe2x80x9cBASIC THEORYxe2x80x9d section is 45xc2x0. Thus, if there were no inter-spacing between the grooves, the depth would be 60 xcexcm. Measurements of the profile of a vinyl record indicated that inter-spacing takes up half of the surface, which gives a depth of only 30 xcexcm. However, the cutting is a flexible process with many free parameters. The restriction is the 100 xcexcm lacquer layer on the master that limits the depth to about 90 xcexcm and consequently the width to 180 xcexcm. A master was cut with a depth of 90xc2x0 without inter-spacing and a vinyl (PVC) was record-pressed, from which 60 mm long sections were cut out. The surface of the cuts seems to be of rather bad quality and the gain should be expected to be non-optimal. The lens halves were attached to aluminum supports that were adjusted with micrometer screws under a microscope to give the right tilt angle. With, 180 xcexcm separation at the end, the radius of curvature is R=(90 xcexcm) 2=(2/Delta 300 mm)=0:135 xcexcm. This gives a focal length of 218 mm for 23 keV. Above-mentioned methods are given merely as examples and other methods may also be used such as diamond turning techniques, laser cutting etc. The lenses according to the invention may be used in all x-ray applications, such as mammography, bone-density analysis, dental applications, x-ray microscopy or crystallography etc. In an x-ray crystallography arrangement 100, as shown in FIG. 10, the crystal structure of a sample 101 is determined by detecting the spatial pattern of a diffracted x-ray beam 102 incident on the sample 101. The divergent beam from a small x-ray source 104 is projected onto the crystal sample by the lens 103. It is important that the incident beam has a low divergence (cross-fire), more precisely lower or equal to the mosaic spread of the crystal 101. Thus, the saw-tooth refractive x-ray lens 103 can be applied to x-ray crystallography. Due to the geometry, the beam incident on the sample has a very small divergence. By this, a gain of flux on the sample is obtained and thus image acquisition time is decreased. The minimum distance from source to sample is determined by the constraint on beam divergence. Typical parameters would be: Source size: 20 microns Sample size: 100 microns Source-to-lens distance: 15 cm Lens-sample distance: 75 cm Since the lens is chromatic, a narrow energy peak can be selected from a broad x-ray spectrum from the source. This will enhance the image quality and signal-to-noise ratio. This versatility can be used to choose the optimal energy for every sample. Ideally, two lenses arranged in series could be used to obtain two-dimensional focusing and squared gain. Another application is an x-ray microscope, as shown FIGS. 11 and 12. The lens can be used to form the lens of the x-ray microscope 110 and 120. In both cases two lenses 111, 112, 121 and 122 are used to focus the x-ray beam to a very small spot, typically smaller than a few microns. In the arrangement of FIG. 11 the sample 113 is placed in the focal plane. The transmitted beam is incident upon a single x-ray detector 114. To obtain a full two-dimensional image the object must be scanned point-by-point by a translational stage. The first lens 111 focuses the beam in y direction and the second lens 112 focuses the beam in x direction In the arrangement according to FIG. 12, the sample 123 is stationary and positioned below (or above) the focal point of the lens. A magnified image of the object is seen by a pixelated area detector 124 and no scanning is needed. While the invention is described in conjunction with the preferred embodiments, it is appreciated that there is no intend to limit the invention to these embodiments. On the contrary, the invention is intended to cover alternatives, modifications and equivalents, which may be included within the scope of the invention as defined by the appended claims.
claims
1. A treatment facility comprising a shielded chamber for use in conjunction with an ion therapy beam, wherein the shielded chamber comprises:two opposed side walls;an entrance to the shielded chamber, wherein the entrance is defined between the opposed side walls at a first end of the shielded chamber;a treatment room for a patient to be exposed to the ion therapy beam, wherein the treatment room is defined between the opposed side walls at a second end of the shielded chamber;a shielding door at the entrance to the shielded chamber, wherein the shielding door comprises a layer of hydrogen-containing material; anda labyrinthine passage to enter and exit the treatment room, wherein the labyrinthine passage leads from the entrance of the shielded chamber to the treatment room and is defined by at least a first labyrinth wall and a second labyrinth wall that:(a) extend transversely inward, one from each side wall;(b) are longitudinally displaced with respect to each other along the side walls, wherein the first labyrinth wall is closer to the treatment room than the second labyrinth wall;(c) each cross a central axis that aligns with peak propagation of the ion therapy beam when a patient is being irradiated thereby in the treatment room; and(d) each comprise at least two layers of shielding, including:(i) a spallation layer for causing spallation reactions wherein incident relatively high-energy neutrons interact with atomic nuclei to generate relatively low-energy neutrons, wherein the spallation layer of the first labyrinth wall and the spallation layer of the second labyrinth wall overlap each other; and(ii) a neutron moderating and absorbing layer for moderating and absorbing the relatively low-energy neutrons generated in the spallation reactions in the spallation layer, wherein the neutron moderating and absorbing layer of the first labyrinth wall and the neutron moderating and absorbing layer of the second labyrinth wall overlap each other. 2. The treatment facility of claim 1, wherein the labyrinthine passage comprises a third labyrinth wall that extends transversely inward from one of the side walls sufficiently to cross the central axis. 3. The treatment facility of claim 1 further comprising a preparation or control room that is disposed adjacent to the entrance to the shielded chamber and that crosses the central axis. 4. The treatment facility of claim 3 wherein the ion therapy beam is a carbon ion beam at 400 MeV/u with a maximum value of 107 carbon ions per second, based on a ten minute average, andwherein the first and second labyrinth walls have a thickness suitable for reducing neutron radiation to less than 10 μSv/h behind the second labyrinth wall along the central axis, thereby enabling use of the preparation or control room during ion therapy of a patient in the shielded chamber. 5. The treatment facility of claim 1, wherein the spallation layer of the first labyrinth wall comprises iron of at least 0.5 meters thickness and the neutron moderating and absorbing layer of the first labyrinth wall comprises concrete of at least 1.5 meters thickness. 6. The treatment facility of claim 1, wherein the entrance has a concrete ceiling and further comprises a plurality of concrete cross webs downwardly extending from the concrete ceiling within the labyrinthine passage. 7. The treatment facility of claim 1, wherein the first labyrinth wall further comprises an iron beam dump located along the central axis and having a thickness of about 0.5 meter to about 1.0 meter. 8. The treatment facility of claim 1, wherein the second labyrinth wall comprises the spallation material in an area that is not shadowed by the first labyrinth wall, as seen from the location of the patient when receiving ion therapy. 9. The treatment facility of claim 1, wherein each of the first labyrinth wall and the second labyrinth wall extend inwardly by at least half of the distance between the side walls thereby overlapping one another. 10. The treatment facility of claim 1, wherein the spallation layer of the second labyrinth wall extends inwardly from the respective side wall across the central axis. 11. The treatment facility of claim 2, wherein the third labyrinth wall:(a) is longitudinally displaced with respect to the second labyrinth wall along the side walls, wherein the second labyrinth wall is closer to the treatment room than the third labyrinth wall; and(b) comprises at least two layers of shielding, including:(i) a spallation layer for causing spallation reactions wherein incident relatively high-energy neutrons interact with atomic nuclei to generate relatively low-energy neutrons; and(ii) a neutron moderating and absorbing layer for moderating and absorbing the relatively low-energy neutrons generated in the spallation reactions in the spallation layer, wherein the neutron moderating and absorbing layer of the third labyrinth wall and the neutron moderating and absorbing layer of the second labyrinth wall overlap each other. 12. A treatment facility comprising a shielded chamber for use in conjunction with an ion therapy beam, wherein the shielded chamber comprises:two opposed side walls;an entrance to the shielded chamber, wherein the entrance is defined between the opposed side walls at a first end of the shielded chamber;a treatment room for a patient to be exposed to the ion therapy beam, wherein the treatment room is defined between the opposed side walls at a second end of the shielded chamber; anda labyrinthine passage to enter and exit the treatment room, wherein the labyrinthine passage leads from the entrance of the shielded chamber to the treatment room and is defined by at least a first labyrinth wall and a second labyrinth wall that:(a) extend transversely inward, one from each side wall;(b) are longitudinally displaced with respect to each other along the side walls, wherein the first labyrinth wall is closer to the treatment room than the second labyrinth wall;(c) each cross a central axis that aligns with peak propagation of the ion therapy beam when a patient is being irradiated thereby in the treatment room; and(d) each comprise at least two layers of shielding, including:(i) a spallation layer for causing spallation reactions wherein incident relatively high-energy neutrons interact with atomic nuclei to generate relatively low-energy neutrons, wherein the spallation layer of the first labyrinth wall and the spallation layer of the second labyrinth wall overlap each other; and(ii) a neutron moderating and absorbing layer for moderating and absorbing the relatively low-energy neutrons generated in the spallation reactions in the spallation layer, wherein the neutron moderating and absorbing layer of the first labyrinth wall and the neutron moderating and absorbing layer of the second labyrinth wall overlap each other;wherein the spallation layer of the first labyrinth wall comprises iron of at least 0.5 meters thickness and the neutron moderating and absorbing layer of the first labyrinth wall comprises concrete of at least 1.5 meters thickness. 13. A treatment facility comprising a shielded chamber for use in conjunction with an ion therapy beam, wherein the shielded chamber comprises:two opposed side walls;an entrance to the shielded chamber, wherein the entrance is defined between the opposed side walls at a first end of the shielded chamber;a treatment room for a patient to be exposed to the ion therapy beam, wherein the treatment room is defined between the opposed side walls at a second end of the shielded chamber; anda labyrinthine passage to enter and exit the treatment room, wherein the labyrinthine passage leads from the entrance of the shielded chamber to the treatment room and is defined by at least a first labyrinth wall and a second labyrinth wall that:(a) extend transversely inward, one from each side wall;(b) are longitudinally displaced with respect to each other along the side walls, wherein the first labyrinth wall is closer to the treatment room than the second labyrinth wall;(c) each cross a central axis that aligns with peak propagation of the ion therapy beam when a patient is being irradiated thereby in the treatment room; and(d) each comprise at least two layers of shielding, including:(i) a spallation layer for causing spallation reactions wherein incident relatively high-energy neutrons interact with atomic nuclei to generate relatively low-energy neutrons, wherein the spallation layer of the first labyrinth wall and the spallation layer of the second labyrinth wall overlap each other; and(ii) a neutron moderating and absorbing layer for moderating and absorbing the relatively low-energy neutrons generated in the spallation reactions in the spallation layer, wherein the neutron moderating and absorbing layer of the first labyrinth wall and the neutron moderating and absorbing layer of the second labyrinth wall overlap each other;wherein the entrance has a concrete ceiling and further comprises a plurality of concrete cross webs downwardly extending from the concrete ceiling within the labyrinthine passage. 14. A treatment facility comprising a shielded chamber for use in conjunction with an ion therapy beam, wherein the shielded chamber comprises:two opposed side walls;an entrance to the shielded chamber, wherein the entrance is defined between the opposed side walls at a first end of the shielded chamber;a treatment room for a patient to be exposed to the ion therapy beam, wherein the treatment room is defined between the opposed side walls at a second end of the shielded chamber; anda labyrinthine passage to enter and exit the treatment room, wherein the labyrinthine passage leads from the entrance of the shielded chamber to the treatment room and is defined by at least a first labyrinth wall and a second labyrinth wall that:(a) extend transversely inward, one from each side wall;(b) are longitudinally displaced with respect to each other along the side walls, wherein the first labyrinth wall is closer to the treatment room than the second labyrinth wall;(c) each cross a central axis that aligns with peak propagation of the ion therapy beam when a patient is being irradiated thereby in the treatment room; and(d) each comprise at least two layers of shielding, including:(i) a spallation layer for causing spallation reactions wherein incident relatively high-energy neutrons interact with atomic nuclei to generate relatively low-energy neutrons, wherein the spallation layer of the first labyrinth wall and the spallation layer of the second labyrinth wall overlap each other; and(ii) a neutron moderating and absorbing layer for moderating and absorbing the relatively low-energy neutrons generated in the spallation reactions in the spallation layer, wherein the neutron moderating and absorbing layer of the first labyrinth wall and the neutron moderating and absorbing layer of the second labyrinth wall overlap each other;wherein the second labyrinth wall comprises the spallation material in an area that is not shadowed by the first labyrinth wall, as seen from the location of the patient when receiving ion therapy.
description
The present invention relates generally to ion implantation systems, and more particularly to modulating ion beam current in such systems to attain more uniform ion implantations. Ion implantation systems are used to impart impurities, known as dopant elements, into semiconductor substrates or wafers, commonly referred to as workpieces. In such systems, an ion source ionizes a desired dopant element, and the ionized impurity is extracted from the ion source as a beam of ions. The ion beam is directed (e.g., swept) across respective workpieces to implant ionized dopants within the workpieces. The dopant ions alter the composition of the workpieces causing them to possess desired electrical characteristics, such a may be useful for fashioning particular semiconductor devices, such as transistors, upon the substrates. The continuing trend toward smaller electronic devices has presented an incentive to “pack” a greater number of smaller, more powerful and more energy efficient semiconductor devices onto individual wafers. This necessitates careful control over semiconductor fabrication processes, including ion implantation and more particularly the uniformity of ions implanted into the wafers. Moreover, semiconductor devices are being fabricated upon larger workpieces to increase product yield. For example, wafers having a diameter of 300 mm or more are being utilized so that more devices can be produced on a single wafer. Such wafers are expensive and, thus, make it very desirable to mitigate waste, such as having to scrap an entire wafer due to non-uniform ion implantation. Larger wafers make uniform ion implantation challenging, however, since ion beams have to be scanned across larger angles and distances to reach the perimeters of the wafers. Scanning a beam over such larger angles and distances can cause variations in the flux of the beam that can lead to non-uniform implantation. The following presents a simplified summary in order to provide a basic understanding of one or more aspects of the invention. This summary is not an extensive overview of the invention, and is neither intended to identify key or critical elements of the invention, nor to delineate the scope thereof. Rather, the primary purpose of the summary is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented later. The present invention is directed to modulating ion beam current in an ion implantation system to mitigate non-uniform ion implantations, for example. Multiple arrangements are disclosed for modulating the intensity of the ion beam. For example, the volume or number of ions within the beam is altered by biasing one or more different elements downstream of the ion source. Similarly, the dosage of ions within the ion beam can also be manipulated by controlling elements more closely associated with the ion source. In this manner, the implantation process can be regulated so that the wafer is coated with a more uniform concentration of ions. According to one or more aspects of the present invention, an ion implantation system suitable for use in implanting ions into one or more workpieces is disclosed. The system includes an ion source for producing a quantity of ions that can be extracted in the form of an ion beam having a beam current. The system also includes a beamline assembly downstream of the ion source to receive and direct the beam of ions. An end station downstream of the beamline assembly is also included to hold the one or more workpieces toward which the ion beam is directed. Finally, the system includes a component associated with or downstream of the ion source for modulating the ion beam current. In accordance with one or more other aspects of the present invention, an acceleration system suitable for use in implanting ions into a workpiece is disclosed. The system includes an ion source for producing a quantity of ions that can be extracted in the form of an ion beam, the ion beam having a beam current. The system also includes a beamline assembly downstream of the ion source to receive and direct the beam of ions. An end station downstream of the beamline assembly is also included to hold one or more workpieces onto which the ion beam is directed. Finally, the system includes a first modulating component associated with the ion source for modulating the beam current. To the accomplishment of the foregoing and related ends, the following description and annexed drawings set forth in detail certain illustrative aspects and implementations of the invention. These are indicative of but a few of the various ways in which the principles of the invention may be employed. Other aspects, advantages and novel features of the invention will become apparent from the following detailed description of the invention when considered in conjunction with the drawings. The present invention will now be described with reference to the drawings wherein like reference numerals are used to refer to like elements throughout. The illustrations and following descriptions are exemplary in nature, and not limiting. Thus, it will be appreciated that variants of the illustrated systems and methods and other such implementations apart from those illustrated herein are deemed as falling within the scope of the present invention and the appended claims. The present invention relates to modulating ion beam current in an ion implantation system to mitigate non-uniform ion implantations, for example. Multiple arrangements are revealed for modulating the intensity of the ion beam. For example, the volume or number of ions within the beam is altered by biasing one or more different elements downstream of the ion source. Alternatively, the dosage of ions within the ion beam can also be manipulated by controlling elements more closely associated with the ion source. In this manner, the implantation process can be regulated so that the concentration of ions implanted into the wafer is substantially uniform across the wafer. Referring initially to FIG. 1, an ion implantation system 100 suitable for implementing one or more aspects of the present invention is depicted in block diagram form. The system 100 includes an ion source 102 for producing a quantity of ions that can be extracted in the form of an ion beam 104. The ion source 102 generally includes a gas source 106 from which the ions are generated, and a power source 108 that facilitates the production of the ions from the gas. A beamline assembly 110 is provided downstream of the ion source 102 to receive the ion beam 104. The beamline assembly 110 in one example includes, among other things, a mass analyzer 112. The beamline assembly 110 is situated along the path to receive the beam 104. The mass analyzer 112 includes a field generating component, such as a magnet 114, and operates to provide a field across the beam path 104 so as to deflect ions from the ion beam 104 at varying trajectories according to mass (e.g., charge to mass ratio). Ions traveling through the magnetic field experience a force that directs individual ions of a desired mass along the beam path 104 and deflects ions of undesired mass away from the beam path. The ion implantation system 100 further includes an end station 116 to receive the mass analyzed ion beam 104 from the beamline assembly 110. The end station 116 supports one or more workpieces such as semiconductor wafers (not shown) along the beam path for implantation using the mass analyzed ion beam 104. The end station 116 includes a target scanning system 118 for translating or scanning one or more target workpieces and the ion beam 104 relative to one another. The target scanning system 118 may provide for batch or serial implantation, for example, as may be desired under given circumstances, operating parameters and/or objectives. Additionally, a measurement component 120 is operatively coupled to the end station 116. The measurement component may include, for example, a Faraday cup (not shown) that is operable to detect the intensity of the ion beam as the beam is scanned across the wafer. According to one or more aspects of the present invention, the detected current density is fed back (e.g., via a processor—not shown) to control one or more beam current modulation components 122, 124. In accordance with one or more aspects of the present invention, one or more of the components 122, 124 can be closely associated with the ion source 102 and/or be situated downstream from the ion source 102 (e.g., on the beamline assembly 110 or end station 116). The ion implantation system 100 may also include a mechanism 126 in front of the end station 116 to scan the ion beam 104 relative to the one or more workpieces. Such mechanisms may, for example, be electric and/or magnetic. For example, the mechanism may include one or more electrically conductive plates that can be biased to have an electromagnetic field controllably developed there-across. The field lines influence the direction of the ion beam 104 passing there-through, and can be selectively controlled to cause the beam 104 to scan across the workpieces in a desired manner. Referring now to FIG. 2, an exemplary ion implantation system 200 suitable for implementing one or more aspects of the present invention is depicted in somewhat greater detail. Note that although the system in FIG. 2 illustrates a low energy system, it should be appreciated that the system 200 is provided as an example, and that the present invention finds utility in various types of ion implantation systems, and such variations are contemplated as falling within the scope of the present invention. The system 200 includes an ion source 202, a beamline assembly 204, and a target or end station 206. An expansible stainless steel bellows assembly 208, which permits movement of the end station 206 with respect to the beamline assembly 204, connects the end station 206 and the beamline assembly 204. The ion source 202 comprises a plasma chamber 210 and an ion extraction assembly 212. Energy is imparted to an ionizable dopant gas to generate ions within the plasma chamber 210. Generally, positive ions are generated, although the present invention is applicable to systems wherein negative ions are generated by the source 202. The positive ions are extracted through a slit in the plasma chamber 210 by the ion extraction assembly 212, which comprises a plurality of electrodes 214. Accordingly, the ion extraction assembly 212 functions to extract a beam 216 of positive ions from the plasma chamber 210 and to accelerate the extracted ions into the beamline assembly 204, and more particularly into a mass analysis magnet 218 within the beamline assembly 204. The mass analysis magnet 218 includes a curved beam path 220 within a passageway 222 defined by a metal (e.g., aluminum) beam guide having side-walls 224, evacuation of which is provided by a vacuum pump 226. The ion beam 216 that propagates along this path 220 is affected by the magnetic field generated by the mass analysis magnet 218, to reject ions of an inappropriate charge-to-mass ratio. Control electronics 228 are included to adjust the strength and orientation of this dipole magnetic field, among other things. The magnetic field is controlled by the electrical current through the field windings of the magnet 218 through a magnet connector 230. It will be appreciated that control electronics 228 may include a processor and/or computer system for overall control of the system 200 (e.g., by an operator). The dipole magnetic field causes the ion beam 216 to move along the curved beam path 220 from a first or entrance trajectory 232 near the ion source 202 to a second or exit trajectory 234 near an exiting end of the passageway 222. Portions 236 and 238 of the beam 216, comprised of ions having an inappropriate charge-to-mass ratio, are deflected away from the curved trajectory and into the beam guide side walls 224. In this manner, the magnet 218 only allows those ions in the beam 220 which have the desired charge-to-mass ratio to traverse entirely through the passageway 222. The beamline assembly 204 can also be said to include an accelerator 240. The accelerator 240 includes a plurality of electrodes 242 arranged and biased to accelerate and/or decelerate ions, as well as to focus, bend and decontaminate the ion beam. A dosimetry indicator such as a Faraday cup 244 may also be included to detect a sample current of the ion beam. A source of plasma 246 may also be included to provide a plasma shower 248 for neutralizing a (positive) charge that would otherwise accumulate on a target workpiece as a result of being implanted by the (positively) charged ion beam 216. A vacuum pump 250 may further be included to evacuate the accelerator 240. Downstream of the accelerator 240 is the end station 206, which includes a support 252 upon which one or more wafers 254 or other workpieces to be treated are mounted. The wafer support 252 resides in a target plane that is generally oriented relatively perpendicularly to the direction of the implant beam, although the wafer support may also be oriented at angles substantially different from that shown and described. Wafer support may also, for example, take the form of a mechanical arm capable of moving a wafer through the beam or a rotating disk. FIG. 2 illustrates a disc shaped wafer support 252 that is rotated by a motor 256 at the end station 206. The ion beam thus strikes wafers mounted to the support as they move in a circular path. The end station 206 pivots about point 258, which is the intersection of the path 260 of the ion beam and the wafer 254, so that the target plane is adjustable about this point 258. It will be appreciated that the Faraday cup 244 can be utilized in mapping the ion implantation on one or more wafers. For example, the cup 244 can be effectively substituted for the one or more wafers during a test run. The ion beam and Faraday cup 244 can then be moved relative to one another while the beam current is held constant. In this manner, variations in ion dosage (e.g., that may occur at the perimeters of the wafers) can be detected. A waveform or map of beam current intensity versus scan position can thus be identified (e.g., by feeding the readings taken by the cup back to the control electronics 228). The detected waveform(s) can then be utilized to adjust the beam current during actual implantation. For example, the beam current can be adjusted upward as the beam approaches the perimeters of the wafers and/or may correspondingly be reduced as the beam implants into more centralized locations on the wafers. Additionally, during actual implantation, the Faraday cup 244 can be utilized to take readings of beam intensity just as the beam finishes respective scans (e.g., so that the cup 244 does not interfere with the implantations). These readings can then be compared to the waveforms to determine just how much the beam current should be ramped up (or down) to comport with the waveforms. It will be appreciated that such end of scan measurements can be done continuously or intermittently to mitigate non-uniform ion implantations. It will be further appreciated that a biasing voltage applied to the extraction assembly 212 can, for example, be varied to adjust the beam current density. Similarly, the source of plasma 246 can be adjusted to vary (e.g., the intensity) a plasma shower 248 in accordance with one or more aspects of the present invention. In the example illustrated, a scanning mechanism is also included in the beamline assembly 204 to facilitate maneuvering the beam 216 relative to the one or more wafers 254. In one arrangement, the mechanism may include, for example, two or more electrically conductive plates 272, 274 positioned so that the ion beam 216 passes there-through. The electrodes 272, 274 can be selectively biased with a potential to deflect the ion beam 216 in a prescribed manner. Similarly, the scanning mechanism may include a plurality of electromagnets (not shown) that may be energized to produce magnetic lines of flux there-between to selectively deflect the beam in a prescribed manner. FIG. 3 illustrates in schematic block diagram form certain components of an ion implantation system 300 wherein one or more aspects of the present invention are implemented. The system 300 includes an ion source 302 for generating a source of ions. In the example shown, the ion source 302 includes a cathode 304, an anode 306, a mirror electrode 308, a gas supply 310 and source magnet components 312a, 312b. A power supply 314 and an arc power supply 316 are operatively coupled to the cathode 304, and another supply 318 is also connected to the source magnet components 312a, 312b in the example shown. In operation, the gas supply 310 provides one or more precursor gases (e.g., via a conduit 320) into an area 322 of the source 302 wherein the ions are generated. The cathode 304, in one example, takes the form of a filament (e.g., a rod made of tungsten or tungsten alloy) is heated by the power supply 314 (e.g., to about 2000 degrees Kelvin) to excite electrons therein. The arc supply 316, in turn, provides additional energy to the cathode 304 (e.g., to heat the cathode to about 2800 degrees Kelvin) to cause electrons to jump from the cathode 304 into the area 322 wherein the gas is situated. The anode 306 assists with drawing the electrons into area 322, and may include sidewalls (not shown) of the ion source 302, for example. Further, supply 314 may also be coupled to the anode 306 so that a bias can be set up between the cathode 304 and the anode 306 to facilitate drawing the electrons into area 322. The mirror electrode 308 assists with maintaining the electrons within area 322. In particular, a bias imparted to the mirror electrode 308 serves to repel electrons emitted from the cathode 304 back into area 322. Similarly, a magnetic field induced within the ion source 302 by the source magnet serves to maintain electrons within area 322 and off of sidewalls (not shown) of the source 302. In the example shown, two components 312a and 312b of the source magnet are shown. These may be indicative, of a cross-sectional view of windings and/or a yoke of an electromagnet, for example. The electrons moving around within area 322 collide with the gaseous molecules within area 322 to create the ions. In particular, electrons that collide with gaseous molecules with sufficient force cause one or more electrons to become dislodged from the molecules, thus producing positively charged gaseous ions. It will be appreciated that the magnetic field applied by the source magnet may be parallel to the cathode to increase the electron path length and to assist with suspending a plasma of both ions and electrons within area 322. It will be further appreciated that the present invention contemplates and has application to negatively charged ions also. Additionally, it will also be appreciated that the beam current density or intensity is related to the number of ions produced in the ion source 302. Thus, in accordance with one or more aspects of the present invention, any one or more of the components of the ion source can be selectively adjusted to modulate the beam current. By way of example only and not limitation, the magnetic field set up by the source magnet 312 can be altered by controlling the supply 318 to increase or retard the number of ions generated within the source 302 to correspondingly increase or decrease the beam current. Modulating the beam current via the source magnet may be more effective at higher energies (e.g., where the magnetic field is around 200 Gauss) since beam currents may have a heightened (e.g., non-linear) sensitivity to changes introduced by the supply 318 at lower energies. It will be further appreciated that the present invention contemplates and has application to ion sources of types other than the arc discharge source described above. For example, an ion source may include a means of RF excitation to produce ions. Such a source is disclosed in U.S. Pat. No. 5,661,308, the entirety of which is hereby incorporated by reference. An additional example is an ion source that may include a means of excitation by electron beam injection to produce ions. This is sometimes referred to as a “soft ionization” type of source. An example of such a source is disclosed in U.S. Pat. No. 6,452,338, the entirety of which is also hereby incorporated by reference. An additional example of an ion source to which the present invention has application is an ion source that includes a means of microwave excitation to produce a plurality of ions. The ion beam 326 is extracted from the ion source 302 by electrodes 330 which are generally biased negatively with respect to the ion source 302. In addition to extracting ions from the ion source, electrodes 330 also serve the function of providing suppression of electrons which are attracted to the ion source 302 by its generally positive bias. Further on, the beam 326 encounters ground electrodes 332 and a subsequent aperture defined by plates 334 before entering the beamguide 336 and associated analyzer magnet 338. After being separated according to a desired mass-to-charge ratio in the beamguide 336 and analyzer magnet 338, the beam 326 then encounters another set of electrodes 340 before entering a resolving aperture defined by plates 342 that further separates out undesired species of ions. The beam 326 then encounters yet another set of electrodes 344 before being bathed in a plasma to neutralize space charge and neutralize charge build-up that would otherwise accumulate on a target workpiece. The beam 326 then impinges upon one or more workpieces (not shown) located within an end station 346. It will be appreciated that the beam current may be affected by many of the components of the system 300. For example, respective biases on the extraction suppression electrodes 330, ground electrodes 332, plates 334, electrodes 340, plates 342 and electrodes 344 can affect the beam current. Accordingly, the beam current can be modulated by selectively controlling one or more of the extraction suppression supply 350, floating ground supply 352, supply 354, supply 356, supply 358 and supply 360 which control the respective voltages applied to these components. It will be appreciated that while a combined set of extraction suppression electrodes 330 are discussed herein, the present invention contemplates separate sets of extraction and suppression electrodes having respective supplies that can be independently varied to alter the respective voltages applied to those electrodes. It will be further appreciated that the ground electrodes 332 are generally modulated with a voltage different from, but approximately zero, on average. This distinguishes the ground electrodes 332 from a general case of an electrode which may have some non-zero bias. The foregoing supplies can be controlled by a controller 364, for example, that takes readings from a measurement system 368 (e.g., that includes a Faraday cup) indicative of end of scan beam current during ion implantation, for example. Similarly, the controller can be operatively coupled to the source of neutralization plasma 370 to modulate the beam current by selectively regulating the amount of active plasma to which the beam 326 is exposed. Modulating the beam current via the source of plasma 370 may be more effective at lower energies (e.g., less than about 10 keV) since active plasma neutralization is typically not required for efficient beam transport at higher energies. It will be appreciated that the controller may also assist (e.g., the measurement system 368) in developing implantation waveforms as previously discussed, and may make use of such waveforms in facilitating selective adjustments to the beam current. It will be appreciated that the beam current can be modulated at one or more particular frequencies (e.g., in the range of about 1–1000 Hz) or over one or more dynamic ranges (e.g., of about 10–20% of the beam current). The modulation can also be done in an open-loop fashion, where initial measurements of beam current are performed prior to the implantation, instead of during. This would likely be based on some known non-uniformity in the system (e.g., where a particular beam current results in a predicable non-uniformity). It will also be appreciated that while one use of such modulation is to achieve a uniform dosage on a wafer, it could be used to achieve any predetermined dopant profile, where uniformity is a subset of the general case. Additionally, the beam current can be modulated to maintain or otherwise regulate a relatively constant beam current where the source output fluctuates. Thus, the beam current can be “trimmed” to lower the current where the output increases, or to increase the current where the output decreases. The current can be increased, for example, by diverting, releasing or redirecting some rerouted or previously intercepted or stored beam current. Referring now to FIG. 4, an ion implantation system 400 is illustrated wherein ion beam current may be modulated in accordance with one or more aspects of the present invention. The exemplary ion implantation system 400 is illustrated as having an ion source 402 for generating an ion beam 404. An extraction power supply 406 is included to bias a pair of extraction suppression electrodes 408, 410 (e.g., to a potential of approximately 20 kV) to accelerate the ions from the source 402 along a trajectory leading to an ion mass analyzing magnet 412. The magnet 412 bends the beam 404 at approximately a right angle and directs ions having an appropriate mass along a travel path through a shutter 414. The shutter 414 rejects ions having an inappropriate mass from the ion beam 404. The beam 404 then passes through a pair of deflection electrodes 416, 418. Control voltages applied to the electrodes 416, 418 by a control circuit 420 cause the ion beam 404 to pass through electric fields which deflect the ion beam 404 by a controlled amount. The magnitude of the voltage difference between the two plates 416, 418 controls the amount of deflection. A source of plasma 422 may also be included to bathe the beam 404 in neutralizing plasma to mitigate the number of positive charges that would otherwise accumulate on a target workpiece. A beam accelerator 424 is also included to redirect ions along a travel path generally parallel to the trajectory they follow as they exit the analyzing magnet 412. The beam accelerator 424 includes a curved metallic entrance electrode 426 and a plurality of spaced, parallel metallic plates 428, 430, 432, 434. After passing through the accelerator 424 the ions in the beam 404 have been both redirected to a desired trajectory and accelerated to a desired implantation energy. The beam 404 generally travels in a vacuum maintained within a beamline assembly 436. Downstream from the beam accelerator 424, an ion implantation station 440 includes one or more structures that support a semiconductor wafer 442 at a position to intercept ions that have been accelerated by the parallel plates 428, 430, 432, 434. Ion beam collisions with other particles degrade beam integrity so that the entire beamline assembly 436 from the source 402 to the implantation station 440 is evacuated by one or more pumps (not shown). At a region of the ion implantation station 440, a chamber 444 is similarly evacuated and the wafers are inserted into and withdrawn from load locks to avoid repeated pressurization and depressurization of the chamber 444. A mechanized arm 446 located outside the chamber 444 grasps a wafer supported on a shuttle 448 that has obtained the wafer from a cassette 450. The arm 446 first positions each undoped wafer on a wafer orienter 456. The orienter 456 rotates the undoped wafer to a particular orientation before ion implantation so that as ions strike the wafer they encounter a specific orientation of the wafer's crystal lattice structure. The wafer is then moved into a load lock 458 so that a second arm 460 can move the wafers to an implant position within the chamber 444. At the implantation site a wafer support 462 orients the wafer 442 with respect to the ion beam 404 at a specific tilt angle that remains substantially constant. The scanning electrodes 416, 418 produce side-to-side beam scanning of a controlled amount under the direction of the control circuitry 420. The circuitry may include, for example, a programmable micro-controller for adjusting the scanning electrode voltages to achieve desired wafer scanning. It will be appreciated that drive mechanisms (not shown) may also be attached to support 462 to facilitate additional relative motion between the ion beam 404 and the surface of the wafer 442. A measurement component 464 may be incorporated to monitor the beam current as the ion beam 404 is scanned across the wafer 442. This may include, for example, a Faraday cup and/or terminal return current, and can be utilized to increase or decrease the ion beam concentration based upon a desired doping level for the silicon wafer 442 at the implantation station 440. Once the wafer 442 has been doped by treatment with the ion beam 404, the wafer 442 is removed from the implantation station 440 by a third arm 466. This arm 466 delivers the wafer 442 to a load lock 468 so that a fourth mechanized arm 470 can transfer the wafer 442 to a shuttle 472 that moves the doped wafer 442 to a cassette 474. It can be seen that the entrance electrode 426 of the accelerator 424 is constructed from an arcuate conductor. This electrode 426 is generally maintained at the same potential as a housing 476 which supports the source 402, the analyzing magnet 412, and the deflection electrodes 416, 418. The second electrode 428 is positively biased by a high voltage power supply 478. The voltage difference between the curved electrode 426 and the first generally planer electrode 428 is maintained by a lens power supply 480. Representative voltages of approximately 60 kilovolts for the lens power supply 484, 20 kilovolts for the extraction power supply 406, and 120 kilovolts for the high voltage power supply 482 accelerate the positively charged ions to a final energy of approximately 200 keV. These voltages are appropriate for the illustrated implantation system 400 where the entrance electrode 426 has a radius of curvature of approximately 13 inches and which is spaced approximately 24 inches from the scan vertex at the position of the deflection electrodes 416, 418. An exemplary width of an entrance aperture defined within electrode 426 for this arrangement would be about 12 inches. To generate ions, it will be appreciated that the ion source assembly 402 can utilize a cathode to create an arc discharge, or RF or microwave excitation, or electron beam injection, for example, to excite free electrons in the interior of an ion generation chamber. The electrons collide with gas molecules injected into the chamber interior and ions are thereby generated. Ions may have an initial energy of between about 0.2 to 100 keV, for example, due in part to the effects of the extraction suppression electrodes 408, 410. It will also be appreciated that the ion source 402 may include a source magnet to assist with generating ions. In the example illustrated, the source magnet includes multiple components 482, 484 that may, for example, correspond to a yoke 482 and coil 484 of an electromagnet. A set of ground electrodes 486 and an additional set of electrodes 488 are also included close to the source 402 to help focus and shape the beam 404 before entering the analyzing magnet 412. Similarly, another set of electrodes 490, a set of plates 492 defining a resolving aperture and a subsequent set of electrodes 494 can be included to block spurious ions and provide focusing to the beam 404. A portion of the beam 404 can also be physically blocked to modulate beam current. For example, a mechanical structure (not shown) can be physically inserted (e.g., through a slit 496 in beamline assembly 436) to selectively block some of the ion beam 404. Moving the mechanical structure in a prescribed manner to physically intercept some fraction of the beam current otherwise passing by would achieve the effect of modulating the beam current. According to one or more aspects of the present invention, beam current can be modulated to achieve desired ion implantation by selectively controlling one or more components downstream of the ion source assembly 402, as well as one or more components more closely associated with the ion source assembly 402. For example, voltages applied to the deflection electrodes 416, 418, electrodes 490, plates 492 electrodes 494, and plates 426, 428, 430, 432, 434 of the beam accelerator 424 can be selectively regulated to modulate beam current (e.g., via a controller, a measurement component and implantation waveforms as discussed above). Similarly, the extraction suppression electrodes 408, 410, ground electrodes 486, electrodes 488, source magnet components 482, 484 and the neutralizing plasma source 422 can also be selectively adjusted to modulate the beam current. Additionally, these elements can be selectively adjusted alone or in combination to desirably modulate beam current. Turning to FIG. 5, a graphical representation 500 illustrates changes in beam current 502 as a function of changes in extraction suppression voltage 504 over time. It can be seen that changes in the beam current 502 closely track those of the extraction suppression voltage 504 over the approximately 100 second time period. In particular, the beam current 502 modulates between about 4.0E-03 to about 6.0E-03 Amperes while it tracks the extraction suppression voltage 504 modulating between about 7000 to about 10000 Volts. Similarly, FIG. 6 is a graphical representation 600 illustrating a functional relationship between beam current (y-axis) and extraction suppression voltage (x-axis). The plot 602 reveals that changes in the beam current in response to changes in the extraction suppression voltage are a little more dynamic for voltages between about 6900 to about 8500 Volts where the beam current rather linearly goes from about 4.0E-03 to about 6.0E-03 Amperes. When the extraction suppression voltage goes from about 8500 to about 10000 Volts, however, the beam current hovers right around 6.0E-03 Amperes, indicating a saturation type condition. It will be appreciated that the aspects described herein are equally applicable to primary electron beam current in “soft ionization” ion sources, RF or microwave power in RF or microwave ion sources, as well as to non-arc discharge sources. Although the invention has been illustrated and described above with respect to a certain aspects and implementations, it will be appreciated that equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In particular regard to the various functions performed by the above described components (assemblies, devices, circuits, systems, etc.), the terms (including a reference to a “means”) used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (i.e., that is functionally equivalent), even though not structurally equivalent to the disclosed structure, which performs the function in the herein illustrated exemplary implementations of the invention. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application. Furthermore, to the extent that the terms “includes”, “including”, “has”, “having”, “with” and variants thereof are used in either the detailed description or the claims, these terms are intended to be inclusive in a manner similar to the term “comprising”. Also, the term “exemplary” as utilized herein simply means example, rather than finest performer.
summary
summary
abstract
A containment device including a curtain that can be deployed from a first wound or folded position into a second unwound position, and a case for storing the curtain in the first position. The case is formed by a plurality of adjacent modules, the plurality of modules including active modules that are equipped with means for retaining and releasing the curtain and passive modules that are not equipped with means for retaining and releasing the curtain.
description
This application claims priority to U.S. provisional Patent Application Ser. No. 61/262,672 filed on Nov. 19, 2009, the content of which is incorporated herein by reference in its entirety. This invention was made with government support under Project ID Nos. W31P4Q-04-1-R002 and ND N66001-07-1-2019 awarded by DARPA. The United States government has certain rights in the invention. 1. Field of the Invention Embodiments of the present invention relate generally to the field of betavoltaics; more particularly to a semiconductor-betavoltaic apparatus, method of fabrication, and applications thereof; and, more particularly to a silicon carbide (SiC) betavoltaic apparatus, method of fabrication, and applications thereof. 2. Technical Background A betavoltaic battery consists of a semiconductor diode that is exposed to electrons emitted from a beta-emitting radioisotope thin film. The electrons penetrate the semiconductor material and generate electron-hole pairs by different ionization processes, which are collected across a built-in depletion layer electric field leading to current output with net power. Since the electrons are absorbed within a small absorption depth of only a few microns, a sufficiently large surface area of the exposed semiconductors is required, while maintaining high collection efficiency, to achieve high output electrical energy densities. With very high energy densities of 1-10 mJ/cc (compared to 1-20 kJ/cc for conventional electrochemical and hydrocarbon fuels), and a long half-life of 1-100 years, radioisotope fueled batteries are ideal for applications requiring compact, long lifetime power supplies, such as remote sensing and implantable devices. Furthermore, low energy β emitters (63Ni, 147Pm, 3H, etc.) have little or no safety concerns, and Promethium-147 powered betavoltaics have been implanted inside humans for powering cardiac pacemakers in the past. To achieve compact radioisotope batteries, the power density of the device should be as high as possible. The power output density of a betavoltaic battery can be expressed as follows:Pout=PfuelFFFηfuelηβ  (1)where Pfuel is the fuel power density, FFF is the fuel fill factor (volume percentage of the radioisotope fuel), ηfuel is radioisotope thin-film emission efficiency, and ηβ is betavoltaic conversion efficiency. Pfuel and ηfuel are determined by the radioisotope material. Higher energy β-emitting isotopes such as 137Cs and 90Sr have higher fuel power densities due to their high energy, but because these fuels emit very high electrons and significant x-ray flux, packaging volume increases significantly as shielding is needed, which decreases the overall power density of the battery. 63Ni emits β-particles with an average kinetic energy of 17.3 keV, with a penetration depth of less than 10 μm in most solids. As a result, devices powered by 63Ni thin-films, for example, can be deployed safely with millimeter or even microscale shields. Different techniques of improving the FFF of a betavoltaic battery by patterning and etching of its active device layers have been previously reported; however, in all reported cases, the leakage currents were significantly increased due to the damage to the semiconductor materials in the etching process. Hence very low conversion efficiencies have been experimentally reported, and overall power density has seen little or no improvement in actual devices made so far. The thicknesses of commercially available semiconductor (including but not limited to SiC and Si) wafers typically range from around 150 μm to 500 μm, where only the top approximately 20 μm is the active functioning region for a betavoltaic battery. Therefore, conventional planar betavoltaics may waste over 90% of their volume. Furthermore, in a planar device 50% of all of the electrons irradiated away from substrate are wasted. The inventors have recognized the advantages and benefits of a betavoltaic device and associated fabrication methods that overcome the shortcomings and disadvantages recited above, as well as others known in the art. A general embodiment of the invention is directed to a ‘very thin’ betavoltaic cell, with top and bottom metallization. In an exemplary aspect, SiC wafers are thinned to thicknesses comparable to electron absorption depths, for maximizing efficiency. It should be noted, however, that any semiconducting material that can sustain a depletion layer (including, e.g., but not limited to Si, GaN, InN, BN) may be used as a substrate material for the thinned-down betavoltaic device. The embodied architecture allows the radioisotope to be integrated in a planar way. According to an aspect, multiple very thin betavoltaic cells can be cascaded in parallel or series to generate higher voltage and power density such that once cascaded, very high fuel-fill efficiencies are possible. According to an exemplary embodiment, a betavoltaic device includes an N+ doped silicon carbide (SiC) substrate having a top surface and a bottom surface and a thickness tN+, between the top and bottom surfaces, where tN+ is equal to or less than about 100 micrometers (μm); an electrically conductive layer disposed immediately adjacent the bottom surface of the SiC substrate; an N− doped SiC epitaxial layer having a top surface, disposed immediately adjacent the top surface of the SiC substrate; a P+ doped SiC epitaxial layer having a top surface, disposed immediately adjacent the top surface of the N− doped SiC epitaxial layer; an ohmic conductive layer having a top surface, disposed immediately adjacent the top surface of the P+ doped SiC epitaxial layer; and a radioisotope layer disposed immediately adjacent the top surface of the ohmic conductive layer. According to various non-limiting aspects, the radioisotope layer may be 63Ni, 147Pm, or 3H, and have a thickness that is equal to or less than the self-absorption thickness of the radioisotope (e.g., about 2-3 μm for 63Ni). In an aspect, the P+ doped SiC epitaxial layer has a doping concentration equal to or greater than 1019/cm3 and a thickness that is equal to or less than about 250 nm. In an aspect, the N− doped SiC epitaxial layer has a doping concentration equal to or less than about 4.6E14/cm3 and a thickness that is equal to or less than the lesser of the diffusion length of the electron-hole pairs and the penetration depth of incident electrons. In various aspects, the thinned N+ doped silicon carbide (SiC) substrate has a thickness that is between about 2 to 50 μm and more particularly, between about 2 to 30 μm (limited by current wafer thinning technology). The dies may be etched to create individual devices. Another embodiment of the invention is directed to an electrically series-stacked betavoltaic device. The series-stacked device includes at least two betavoltaic devices as described above and, a positive electrode connected to a top or bottom of the stack and a negative electrode connected to a bottom or top of the stack, respectively. According to an aspect, a low melting temperature conductive adhesion layer of material such as a metal layer, for example, is disposed between the electrically conductive layer of the one betavoltaic device and the radioisotope layer of the other betavoltaic device. When the device is annealed in vacuum at the melting temperature of the adhesion layer, the layer will reflow and hold or bond the stacked devices together. In a non-limiting, exemplary aspect, the adhesion layer is aluminum having a pre-anneal thickness of about 50 nm. Another embodiment of the invention is directed to an electrically parallel-stacked betavoltaic device. The parallel-stacked device includes at least two of the betavoltaic devices as described above, disposed in an opposing, facing relationship in a parallel stack and, a positive electrode disposed on a side of the stack and connected to the electrically conductive layers in the stack and a negative electrode disposed on the other side of the stack and connected to the ohmic conductive layers of the stack. As in the series-stacked embodiment, the parallel-stacked device may include a low melting temperature conductive adhesion layer disposed between and contacting the electrically conductive layer of the first betavoltaic device and the radioisotope layer of the second betavoltaic device. A general embodiment of the invention is directed to a process for fabricating a very thin betavoltaic cell and, additionally, for cascading two or more very thin betavoltaic cells, resulting in cells that generate higher voltage and power density. According to an exemplary embodiment, a method for making a betavoltaic device includes the steps of providing an N+ doped SiC substrate having a thickness that is greater than about 150 μm; providing an N− doped SiC epitaxial layer on a top surface of the substrate; providing a P+ doped epitaxial layer on a top surface of the N− doped SiC epitaxial layer; providing an ohmic conductive layer on a top surface of the P+ doped SiC epitaxial layer; thinning the substrate from a bottom surface thereof to a thickness that is less than about 100 μm; providing an electrically conductive layer on the bottom surface of the thinned substrate; suitably annealing the device; and providing a radioisotope layer on a top surface of the ohmic conductive layer. External electrodes may then also be connected to the device. The device may also be etched to provide individual device isolation. In various aspects, more particular process limitations follow the structural parameters outlined above for the betavoltaic device embodiments. Additional features and advantages of the invention will be set forth in the detailed description which follows, and in part will be readily apparent to those skilled in the art from that description or recognized by practicing the invention as described herein, including the detailed description which follows, the claims, as well as the appended drawings. It is to be understood that both the foregoing general description and the following detailed description are merely exemplary of the invention, and are intended to provide an overview or framework for understanding the nature and character of the invention as it is claimed. The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate various embodiments of the invention, and together with the description serve to explain the principles and operation of the invention. Reference will now be made in detail to the present exemplary embodiments of the invention, non-limiting examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. FIG. 1 schematically illustrates a betavoltaic device 100 according to a non-limiting, exemplary embodiment of the invention. Betavoltaic device 100 includes an N+ doped silicon carbide (SiC) substrate 102 having a top surface 103 and a bottom surface 105. The device 100 further includes an N− doped SiC epitaxial layer 104 having a top surface 107, disposed immediately adjacent the top surface 103 of the SiC substrate; a P+ doped SiC epitaxial layer 106 having a top surface 109, disposed immediately adjacent the top surface 107 of the N− doped SiC epitaxial layer; an aluminum/titanium ohmic conductive layer 108 having a top surface 111, disposed immediately adjacent the top surface 109 of the P+ doped SiC epitaxial layer; an electrically conductive layer of Nickel 110 disposed immediately adjacent the bottom surface 105 of the SiC substrate 102; and, a 63Ni radioisotope layer 112 disposed immediately adjacent the top surface 111 of the ohmic conductive layer. Description of the Different Layers of the SIC Betavoltaic Device N+ Doped SiC Substrate 102 The SiC substrate layer 102 provides structural support when the overall thickness of other layers are very thin. It also serves to provide good ohmic contact with the metallized layer(s) with which it is in contact. The defect quality of commercially available, starting N+ doped SiC substrates (typically about 150 to 500 microns thick) is low. The high doping provides a low resistance in series with the diode, while not being used for its diffusion properties. The thickness of the SiC substrate layer 102 advantageously can be between a few (e.g., 2-3) microns to less than about 100 microns. In a particular exemplary aspect, the SiC substrate can be about 50 microns or less, and in another particular exemplary aspect, the SiC substrate can be about 30 microns or less. The SiC substrate can be polished by incorporating the wafers in a wax package. N− Doped SiC Epitaxial Layer 104 The width of depletion region is inversely proportional to the square root of the l n = 2 ⁢ ɛ s q ⁢ Φ o ⁢ N a N d ⁡ ( N a + N d ) where ln is the depletion width in the N− doped layer, ∈s is the semiconductor dielectric constant, φ0 is the build in potential, q is the elementary charge, and Na, Nd are doping levels in the P-doped region and N− doped region, respectively. A wider depletion region is desired because electron-hole pairs generated inside the depletion region are fully utilized for power generation due to the device electric field sweeping the electron-hole pairs to the two sides. The doping in layer 104 is chosen to be low (4.6×1014/cm3). Low doping results in a longer diffusion length, so electrons and holes can travel further without recombining. The film thickness of this layer is determined by the diffusion length of the electron-hole pairs and the penetration depth of incident electrons, whichever is smaller. If the film thickness is larger than the electron penetration depth, no electron-hole pairs will be generated in the extra thickness. If the film thickness is larger than the electron-hole diffusion length, even if there are electron-hole pairs generated in the extra thickness, they cannot diffuse to the depletion region to contribute to the power generation. For the doping concentration of 4.6×1014/cm3, the diffusion lengths for electrons and holes are over 40 microns, thus the film thickness will be limited by the incident electron penetration depth. For 63Ni, e.g., the penetration depth is less than 3 um, while electrons from 147Pm can, on average, penetrate 20 um. Extra thickness in this layer will add to higher series device resistance and higher cost due to the expense in requiring thicker N− doped epi films. P+ Doped SiC Epitaxial Layer 106 This layer is heavily doped (1019/cm3) to create a P+-N junction for the betavoltaic device. The epi-layer is grown using a seeded sublimation growth process with aluminum dopant added in the growth process. Comparing to acceptors such as boron and gallium, aluminum has lower ionization energy. The heavy doping also improves the ohmic contact with the metallization layer(s). As electrons pass through this layer, electron-hole pairs are generated. However, due to its high doping, the diffusion lengths of both electrons and holes are very short; together with the extremely small depletion width, most electron-hole pairs generated in this layer are recombined quickly without contributing to the betavoltaic power generation. Therefore, this layer should be as thin as possible while providing a high quality p-n junction. In a prototype device, layer 106 was 250 nm thick. Ohmic Conductive Layer 108 The ohmic conductive layer 108 provides an ohmic electrical connection between the P+ doped SiC epi layer and the outside electrode. Since electrons need to dissipate energy to get through this layer, it should be as thin as possible while providing good electrical connection. In an exemplary aspect, layer 108 is aluminum/titanium. To achieve ohmic contact with low resistivity in our prototype device, a film with 90 wt % aluminum and 10 wt % titanium was deposited on the P+ doped SiC epi layer and annealed at 1000° C. The film thickness was 250 nm. The Al/Ti layer can be replaced by other suitable metallization layers as understood in the art. Bottom Metallization Layer 110 Bottom metallization layer 110 provides electrical contact to the N− doped region of the betavoltaic device. The thickness of this layer may advantageously be up to one micron, such that it can provide a good electrical connection without adding excessive dead volume to the device. In our prototype device, bottom metallization layer 110 was chosen to be nickel, as nickel forms a good ohmic contact with N− doped SiC substrates. Radioisotope Layer 112 Radioisotopes, such as 63Ni, 147Pm, and 3H, for example, can be deposited in a thin film to provide an electron source for the device. The maximum thickness of radioisotope thin film layer 112 is determined by the self-absorption thickness of the radioisotope. If the film thickness is thicker than the self-absorption thickness, the electrons emitted from the extra thickness will be absorbed by the film itself and wasted as heat. For 63Ni, e.g., the self-absorption thickness is approximately two microns in SiC as calculated from Monte Carlo simulation. The radioisotope layer advantageously is directly in contact with the ohmic conductive layer 108 at all locations, and can be partially in contact with the diode layer. FIG. 2 schematically illustrates the process steps 132-142 for making a betavoltaic device 100 according to an exemplary embodiment of the invention. A commercially available N+ doped SiC substrate 102 was obtained. Since the SiC wafer substrate has too many defects to be the active device layer, a 19 μm thick N− doped (4.6×1014/cm3) SiC epitaxial layer 104 followed by a 0.25 μm thick P+ doped (1019/cm3) SiC epitaxial layer 106 were grown on the top surface 103 of the substrate as active device layers, as shown at step 132. The N− doped layer 104 was designed to be thick enough to collect most of the radioactive electrons. The P+ doped layer 106 has a much higher doping level than the N− doped layer to create a large voltage across the depletion region. At step 134, an Al/Ti metal ohmic conductive layer 108 was deposited on a top surface of the P+ doped SiC epitaxial layer and annealed (rapid thermal annealed). As shown at step 136, the N+ doped SiC substrate 102 was thinned from a bottom surface thereof to a thickness tN+ less than about 100 μm. In our prototype device/process, the substrate 102 was thinned from an initial thickness of 280 μm to 50 μm. Thinning to 30 μm or less may be advantageous but limited by the ability to physically thin the substrate in the range of about 3 to 50 μm. At step 138, an electrically conductive layer 110 of Nickel was deposited on the bottom surface of the thinned substrate and suitably annealed. At step 140, the device was etched to define the areas of individual devices. At step 142, a thin film 63Ni radioisotope layer 112 was deposited on the top surface of the ohmic conductive layer 108. Testing and Performance Energy conversion characteristics of regular thickness SiC betavoltaics were first measured under electron irradiations from a 63Ni source, which has a radioactivity of 1.5 mCi/cm2. The I-V curves of a device with 1 mm×1 mm area are plotted in FIG. 3. Under electron irradiation from 63Ni, the device has a short-circuit current of 300 pA with an open-circuit voltage of 1.9 V. An ultra-high conversion efficiency of 22.3% was achieved (341 nW of output power vs. 1.53 nW of input power at 1.76 V), which is almost four times the previous best results as reported by Chandrashekhar, M. V. S., Thomas, C. I., Li, H., Spencer, M. G., Lal, A., Demonstration of a 4H SiC betavoltaic cell, Applied Physics Letters, 91, n 5, 2007, p 053511. The betavoltaic devices were further characterized in a scanning electron microscope by irradiating them with 20 pA-2 nA electron beams (corresponding to ˜3 mCi to ˜300 mCi of radioactivity) accelerated at voltages up to 30 kV (SEM limit). The conversion efficiency of the device was low at low electron energies, as shown in FIG. 4. This was due to the energy loss for electrons to go through the heavily P-doped SiC carbide layer, where the electron-hole pairs generated by the incoming electrons are quickly recombined. As the electron energy increases and more electrons reach the depletion region, the percentage of the energy absorbed without electron-hole pair generation in the P-doped SiC decreases. Therefore, the conversion efficiency increases until it reaches the maximum efficiency for the betavoltaic device, which is 23.6%. Further increases of the electron energy could lead to decreases in the overall conversion efficiency if the electron penetration depth in the SiC is larger than the electron-hole diffusion length in the low N− doped epitaxial layer. The electron-hole pair (EHP) multiplication factor (number of EHPs generated per input electron) is plotted in FIG. 5. A near straight line at high energies indicates that the device could work at even higher input electron energy (>30 keV) with the same efficiency. Therefore, 147Pm, which has a higher average electron energy (62 keV) and higher power density (2.05 W/cc, compared to ˜13.4 mW/cc for 63Ni) could be used as a radioisotope source to further increase the power density of the betavoltaic battery. To demonstrate the thinned-down betavoltaic device according to the embodied invention, a 1 cm×1 cm, 280 μm-thick SiC betavoltaic die was thinned down to 50 μm from the backside of the substrate. The thinned-down prototype gave a more than 4× improvement on the FFF of the devices. The thickness of the devices could have been further thinned down to 30 μm (limited by the currently available SiC wafer thinning technology), which would provide a FFF improvement of 8×. The thinned-down SiC betavoltaic was tested under 63Ni irradiation, and an 11.2% conversion efficiency was achieved, as shown in FIG. 6. The reduced efficiency was due to the lack of protection for the P+ doped epitaxial layer in the wafer thinning process. The damage to epitaxial layers causes a higher leakage current, which lowers the open-circuit voltage and the conversion efficiency. With a carrier wafer to protect the epitaxial layers in the wafer-thinning process, a conversion efficiency of 22.3% is expected for the thinned-down SiC betavoltaics with 63Ni irradiation. A power density increase of 170% was achieved with our prototype device. FIG. 7 schematically shows an electrically-parallel stacked betavoltaic device 700 according to an exemplary embodiment of the invention. The parallel stacked betavoltaic device 700 is made up of at least two betavoltaic devices 100-1, 100-2 that are disposed in an opposing, facing relationship in a parallel stack. A positive electrode 705 is disposed on a side of the stack and connected to the electrically conductive layers in the stack and a negative electrode 709 is disposed on the other side of the stack and connected to the ohmic conductive layers of the stack. In an exemplary aspect, an adhesion layer 711 is disposed intermediate and contacting the electrically conductive layer of the first betavoltaic device 100-1 and the radioisotope layer of the second betavoltaic device 100-2. The adhesion layer can be a thin (e.g., ˜50 nm) layer of low-melting temperature metal such as aluminum that is deposit post annealing. The devices can then be stacked, clamped, and annealed at the melting temperature of the adhesion metal (e.g., 660° C. for Al) in vacuum. The adhesion metal layer will reflow and hold the individual layers together. The metal electrodes 705, 709 are then connected on the top and bottom of the stack for power output. FIG. 8 schematically shows an electrically-series stacked betavoltaic device 800 according to an exemplary embodiment of the invention. The parallel stacked betavoltaic device 800 is made up of at least two betavoltaic devices 100-1, 100-2 that are disposed in a series stack. A positive electrode 805 connected to the top or bottom of the stack and a negative electrode 809 is connected to the bottom or the top of the stack. In an exemplary aspect, an adhesion layer 811 is disposed intermediate and contacting the electrically conductive layer of the first betavoltaic device 100-1 and the radioisotope layer of the second betavoltaic device 100-2. The adhesion layer can be a thin (e.g., ˜50 nm) layer of low-melting temperature metal such as aluminum that is deposit post annealing. The devices can then be stacked, clamped, and annealed at the melting temperature of the adhesion metal (e.g., 660° C. for Al) in vacuum. The adhesion metal layer will reflow and hold the individual layers together. Table 1 presents a table of power density values for various device parameters as listed. TABLE 1Radioisotope3H63Ni147PmPower/Curie (μW/Ci)29.6100.6373Specific Power density (mW/cc)14.813.41212Film Thickness (μm)—210Emission efficiency/side—  40%  40%Radioactivity/area (Ci/cm2)0.5——assumption for 3H onlyPower density (mW/cm2)0.0150.00110.48Betavoltaic Efficiency23.6%23.6%23.6%Power output/layer (mW/layer)0.00352.6E−40.11Layer thickness (μm)305030030503003050300Power Density (mW/cc)1.150.70.110.080.050.00836223.6 Although the exemplary embodiments and aspects of the invention were described for SiC, a person skilled in the art could fabricate a betavoltaic device according to the embodied invention using any semiconductor material that can sustain a depletion region, including adjusting doping concentrations appropriately. All references, including publications, patent applications, and patents cited herein are hereby incorporated by reference in their entireties to the same extent as if each reference were individually and specifically indicated to be incorporated by reference and were set forth in its entirety herein. The use of the terms “a” and “an” and “the” and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms “comprising,” “having,” “including,” and “containing” are to be construed as open-ended terms (i.e., meaning “including, but not limited to,”) unless otherwise noted. The term “connected” is to be construed as partly or wholly contained within, attached to, or joined together, even if there is something intervening. The recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (e.g., “such as”) provided herein, is intended merely to better illuminate embodiments of the invention and does not impose a limitation on the scope of the invention unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. There is no intention to limit the invention to the specific form or forms disclosed, but on the contrary, the intention is to cover all modifications, alternative constructions, and equivalents falling within the spirit and scope of the invention, as defined in the appended claims. Thus, it is intended that the present invention cover the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents.
description
This application claims priority from PCT Application Serial No. PCT/US07/81163 filed Oct. 11, 2007 and U.S. Provisional Application Ser. No. 60/828,977, filed Oct. 11, 2006, the contents of each of which are incorporated by reference. FIG. 1A 1. Field of the Invention The present invention relates to a short use or disposable protective system for ready use for adaptive medical x-ray imaging. More specifically, the present invention relates to a system and method for enabling short use readily adapted pouch-based garments with removable and reusable shielding to enable various imagery positions in a window-capable format. 2. Description of the Related Art Referring now to FIG. 1, the related art involves a multiplayer lead-containing sheet 105 that is draped over a user's body during X-ray radiation imagery to restrict the passage of X-rays (or other high-energy electromagnetic waves) to unintended portions of the body. Sheets 105 contain an outer nylon layer on each side 102, 202 bounding one or more flexible lead-containing thin sheets 100, and bound at a perimetral location by a binding 103. Nylon outer layers 102, 102 are commonly woven and impregnated with a water-proof or fluid-resistant coating so that when sheets 105 are washed between uses, the water or sterilizing fluid employed does not penetrate the multi-layer lead sheet construction and build-up there within to the detriment of the assembly. The thin sheets 100 of lead and the use of multiple layers allows sheet 105 to be somewhat flexible and they can slide slightly relative to each other, allowing some relative movement between sheets despite the edge binding 103, but overall the item itself is heavy and awkward to use and difficult to position, particularly in larger sizes, in emergency-treatment-type situations, or during transportation circumstances, and generally wherever an individual is injured and unable to be conveniently covered. This type of construction provides several detriments to user safety, including the relative inflexibility that leads to coverage gaps in the X-ray protection, and difficulty in cleaning between uses that may result in biological contamination or transfer between users. A similar detriment to the present constructions is the difficulty in positioning the conventional shield 105 on children or adults with non-standard body types or physical disabilities (deformities, sever injuries, obesity, immobility, pregnancy, tiny-size (infants) etc.). This form of detriment is even more critical when using X-rays to image a patient's most radiation sensitive regions close to or including a spine, skull, chest, hips, thyroid, glands, brain, organs, eyes or other regions that contain X-ray sensitive soft-tissue items. In a related note, one of the difficulties in properly shielding patients from high energy imaging X-rays is the backscatter or bounce-back effect. This effect is best understood with the following example. A patient is positioned on a metal support surface (a conventional X-ray imaging table), and a heavy conventional shield is draped over (for example) their chest region. The imagery goal in this example is to produce an X-ray image of a left hip joint so that the conventional shield crosses the patient's pelvis at an angle to the spine exposing the left hip joint and just covering the right hip joint and one hopes the user's radiation-sensitive reproductive organs. The film is positioned below the user's pelvis at an angle. Upon the delivery of X-ray energy to the left hip joint region, the film is exposed but the patient actually receives a greater dose of X-ray energy then the film since a portion of the energy is either blocked by the patient's flesh and bone, reflected from the support surface back into the soft tissue (a “double-hit”) causing additional harm and what will be referred to as low-dose X-ray exposure even in the regions visually blocked by the conventional shielding. As an additional detriment, this form of low-dose X-ray exposure may be of little importance in one-time exposure for an adult past child bearing years but may have a material and detrimental impact upon a growing child, a pregnant women, or a adult of reproductive years; particularly where that user requires repeated exposure—for example during multiple corrective spinal treatments, during cancer treatments, or during treatment for a skeletal deformity. In sum, the medical profession has not appreciated the need to both compartmentalize and to minimize this low-dose exposure and has yet provide a flexible system that will work for all patients and is adaptable to surgical and medical requirements. In a similar concern, certain forms of X-ray or other electromagnetic-wave imagery may require higher-than-normal levels of exposure for a patient in order to generate film clarity. This type of situation is often required where a patient has received facial injury or soft-tissue injury and a higher radiation dosage is required to illuminate the soft-tissue differences. In such circumstances, a physician may require a higher form of radiation that requires a corresponding increase in patient protection. Similarly, as introduced above, emergency medical care is seldom neat and clean for all its life-saving result. This is particularly true in high-volume hospital emergency room environments or in, military treatment or battle-close environments where rapid diagnosis is critical, wounds are massive, bodily fluids are on all surfaces, and treatment professionals are repeatedly exposed. In these types of high-activity environments, X-ray imagery is often provided with minimalist efforts of protection (to either the patient or the staff for good medical reasons or needs involving swiftness of diagnosis) and priority is given to diagnosis not ultimately cleanliness (also for good medical reasons). Unfortunately, both situations often result in the re-use of biologically contaminated conventional shields and undue-amounts of damaging radiation. Some single-use protective shields have been suggested in the art. For example, Servant (U.S. Pat. No. 5,247,182) provides a belt-on shield to protect a user from diverse-in-line radiation. As disclosed, the belt-on shield is a layer effective to attenuate energy to the gonadal region of a user (disclosed as an X-ray operation technician). Servant responds solely to the needs of a user receiving direct radiation from a front-wise direction and obviously fails to recognize the need to protect the testis of a male user from non-direct radiation or either hip-joint and the soft tissue therein. Similarly, Servant fails to supply any form of protective and disposable cover, or the need to secure the shield to the patient other than by gravity via the belt support. Also illustrative of the earlier failures in the art is Greenwood (U.S. Pat. No. 3,310,053) that includes a form of elastomeric girdle worn by female X-ray technicians and including a pocket for receiving a flat rigid plate member so that users may continually operate in a somewhat protective manner throughout the work cycle so as to minimize unintended X-ray (or gamma-ray) radiation exposure. What is not appreciated by the prior art is the need for a disposable shield cover to minimize biological contamination between patients and to enhance flexibility of use. The related art also fails to appreciate the need for a pre-packaged kit containing specific shielding items responsive to a particular medical need, wherein such a kit may be prepared and transported in a clean and a pre-packaged manner to minimize contamination. Also related is the need for a disposable and protective supporting garment that may readily accept previously-used radiative shielding and position it effectively to both shield a patient and prevent biological contamination between prior, present, and future users. What is also not appreciated by the prior art is the need for a shielding system that is readily customizable to emergency or military-type care or to greatly differing body styles. The related art has also failed to appreciate the detrimental impact of high-energy scattered radiation on patients and the need for shielding that is responsive to particularized imaging scenarios; for example shields specific to a bikini/brief need, an ovary/uterine need, a ½ chest need, a thyroid protection need, a particular spine or head protective need, a minor child protective need, and those who are obese or who are unable to assume common imagery body positions as a result of injury or physical detriment or deformity. The related art similarly fails to appreciate the benefit of shield layering for increased movement or the need to protect patients from back-scattered or reflected radiation off a patient support surface. Accordingly, there is a need for an improved disposable system and method for adaptive radiation protection that appreciates at least one of the challenges noted above. An aspect of the present invention is to provide a disposable system and method for adaptive radiation protection that responds to at least one of the needs noted above. Another aspect of the present invention is to provide an adaptive shielding system that may be readily distributed in a kit form with variable layers of shielding protection (for example, a base layer for common use, and an additional layer where higher dose radiation is needed required for imagery). Another aspect of the present system is to provide a garment that is easily fitted and modified to non-standard users, such as children, the disabled, or obese. Another aspect of the present invention is to provide a garment comprising a disposable sheet material having at least one system for coupling a shielding protection member to the sheet material. The present invention relates to a window-capable disposable system and method for adaptive radiation protection that provides a disposable outer covering for securing one or more inner-relatable or overlapping flexible radiation shield members. Features allow conveniently securing multiple layers of shields and providing pre-selected shielding kits for specific uses. Variants provide adaptive shielding sheets that may be customized to a particular patient need. According to an embodiment of the present invention there is provided a protective shielding garment for protecting a user receiving high energy radiation, comprising: a disposable member layer, means for positioning the disposable member layer in a user protective position prior to receiving the high energy radiation, and at least one bounding pocket in the member layer for receiving a first shielding member there within. According to another optional embodiment of the present invention there is provided a protective shielding garment further comprising: means for securing an additional adaptive shielding member to an external region of the disposable member layer, whereby a shielding capacity of the garment may be increased a medically-desirable amount. According to another optional embodiment of the present invention there is provided a shielding system, comprising: a first pocket member constructed from a short use material, and at least a first radiation shielding member removably positionable in the first pocket member, whereby the shielding system enables selective disposal of the first pocket member after use while protecting the shielding member for later reuse. According to another optional embodiment of the present invention there is provided a protective shielding station, comprising: a defined region for storing a plurality of kit members, each the kit member further comprising: means for securely containing a protective shielding garment system in a sterile environment prior to an opening of the kit member, each the protective shielding garment system, further comprising: a disposable member layer, means for positioning the disposable member layer in a user protective position prior to receiving the high energy radiation, at least one bounding pocket in the member layer for receiving a first shielding member there within, and means for securing an additional adaptive shielding member to an external region of the disposable member layer. According to another optional embodiment of the present invention there is provided a shielding system, comprising: a sheet good containing a plurality of spaced securing regions on a surface thereof, the sheet good including a plurality of separation regions defined between respective the plurality of spaced securing regions, a plurality of individual shielding elements shaped for reception at the spaced securing regions, and at least one of the separation regions including a removal force reduction means for easing a separation of a first portion of the sheet good from a remainder portion of the sheet good, whereby the shielding system is readily adaptable to a variety of shapes. According to another optional embodiment of the present invention there is provided a shielding system, wherein: the sheet good is one of a disposable and a non-disposable sheet good. The above, and other aspects, features and advantages of the present invention will become apparent from the following description read in conduction with the accompanying drawings, in which like reference numerals designate the same elements. Reference will now be made in detail to several embodiments of the invention that are illustrated in the accompanying drawings. Wherever possible, same or similar reference numerals are used in the drawings and the description to refer to the same or like parts or steps. The drawings are in simplified form and are not to precise scale. For purposes of convenience and clarity only, directional terms, such as top, bottom, up, down, over, above, and below may be used with respect to the drawings. These and similar directional terms should not be construed to limit the scope of the invention in any manner. The words “connect,” “couple,” and similar terms with their inflectional morphemes do not necessarily denote direct and immediate connections, but also include connections through mediate elements or devices. Referring now to FIG. 1A a short use brief system includes a brief body 5 constructed from a single-or-low-use-capable disposable material such as non-woven and non-absorptive Tyvek®-type material having an elastic waist band member 60 or strap operating as a fitting aid member, and a plurality of attachment regions 6, to enable windowing as will be discussed. A pocket defining member 4 is constructed over a genital region of the user and extends downwardly from a location approximate the hip level to between the user's legs so as to slidably receive a flexible and removable shield member 4. As discussed elsewhere, here the user is a young boy interposed between an X-ray or radiation source 1 and an imaging target 2. In this image it is proposed that the short-use or disposable briefs 5 are more readily worn by children due to the size difference, their similarity to existing children's underwear, and the generally uncooperative nature of children to remain quiet during imaging. Referring now to FIG. 1B, brief assembly 5 having pocket 6 and attachment locations 6 now slidably receives a securely releaseable three dimensional shield member 3A which is shaped and sufficiently flexible to enclose the user's genitals. The present depiction notes the use of a rear-pocket (shown in shadow) receiving a flexible rear shielding member 3 (like the front shield in FIG. 1A) to minimize the impact of reflected radiation through a user's body. This embodiment notes the inclusion of a second layer of adaptive shielding members 3A′ and 3B having joining members 6 for attaching to corresponding attachment points 6 on adaptive shielding members. As noted, adaptive shielding member 3B includes a wide side-hip-extension 37 that readily extends to cover and protects a child's hip joint while allowing imagery freedom of the opposite hip joint. As a consequence, a windowing-ability relative to an imagery of, for example a hip joint, reduces a patient's exposure to both direct radiation, and reflected radiation via rear shield 3A′. Referring now to FIGS. 1C and 1D, alternative flexible shielding members 3, 3A′ are disclosed with cut-away portions I from FIG. 1A. In each circumstance a polymeric coating 7 is provided for complete water-proof protection of inner shielding layers 8, and is sealed proximate an outer edge along a heat-seam 9. One aspect of the present invention is noted in these two figures; namely, the use of flexible layering of shielding. For example, a very flexible single sheet shield 3 may be slipped with pocket 4 to provide better protection then without protection at all where a child rejects the use of a bulky monolithic shield. Where the X-ray imagery is close to the user's briefs an additional layer of a less flexible shield 3′ is included via the plurality of attachment points 6, 6 or Velcro sheeting. As noted below, one particular improvement over the related art is the generation of sealed shields that are fully capable of rapid sterilization with washing, the use of high temperature autoclave, or other means previously lacking within the art. Similarly, while the present system is not limited by shield size, it is recognized that generally smaller sized shields may be more readily cleaned and sterilized. In contrast, many of the conventional shields are simply too large and unwieldy to readily clean. Additionally, while the present system does not require sealed shields, and may be employed with conventional shielding materials reduced in size, such a use fails to achieve the optimal conditions available. As similarly noted below, the present embodiment is shown with disposable or single-use briefs constructed from a non-absorptive Tyvek-like or nylon material or other non-fluid absorptive material that may be removed in a sterile condition from a prepared ready-pack and combined with a previously used shield and directly contact the user's private regions without worry of cross-user-contamination, loss of bladder-bowel control, or bleeding injury, as both the user's skin and the previously used shield are maintained separately. Referring now to FIGS. 1E and 1F, an alternative brief assembly 5A is provided on a user. In this construction, brief assembly 5A is formed as a diaper and may be similarly combined with absorptive padding for use with incontinent patients or those patients with rectal bleeding or vaginal discharge, sexually transmitted diseases, etc. Front and rear receiving pockets 4, 4 serves as a means for positioning first level shielding 3A, as shown. Brief assembly 5A is similarly constructed in the manner noted above, but here may be easily positioned on a patient who cannot otherwise pull briefs or bikini's over their legs. Side straps 10, 10 joint fixing points 6 on the sides to secure assembly 5A. It is noted, that front first layer 3A as shown is provided with a hip extension member 36 that projects out of front pocket 4 to cover a patient's right hip. As is also provided a secondary shielding layer 3B includes an inner surface Velcro member and joins brief assembly 5A at fixing points 6 on the front portion, as shown. In this manner, those of skill in the art will recognize that both inner or first layer shields 3A may be adapted in differing shapes as well as outer layers 3B so as to allow a physical or trained user to tailor the shielding system to the degree of radiation protection and geometry required. As an additional feature, it is readily noted that rear first layer 3A is formed in a differing geometry from front first layer 3A so as to adapt to the pocket construction of strap on brief 5A. Referring now to FIGS. 1G and 1H, a user is shown wearing either type of brief system 5, 5A with inner layer shieldings 3A in place as a precursor situation. As shown the patient is prepared for imaging a right side hip joint and so requires additional layers or shielding to protect the left side hip joint or lower abdomen. As a result, adaptive secondary shielding members formed as shielding wraps 11, 11B are fixed to brief system 5, 5A via attachment points 6 using motion A into an adjustment position with an edge along a user's torso allowing imagery of only the hip joint. This is a form of windowing the image region in the patient while minimizing exposure of adjacent sensitive regions. As is noted in FIGS. 1G and 1H, pocket-based or pocketed shielding wraps 11, 11B may assume adaptive shapes suited to a medically required geometry and may be removably or permanently affixed to either reach other or to an underlying disposable support garment without departing from the spirit and scope of the present invention. Referring now to FIG. 1I, an alternative a brief or bikini form 5B is provided in a manner similar to FIG. 1F, wherein here pocket 4 extends continuously through a users legs front-to-rear and will accept receipt of a flexible and formed first layer shield 3B. What is noted here, is that during adjustment to the patient via side straps and Velcro® portions, an elastic or expansive joint 12 is provided on either strap, and that multiple additional attachment points are established for affixing additional pocketed shielding members. During assembly, particularly with small children comfortable with the present diapers known to those of skill in the art, a user may simply pull side straps into position and allow elastic joint 12 to retain system 5B in secure position during use. The use of such a diaper shaped embodiment is particularly suited to injured patients who are very young or inconstant. Referring now to FIGS. 2A, 2B, and 2C, a bikini type system 5C and 5C′ is proposed for mature female users, or those who are disabled, so as to cover a female user's ovaries and reproductive organs in a convenient manner. As noted before with brief constructions 5 (FIG. 1A), 5A (FIG. 1E), bikini system 5C and 5C′ are differently formed from short-use type materials and include front and rear pockets 4 for receiving flexible inner shields 3. A plurality of external attachment or connection portions 6 allow attachment of and removably engage secondary layer shields 3B, 3B via motions A, A so as to provide an enhanced shielding effect. As is noted above, both shields 3 and 3B may be adaptively shaped to protect one or both adjacent hip joints. Referring now to FIG. 2D, target 2 is positioned below a users hips, spanning both hips. It is desirable in this circumstance to only image the external portions of the users hips while protecting the reproductive organs and the torso region. Here, while imaging both hips it is necessary to “window” both hip joints by securing a central shield 3B to a bikini 5C while simultaneously securing a third protective layer 3C to an external fixing portion 6 on bikini shield 3B. In this manner, the patient is well protected and the shielding is held securely in place by the user-containing bikini 5C which fixes both shields 3B, 3C is position. The resultant image allows the use of the required radiation and generates views of both hip joints with unduly exposing the patient's soft tissue to damage. Referring now to FIG. 2E where a more complex windowing assembly is provided according selected aspects of the present invention. As shown, it is desired to image a pregnant patient's right upper shoulder. While not shown, the user is wearing bikini system 5C below the curve of the projected belly. A curved removable shield 3 is formed from a pocket of material 4 having capacity slightly larger than prepared shielding member 3B. Pocket 4 in this situation is loose and contains bottom fixture location 6 (Velcro®) on a top (shown) and bottom underside (not shown) region. A separate pocket member 4 shaped as a shoulder guard member is similarly provided with a bottom underside fixture location 6 (shown) so as to fixably engage the top fixture location 6 on the belly pocket 4 to prevent slippage during patient movement. As shown shoulder guard pocket 4 contains a rectilinear shield member 3B so that from a front position, the patient is covered allowing a “window” for imaging the upper right shoulder. Additionally, as will be discussed in greater detail, a bottom guard member formed from a single roll 29A is formed having a plurality of adjacent pockets 4 for receiving respective pluralities of shields 3A in an overlapping manner. As is noted, single roll shield 29A is drawing in direction D upwardly until reaching the shoulder region along the patient's dorsal side so as to minimize the impact of scattered radiation. Referring now to FIG. 3A, a neck shield 13 is provided forming a pocket 4 having an elongated shape for receiving a segmented shielding member 3D retained in position about a user's neck via a strap 14 engaging respective securing Velcro members 6, 6, as shown. This embodiment responds to a need for protecting a use's thyroid and vocal region from high energy (X-ray or gamma ray) radiation. Additionally referring now to FIG. 3B, a vest assembly 15 is provided having a front and back region joined by shoulder straps bounding a neck opening, as shown. Side straps 10, 10 under each arm joint respective front and back regions to secure assembly 15 on the user as shown. Similarly a brief assembly 5′ is worn by the user constructed in a manner similar to boxer-type shorts. A plurality of differently sized and positioned pockets 4 are located on vest assembly 15. In this circumstance it is desired to image the user's left shoulder and so pockets 4 are positioned along the right shoulder strap and the central chest region for receiving appropriately sized shielding layers 3, 3A. Also noted is that an external front bottom portion of vest assembly 15 is provided with attachment features 6 allowing the inclusion of skirt-shaped pockets 4 for added protection. Referring now to FIG. 3C an alternative vest construction system 15A is provided in a form having front and rear portions joined by shoulder straps as shown. While construction is similar to system 15 noted earlier, the detail noted here is the position of an external pocket 4 shaped to span between the shoulder straps and engage respective Velcro® regions 6 while retaining an appropriately sized shielding member 3A. As shown, the imaging goal here is the central upper chest of the user, and so neck member 13 is positioned and vest construction system 15A is adopted to provide an imaging window bounded generally protective shields retained in pockets or sleeves. Referring now to FIG. 4A, an imaging goal is the central nasal and through region of a user. Here a dickey or upper shoulder system 16 is combined with a headband system 17 for supporting a plurality of shield members in a windowing manner as will be noted from the image. Dickey system 16 includes a central front pocket member shown at 4 for containing a removable shield member 3A. Head band 17 includes a Velcro® outer portion (as shown) that engages and suspends left and right side partial-arc shields 18, 18′ enclosed within respective pocket portions 4, 4. As will be appreciated from the present construction, arc shields are easily repositionable or overlap-able so as to section or “window” a portion of a user's head to minimize damaging radiation exposure. In the variant system shown, dickey system 16 includes shoulder joints 23, 23 that engage and retain partial-arc shield pockets for stability. Referring now to FIG. 4B, an alternative system, referred hereinto as a half-poncho system 19 includes a plurality of pockets that enable imaging of a user's left shoulder. A series of appropriately shaped pockets 4 contain a flexible, wrap-around shield 3B. Used concurrently with neck shield 13 and a face-extension shield system 35 extending there from, the user's shoulder is “windowed” for imaging while minimizing user exposure. As shown, face-extension shield system 35 consists of an extending semi-rigid member 18A fixed to an external Velcro® fixture portion 6, as shown. Shield system 35 also includes a flexible shield pocket member 35A (shown folded back at F) constructed as a pocket 4 containing a shield (not shown) and joined along rigid member 18A. During use, it will be appreciated by those of skill in the art, that shield system 35 may be folded back to cover the user's face to provide greater protection. Of course, following use, each shield member may be removed from their respective pockets 4 for cleaning and pocket materials and half-poncho system 19 may be simply discarded for cleanliness. Referring now to FIGS. 5A and 5B, an adaptive skull protection system 21 is provided in combination with neck protective system 13. Here, it is desired to image a user's left facial side/ocular region, and accordingly, skull protection system includes a head support member 21A and a support strap 22 reaching between corresponding engaging regions 6. A head-top pocket 4 contains a shielding member 3B and is similarly joined to respective engaging regions 6 on head support member 21A. A side shield member 18′, introduced earlier is similarly joined and spans between skull protection system 21 and neck member protection system 13, as shown. Semi-poncho system 19 is similarly provided with a plurality of overlapping shielding members 3B. Additionally provided are X-ray protective lead goggles 20 having a narrow profile for only protecting the user's eye ball (similar to sun-tanning-type goggles). In this manner it will be appreciated that combining protective shielding systems enables variable windowing options for imagery without departing from the scope and spirit of the present disclosure. Referring now to FIG. 6A, a coat type shielding system 25 is proposed having arm openings and arc-shaped body coverings bounding front and rear slits 38, 38 with top and bottom securing string members 26. Coat system 25 allows ready imaging and windowing of a user's spinal column while providing numerous pockets 4 for containing overlapping shielding members 3A. As will be appreciated in this context, each shielding member 3A is longer in dimension then each respective pocket 4, so that when all pockets 4 are filled with shields 3A a continuous, yet flexible, shielding barrier extends on both sides of a user's spine. To employ shielding system 25, a user inserts arms through sleeve openings and secures strings 26, 26. Referring now to FIG. 6B it will be appreciated that coat type shielding system 25 may be combined with other herein-described systems, including neck shielding system 13, brief shielding system 5, and semi or partial poncho system 19. In the view provided, the overlapping nature of shields 3A is clearly visible, and in combination with the other shielding systems employed provides a ready “windowing” of a user's central spinal region. Thus, it will be appreciated that combining aspects of the present short use system while convenient for cleaning and adaptive to emergency use, are also readily combinable to address a common medical imaging need. Similarly, this type of combination may be commonly prepared in a pre-staged kit form to address a repeated imaging need. Referring now to FIG. 6C a front draping shield system 25A is formed similarly to coat type system 25, but provides only narrow front support panels for carrying pockets 4, as shown. This present draping shield system is proposed for those patients who may have physical difficulty when dealing with arm openings or who have a limited range of arm movement. Similarly, draping shield system 25A may be readily placed on a reclined patient for spinal imagery without having to move the patient. Referring now to FIG. 6D, a variant of the above design is shown as front draping shield system 25B wherein pockets 4 are combined into one extended support pocket 4 for receiving an extended flexible shielding member 3A retain-able on Velcro® fastening regions 6. System 25B is proposed for specialized use where a medical office requires frequent spinal imagery and seeks to minimize the time repositioning shielding elements 3A. Referring now FIGS. 7A and 7B a full-poncho shielding system 27 is provided having a complete front and back portion joined by shoulder regions, as shown. A plurality of pockets 4 are positioned on both front and back regions and allow for overlapping of shielding members 3A. An overlapping portion 28 is noted specifically in FIG. 7B. It will be appreciated that for select individuals, for example those requiring wheel chair assistance and support, full-poncho system 27 provides a substantial advantage. As noted in FIG. 7B, a patient's left shoulder region is exposed for imagery within a window by removing (or not inserting) a left-shoulder shield 3A and installing a neck shielding system 13. Those appreciating the disclosure herein will similarly recognize the capacity to “window” other regions of the user by repositioning shield members 3A. Referring now to FIG. 8A, an adaptive shielding system 29 is provided in the form of a continuous tear-off-type roll or sheet stock pivotable about an axis 33. Shielding system 29 is provided with a series of perforations 31 interposed with respective pockets 4 and open regions 30 covered by overlapping portions 28 of shield members 28 when in use. A plurality of attachment points 6 are distributed on pockets 4 and on sheet material open regions 30 so as to allow ready positioning prior to use. System 29 may be optionally provided with rolls 32 of variously selected engagement members such as Velcro® straps 6 or adhesive backed straps 10A. As will be appreciated by those of skill in the art, system 29 allows ready deconstruction in to sub-parts or shapes for covering a disabled or injured patient while still carrying shielding members 3A in one or more pockets 4, each securable by respective attachment portions 6 (for example Velcro strips). Thus, where a medical need exists to cover a distended abdomen, a user may simply measure out a required length of sheet 30 and cut it to length, insert multiple shields and cover the patient with a disposable or short-use radiation shield. In this way, those of skill in the art will recognize that shielding system 29 allows ready operation as an emergency room kit adaptable to constantly changing circumstances. Referring now to FIG. 8B, a patient is positioned having a distended abdomen and therefore being unable to fit within many of the standard briefs or bikini systems noted earlier. As a consequence, a user may adapt the sheeting system shown in FIG. 8A to a patient condition for protection, and simply dispose of the sheet good after use, retaining the shielding members 3A for later reuse. Referring now to FIGS. 9A and 9B, a comprehensive short use assembly system 200 is provided within cabinets having doors 203, 203 that may be readily positioned within an imaging room 201 proximate an imaging unit 210 for convenient access. An order center 202 may be provided on the cabinet system and contain convenient web-based, or form-based reordering and an identifiable listing of items so that restocking of drawers 305 with respective prepackaged sealed kits 204 is easily achieved. Sealed kits 204 contain shielding units 3A, 3B while complete system sealed kits 201 may contain both a shielding system (here brief shielding system 5) with a shielding member 3. In either circumstance sealed kits 204, 201 are ready use pre-packaged kits stored and labeled according to a responsive need and may be stored in drawers 305 or for larger kits, within larger doors 206. For example, in an emergency room an large abdominal shield for pregnant patients may be stored behind doors 206 while the flexible pocket 4 shaped to contain such a shield may be stored in a sealed and sterilized packet 201. As used herein, the phrase disposable or disposable member layer etc. is intended to be interpreted broadly and without limitation to be an item that is not intended for long-term re-use. Thus, for example while a preferred embodiment may provide a single-use disposable member constructed from a Tyvek®-like or a thin-film polymer baby-diaper-like material, a woven sheet good such as inexpensive cotton or nylon weave, or a natural fiber based good such as paper, nothing herein shall be interpreted to restrict the phrase disposable to such goods. As a second example, where a user provides the member layers from a more traditional cloth or more expensive or multiple-use-capable material, the present invention envisions these items as also being “disposable” within the present scope as each one may be employed without an intention to reuse. Similarly, the phrase disposable as used herein shall not mandate single use prior to disposal, where for example a child is equipped with a brief as in FIG. 1A for an initial imagery use, this may be removed for surgical treatment, and reused for after-treatment imagery without departing from the scope and meaning of the present invention because the garment is intended for ultimate disposal. As those of skill in the art will recognize, the present invention introduces a protective imaging system that is fully capable of “windowing” a desired image region, or in other words the present system is capable of multiple adaptations that allow substantive shielding of non-target body regions while allowing a narrowly defined radiation entry path for imaging a target body region. This concept of “windowing” is applicable to all areas of a user's body so as to minimize a user's radiation exposure to non-target regions and therefore enhance patient safety. Finally, those of skill in the art of adaptive fastening will recognize that while the present discussion may identify fixed snap point, a double tab overlap, a pocket, a magnet member, a Velcro® patch, a buckle, or a tab member for example, nothing is intended herein to restrict the discussion to these forms of attachment mechanisms to those examples identified. It is intended that the phrase “attachment mechanism” or attachment means or means for attachment shall be inclusive each of these types of attachment systems and shall similarly incorporate other forms of attachment mechanisms and systems known to the art. In the claims, means- or step-plus-function clauses are intended to cover the structures described or suggested herein as performing the recited function and not only structural equivalents but also equivalent structures. Thus, for example, although a nail, a screw, and a bolt may not be structural equivalents in that a nail relies on friction between a wooden part and a cylindrical surface, a screw's helical surface positively engages the wooden part, and a bolt's head and nut compress opposite sides of a wooden part, in the environment of fastening wooden parts, a nail, a screw, and a bolt may be readily understood by those skilled in the art as equivalent structures. Having described at least one of the preferred embodiments of the present invention with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various changes, modifications, and adaptations may be effected therein by one skilled in the art without departing from the scope or spirit of the invention as defined in the appended claims.
051749475
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear fuel pellet inspection and, more particularly, is concerned with a nuclear fuel pellet turning apparatus and method for facilitating surface inspection of pellets. 2. Description of the Prior Art In a typical nuclear reactor, the reactor core includes a large number of elongated fuel assemblies. Conventional designs of these fuel assemblies include top and bottom nozzles with a plurality of elongated transversely spaced guide thimbles extending longitudinally between and connected at opposite ends to the nozzles and a plurality of transverse support grids axially spaced along the guide thimbles. Also, each fuel assembly is composed of a multiplicity of elongated fuel elements or rods transversely spaced apart from one another and from the guide thimbles and supported by the transverse grids between the top and bottom nozzles. The fuel rods each contain fissile material in the form of a plurality of generally cylindrical nuclear fuel pellets maintained in a row or stack thereof in the rod. The fuel rods are grouped together in an array which is organized so as to provide a neutron flux in the core sufficient to support a high rate of nuclear fission and thus the release of a large amount of energy in the form of heat. A liquid, coolant is pumped upwardly through the core in order to extract some of the heat generated in the core for the production of useful work. During the manufacture of the fuel rods for the fuel assemblies, visual inspection of the nuclear fuel pellets is a key operation for the production of quality fuel assemblies. The entire cylindrical surface of the pellet is checked for dimensional quality, cracks and other defects, including chipped edges. The practice heretofore for inspecting pellet surfaces has been to provide side-by-side rows of aligned pellets on a first pellet support tray. After an operator visually inspects the exposed portions of the external cylindrical surfaces of the pellets, a second empty pellet support tray is placed upside down over the pellets loaded on the first support tray. With the two trays held together, they are manually inverted so as to transfer the pellets en masse from the first support tray to the second support tray. The operator again visually inspects the newly-exposed portions of the external cylindrical surfaces of the pellets to complete the inspection of the pellets. This conventional practice has several drawbacks. First, the weight of the pellets and the two trays is oftentimes excessive and unacceptable from the standpoint of the capabilities of the typical operators. Second, the trays are often warped slightly, which could permit pellets to fall out during the turn-over or inverting action. Third, and more importantly, the portion of the cylindrical surface of the pellet than occurs at the horizontal midplane of the pellet cannot be effectively inspected due to the geometry of the pellet array and the overhead position of the light source. This questionable region amounts to approximately ten percent of the cylindrical surface of the pellet. Consequently, a need exists for improvement of the manner in which nuclear fuel pellet surface, inspection is carried out so as to eliminate the problems associated with the prior art method and still further improve the quality of fuel fuel pellet inspection. SUMMARY OF THE INVENTION The present invention provides a pellet turning apparatus and method designed to satisfy the aforementioned needs. The pellet turning apparatus and method of the present invention improves the quality of inspection by reducing the amount of effort required by the operator and by enabling the ability of the operator to see the entire surface of the pellet. The requirement to place an empty tray over the loaded tray and to invert the two trays and pellets is eliminated, relieving the operator of a difficult and heavy task. A substantially less cumbersome and time-consuming way is introduced for transferring the pellets to and from the inspection tray. Also, rolling or turning the pellets in situ, or while remaining in their original place, is introduced, permitting total circumferential sighting and inspection of the external surfaces of the pellets. Accordingly, the present invention is directed to a pellet turning apparatus for facilitating surface inspection of nuclear fuel pellets. The pellet turning apparatus includes: (a) a pellet turner assembly; and (b) a pellet supply tray interface assembly. The pellet turner assembly includes a pellet turner deck and a swivel mechanism for supporting the deck on a stand. The pellet turning apparatus also includes a pellet rake for use by an operator in loading and unloading pellets onto and from the pellet turner deck. More particularly, the pellet turner deck is composed of a frame mounted on the swivel mechanism, a plurality of elongated laterally-spaced pellet channel-defining members extending between opposite ends of the frame, and means for supporting the pellet channel-defining members at their opposite ends in spaced relation above the base frame. The pellet turner deck also includes a pellet rolling plate supported on the frame below the pellet channel-defining members for supporting pellets in rows between the channel-defining members and an actuating mechanism coupled to the pellet rolling plate. The actuating mechanism is operable to cause oscillatory movement of the plate relative to the channel-defining members for producing in situ simultaneous turning of the pellets within the channels to permit visual inspection of the entire circumferential surfaces of the pellets. Also, the swivel mechanism supporting the pellet turner deck, in turn, is operable for swiveling and tilting the pellet turner deck to thereby permit an operator to easily visually inspect the opposite edges of the pellets for the presence of cracks and chips. Further, the pellet supply tray interface assembly is mounted at a pellet loading end of the pellet turner deck. The interface assembly is operable for disposing a pellet transfer end of the pellet supply tray at a desired elevation relative to the pellet loading end of the pellet turner deck. The interface assembly includes a tray elevating mechanism mounted to the pellet loading end of the pellet turner deck. The tray elevating mechanism has at least one and preferably a plurality of engaging elements for coupling with the pellet transfer end of the pellet supply tray. An actuating cam mechanism is coupled to the tray elevating mechanism for moving the elevating mechanism to raise or lower the engaging elements of the elevating mechanism and thereby raise or lower the pellet transfer end of the supply tray respectively above or below the pellet loading end of the pellet turner deck. The present invention is also directed to a pellet turning method for facilitating surface inspection of nuclear fuel pellets. The pellet turning method includes the steps of: (a) supporting a plurality of nuclear fuel pellets in rows in laterally-spaced channels extending between opposite ends of a pellet turner deck; and (b) producing simultaneous turning of the pellets in situ within the channels to permit visual inspection of the entire circumferential surfaces of the pellets. The method also includes the steps of producing simultaneous tilting of the pellet deck to permit visual inspection of the opposite edges of the pellets for the presence of cracks and chips, and raking pellets along the channels for loading and unloading the pellets to and from the pellet turning deck. More particularly, the turning of the pellets is produced by moving in oscillatory fashion and in a direction extending in transverse relation to the channels a flat plate located below the channels and supporting the pellets in rows within the channels. For facilitating transfer of pellets between the supply tray and the pellet turner deck, a pellet transfer end of a pellet supply tray is either raised or lowered to a desired elevation above or below a pellet loading end of the pellet turner deck. These and other features and advantages of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention.
055815901
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an SOR exposure system which uses synchrotron radiation light from an SOR ring and, more particularly, to an SOR exposure system for transferring patterns on masks onto semiconductor wafers used in the field of manufacturing semiconductor devices. 2. Description of the Related Art The use of synchrotron radiation (hereinafter referred to as SOR radiation) as a light source for X-ray exposure has been proposed. A method has been considered in which a sheet-like beam of SOR radiation is expanded perpendicular to the plane of the beam using a mirror, and an exposure region is thereby created. In this method, as disclosed in Japanese Patent Laid-Open No. 2-98121, the damage to mirrors caused by X-rays is reduced by providing, in addition to an exposure adjustment shutter for controlling exposure time, a mirror-protective shutter for shielding X-rays disposed in a portion of the radiation path nearer to the X-ray source than the mirror, and the opening/closing of this shutter is controlled. There has been a problem in that, in addition to X-rays, radiation rays such as .alpha. particles, .beta. particles, .gamma. rays, or neutrons, all of which are harmful to the human body, are generated when electrons are implanted into an SOR ring and when the SOR ring stops. As shown in FIG. 11, a method to shield these radiation rays has been proposed in which an SOR ring 1 and an exposure apparatus 2 are placed in separate chambers and a partition 6 is formed into a radiation-ray shielding wall made of, for example, concrete. However, although the partition 6 has a duct 18 through which X-rays 3 are permitted to pass, no measures have been taken against leakage of radiation rays from the duct 18. These radiation rays are transmitted through a mirror-protective shutter provided to shield X-rays, causing damage to the mirror. SUMMARY OF THE INVENTION In view of the above-mentioned problems of the prior art, a primary object of the present invention is to provide an SOR exposure system which aims to protect the human body, stabilizing a mirror's reflectance for a long period of time, and to make maintenance easy. Another object of the present invention is to provide a method of manufacturing semiconductor devices, which employs the SOR exposure system. According to one aspect of the present invention which achieves these objectives, there is provided an SOR exposure system comprising: an SOR ring which emits SOR radiation, at least one exposure apparatus for exposing with the SOR radiation, a beam port through which the SOR ring is connected to each exposure apparatus and the SOR radiation is guided, and an X-ray reflecting mirror, disposed inside the beam port, for expanding the SOR radiation perpendicularly, wherein a first shutter means for shielding at least .gamma. rays and a second shutter means for shielding X-rays are provided between the SOR ring and the mirror inside the beam port. According to a further aspect of the present invention, an SOR exposure system is provided having an SOR ring for emitting synchrotron radiation and at least one exposure apparatus. A beam port connects the SOR ring to the exposure apparatus, and a shielding wall between the SOR ring and the exposure apparatus shields harmful electromagnetic waves. A shutter is also provided in the beam port for shielding at least gamma rays. According to yet another aspect of the present invention, a method of manufacturing semiconductor devices is provided in which an SOR ring is caused to emit synchrotron radiation. A first shutter disposed between the SOR ring and an exposure apparatus is closed to shield at least gamma rays when they are generated from the SOR ring. Also, a second shutter is opened to transfer a circuit pattern on a mask to a wafer, and the amount of X-ray exposure is adjusted during the exposure process. According to still another aspect of the present invention, a semiconductor device is provided which is manufactured by a method in which an SOR ring is caused to emit synchrotron radiation, a first shutter disposed between the SOR ring and an exposure apparatus is closed to shield against at least gamma rays when they are generated from the SOR ring, a second shutter is opened to transfer a circuit pattern on a mask to a wafer, and the amount of X-ray exposure is adjusted during the exposure process. With the construction described above, pernicious influence upon the human body by radiation rays, such as .gamma. rays, which are generated when electrons are implanted into an SOR ring and when the SOR ring stops, and damage to mirrors can be prevented. Since it is preferable that the mirror protective shutter for shielding X-rays be opened/closed each time exposure is performed, and since the shutter for shielding .gamma. rays is heavy because it is made of heavy metals, such as lead, the mirrors are separately disposed so that the former is light in weight and has excellent durability and the latter need not be operated at a high speed because it is designed to reliably shield radiation rays and to be opened/closed only during electron implantation. Thus, the shutters can be designed with emphasis placed on their independent functions. Objectives and advantages in addition to those discussed above shall be apparent to those skilled in the art from the description of the preferred embodiments of the invention which follow. In the description, reference is made to the accompanying drawings, which form a part hereof, and which illustrate an example of the invention. Such example, however, is not exhaustive of the various embodiments of the invention, and therefore reference is made to the appended claims for determining the scope of the invention.
047042478
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1, a typical fuel rod assembly 10 includes individual fuel rods 11 (64 rods are shown in FIG. 1), guide rods 13 and a handle member 14. The individual fuel rods (also called fuel pins) 11 are about 0.4-0.6 inch in diameter and about eight feet long in one type of nuclear reactor installation, about 15 feet long in another type of nuclear reactor installation. The fuel rod assembly 10 is withdrawn from a nuclear reactor after the nuclear fuel within the fuel rods 11 has been spent. Thereafter, the fuel rod assembly 10 is stored in appropriate storage racks under water in storage pools until its activity is dissipated. The purpose of the present invention is to compact the fuel rods 11 after their activity has dissipated and to store the fuel rods in a new and different container wherein their spacing is altered. As shown in FIG. 2, a fuel rod assembly 10 initially has its upper end removed so that the top ends 15 of the individual fuel rods 11 are exposed. The top end is removed by cutting or otherwise. One way of removing the top end is to cut the top elements with an air-powered underwater band saw. In some fuel rod assemblies, the top end may be dismantled by removing the bolts or other devices which connect it to the main frame. After the top end of the assembly is removed, the top ends 15 of the individual fuel rods 11 are exposed as shown in FIG. 2. FIG. 3 is a cross-section view taken along the line 3-3 of FIG. 2 showing the spacing pattern (array) of the fuel rods 11 within the fuel rod assembly 10. Placed in tandem with fuel rod assembly 10 is a transition funnel 20 which has a lower end 21 and an upper end 22. The lower end 21 is shown in a plan view in FIG. 4 as a generally square grid corresponding to the cross-section of the fuel rods 11, as shown in FIG. 3. The lower end 21 is a grid 23 having openings for individual tubes 24 corresponding in number and array with the top ends 15 of the fuel rods. The transition funnel tapers from its lower end 21 toward its upper end 22. At the upper end 22, the transition funnel 20 has a plan view as shown in FIG. 5 having a grid 25 with openings for receiving the top ends of the tubes 24 in a desired array. It will be observed that the array of the tube openings 24 in the grid 25 is equilateral triangular--a preferred array. Above the transition funnel 20 is a container 30 having outer dimensions corresponding to the outer dimensions of the fuel rod assembly 10. The container 30 preferably is a metal rectangular box having a length slightly greater than the length of the fuel rods 11 and having sufficient cross-sectional area to receive the compacted fuel rods from a fuel rod assembly 10 in approximately half of its cross-sectional area. In one embodiment, a vertical baffle 31 may be provided to divide the container 30 into parallel chambers 32, 34. All of the fuel rods 11 from a fuel rod assembly 10 can be confined in the chamber 32 as shown in FIG. 2. All of the fuel rods from another fuel rod assembly can be confined in the chamber 34. Extending downwardly through the container 30 are a number of individual wires 40 corresponding to the number of fuel rods 11 in the fuel rod assembly 10. The individual wires 40 are connected at their upper end to a tensioning device 41 such as a tensioning reel or individual tensioning reels for each wire 40 or for groups of wires 40. The wires 40 extend through the chamber 32 and enter, one each, into one of the tubes 24 within the transition funnel 20. Each of the wires 40 extends through the grid 23 at the bottom of the transition funnel 20 and terminates in a fuel rod gripping device 42. The fuel rod gripping devices preferably are helical sleeve tension grippers which are secured at their upper ends to the wires 40 and which at their lower ends depend as a sleeve which can be engaged with the top end 15 of an individual fuel rod 11. The operator, employing remote control devices, connects each of the fuel rod gripping devices 42 to a corresponding fuel rod upper end 15. After all of the devices 42 have been connected, the tensioning device 41 is activated and the wires 40 are drawn upwardly through the transition funnel 20 and the chamber 32. Each of the fuel rods 11 is withdrawn from the fuel rod assembly 10 upwardly through an individual tube 24 such that portions of the fuel rods reside in both the fuel rod assembly 10 and the fuel rod directing chamber 12, and, later, in both the fuel rod directing chamber 12 and the container 30 and into an altered array, preferably an equilateral triangular array as shown in FIG. 5. The fuel rods 11 preferably are drawn at a rate such that their upper ends 15 enter into the chamber 32 concurrently and whereby the compacted nesting of the fuel rods 11 is readily achieved within the chamber 32. The tension on each fuel rod required for withdrawal is from about 20 to 200 pounds. After the wires 40 have been withdrawn to the top 33 of the container 30, the individual gripping elements 42 are separated from the fuel rods 11. The chamber 32 is thereafter filled with fuel rods in a compact array. The fuel rod assembly 10 no longer contains fuel rods 11 and can be withdrawn from the water pool for storage and ultimate disposal in an appropriate fashion. The container 30 is subsequently advanced to another fuel rod assembly along with the transition funnel 20. The wires 40 are introduced through the alternate chamber 34 and the transition funnel 20. The process is repeated and the alternate chamber 34 is filled with fuel rods. The container 30, holding fuel rods in a compacted array, can be stored under water in the water storage pool in the same type storage rack which formerly housed the fuel rod assembly 10. The storage capacity of a water storage pool can be nearly doubled by practicing this method. The precise construction of the transition funnel 20 is such that the tubes 24 merge from the lower end 21 to the upper end 22. As the fuel rods are drawn upwardly through the tubes 24, the fuel rods cannot increase their rod-to-rod spacing but, instead, are merged into an ever-increasing density whereby the reactivity of the array is continuously reduced. Thus the possibility of developing a critical spacing of the fuel rods is precluded throughout the controlled densifying operation.
description
This application is a national stage filing under 35 U.S.C. §371 of PCT/US2008/067473, filed Jun. 19, 2008, which claims priority to U.S. Application No. 60/999,746, filed Aug. 31, 2007, the disclosure of which is incorporated by reference in its/their entirety herein. Generally, this disclosure relates to methods and systems for determining the conditions of components, particularly the disclosure relates to methods and systems for determining conditions of components removably coupled to articles of personal protection equipment (PPE), by tracking their usage in a monitored working environment against a predetermined criterion, such as a change-out protocol. Maintaining the safety and health of workers is a major concern across many industries. Various rules and regulations have been developed to aid in addressing this concern, which provide sets of requirements to ensure proper administration of personnel health and safety procedures. To help in maintaining worker safety and health, some individuals may be required to don, wear, carry, or otherwise use a PPE article, if the individuals enter or remain in work environments that have hazardous or potentially hazardous conditions. Known types of PPE articles include, without limitation, respiratory protection equipment (RPE), e.g., for normal condition use or emergency response, protective eyewear, such as visors, goggles, filters or shields, protective headwear, such as hard hats, hoods or helmets, hearing protection, protective shoes, protective gloves, other protective clothing, such as coveralls and aprons, protective articles, such as sensors, safety tools, detectors, global positioning devices, mining cap lamps and any other suitable gear. For example, personnel in the nuclear industry may be required to wear radiation protective clothing and personal dosimeter devices. Law enforcement personnel are sometimes required to wear protective vests and helmets. There are numerous situations in the medical field in which healthcare workers must wear protective gowns, masks, face shields, gloves, etc. Workers in the food service industry are often required to wear hair netting, gloves, masks, etc. For example, there are also many industrial manufacturing scenarios in which personnel are required to wear protective or other specially designed articles in order to ensure a “clean” environment. For example, personnel in the micro-electronics manufacturing industry, biotech industry, laboratory/testing industry, are required to wear PPE articles not only to ensure their own safety, but to protect the equipment and devices which they assemble or perform various procedures with. There are also many industrial manufacturing scenarios in which personnel working in mines, oil refineries, metal grinding facilities, smelting facilities, industrial painting operations or pharmaceutical factories may be required to wear respiratory protection equipment (RPE). There are many different kinds of respirators (e.g., RPE) utilized to prevent or reduce inhalation of hazardous or toxic materials. These RPE articles include, without limitation, components, for example, air-purifying filters, cartridge components, or canisters that remove specific air contaminants by passing ambient air through their air-purifying element. Typical chemical respirators use replaceable filter cartridge components that are coupled. Their proper use is contingent upon the respirators including the cartridges/canisters being replaced before they fail or that the correct types of respirators are to be used. However, many traditional respirators that include replaceable cartridges/canisters, typically, do not include any mechanism of indicating when their ability to remove contaminants from the air has been reduced. Therefore, to ensure their replacement before they fail or are otherwise in need of further processing, several U.S. guidelines require use of end of service life indicators. Presently, the availability of end of service life indicators is rather limited. Alternatively, a commonly utilized change-out schedule for respirators is based upon the identity and concentration levels of compounds expected to be encountered within the workplace over a period of time. Typically, a change-out schedule is based on an initial determination of average exposure and the corresponding duration of the component to that exposure. This initial determination establishes a required time period of service life. The user or an authorized person documents the first day of usage and keeps track of the required time period for purpose of determining when the component is not usable and needs to be disposed or otherwise processed. Clearly, the making and keeping of extensive records that contain all of the above-referenced information present a substantial administrative task. Moreover, facilities in which workers wear PPE articles are often required to keep detailed records regarding the PPE articles as well as the individuals wearing the PPE articles. Some such records include information regarding use of PPE articles, maintenance, and condition of PPE articles, as well as training of the workers to use the PPE articles. In addition, records of certain mandatory regulations and compulsory audit histories must be kept. For example, in some cases, RPE articles require maintenance to be carried out by properly trained personnel at least every three months and after each use. Despite the extensive records that are required to be collected regarding PPE articles and their associated components, adherence to various predetermined criteria, including a change-out criterion, is typically the responsibility of the user. Thus, compliance with a particular criterion may become an issue in work environments involving relatively large numbers of workers and/or respirators because of the relative difficulty in tracking worker habits and diligence. Clearly, workers are at a higher risk of exposure upon breakthrough of the contaminants when schedules are not adhered to. Thus, there is a need for electronic methods and systems that could make the implementation of determining condition of components easier and more efficient, particularly in regard to tracking of components that are removably coupled to PPE articles. In one exemplary embodiment, the present disclosure is directed to a method of determining a condition of a component coupled to an article of personal protection equipment wherein the method comprises: providing at least one component removably coupled to an article of personal protection equipment; providing at least one smart tag coupled to the component or the personal protection equipment article; tracking usage of the component, wherein the tracking comprises retrieving data from the smart tag; and, determining a condition of the component based on comparing tracked usage data of the component against at least one predetermined criterion. In another exemplary embodiment, the present disclosure is directed to a system of determining a condition of a component coupled to an article of personal protection equipment. The system comprises: at least one article of personal protection equipment; at least one component removably coupled to the article of personal protection equipment; at least one smart tag coupled to the component or the personal protection equipment article; a system for retrieving data from the smart tag; a data processing system coupled to the data retrieving system; wherein the data processing system includes a mechanism for determining a condition of the component based on comparing tracked usage data of the component against at least one predetermined criterion. The present disclosure substantially reduces the drawbacks and shortcomings of the known approaches for determining the conditions of components that are removably coupled to PPE articles. The foregoing is achieved through a method and system that determines conditions of such components by using at least a smart tag coupled with the component or the PPE article removably coupled to the component so as track usage of the component. Tracking is accomplished by retrieving data from the smart tag and determining a condition of the component based on comparing the tracked usage data of the component to at least one predetermined criterion. FIG. 1 illustrates a block diagram of a component condition determining system 100, according to one exemplary embodiment of the present disclosure. The component condition determining system 100 includes an information retrieval system 102 networked to a computer system 150. The component condition determining system 100 is utilized for implementing a process for determining a condition of one or more accessories or components 110a-n (collectively, 110). The components 110 are of the type that are removably coupled to one or more articles, such as articles of personal protection equipment (PPE) 120 a-n (collectively, 120). The removably coupled components 110 and the PPE articles are to be used in one or more working environments 125 (only one is illustrated). Exemplary working environments include, without limitation, paint shops, petrochemical refineries, mines, smelting facilities, pharmaceutical factories, or the like. The term “coupled” as used in the present application means that a component is physically or operatively coupled to a PPE article so that they can function together. In an illustrated exemplary embodiment, the PPE article 120 is an article of respiratory protective equipment (RPE) 120, and the removable coupled accessory or component 110 is a respirator filter cartridge 110. For example, the RPE article 120 may be a 7502 half face piece respirator that is commercially available from 3M Company of St. Paul, Minn. The respirator filter cartridge component 110 may be a 6001 Series organic vapor cartridge that is commercially available from 3M Company of St. Paul, Minn. The present disclosure is not limited by the foregoing combination of removably coupled components and PPE articles, but envisions all suitable combinations. Other known types of components 110 that may be tracked according to the present disclosure include, without limitation, a nose piece, a valve cover, a strap assembly, a face piece, a hood, a helmet, a motor, a hose, a filter of a welding helmet, a visor, power supply, a lighting mechanism, such as a mini-cap lamp, etc. The components may be removably coupled to the PPE article through any appropriate mechanical mechanism including, without limitation, snap-fit connections, such as one that prevents improper connection; hook and loop mechanisms; repositionable adhesives; clips; slots; threaded screw-in connections; bayonets; as well as other known and suitable approaches. Other known types of PPE articles 120 that may be coupled to the components 110 include, without limitation, respiratory protection equipment (RPE), e.g., for normal use or emergency response, protective eyewear, such as visors, goggles, filters or shields, protective headwear, such as hard hats, hoods or helmets, hearing protection, protective shoes, protective gloves, other protective clothing, such as coveralls and aprons, protective articles, such as sensors, safety tools, detectors, air or liquid sampling devices, global positioning devices, mining cap lamps and any other suitable gear. Accordingly, any wide number of suitable combinations may be tracked according to the present disclosure. The component condition determining system 100 essentially tracks usage of smart tags attached to either the removably coupled component used with PPE articles or the PPE article that is known to be coupled to the component of interest, or both. In one exemplary embodiment, the information retrieval system 102 includes one or more smart tags 130a-n (collectively, 130); one or more data acquiring devices 140a-n (collectively, 140) that acquire data from the smart tags; and, one or more sensors 145a-n (collectively, 145) that, as will be described, sense for variables that are related to usage of the component being tracked. Given the number of different kinds of smart tags, data acquiring devices, and sensors that can be used, there exists a large number of combinations for the system 102 that can be constructed depending on the type of components and PPE articles to be tracked. Accordingly, the exemplary information retrieval system 102 is but one of many different and suitable types. The present disclosure contemplates use of any suitable smart tag known in the art. In one exemplary embodiment, the smart tag 130 may be attached to a component. In another exemplary embodiment, the smart tag 130 may be attached to an article of PPE for use in determining the condition of the removably coupled component. Essentially, a smart tag is a data carrier that carries data accessible by suitable methods, including, but not limited to, electronic, optical, or other wireless technology. Data on a smart tag may, typically, at least, include tag identification information, such as an identification number (e.g., serial number). In addition, the smart tag 130 may contain other information relating to the article of PPE 120 or its component(s) 110, such as the type of article and/or component(s) used; historical information relating to the article and/or the component(s), information about the user (who used it, where it was used, under what condition it was used, etc.), maintenance or other type of processing, information about who wrote information onto the smart tag; any requirements relating to the article, component(s) and/or their use, whether any such requirements have been satisfied, such as any certifications obtained, and any other useful information, such as component change-out history, or the working environment. Also, information regarding the user of the article of PPE may be on the smart tag 130; such as, medical information, information relating to fit-testing, training, job responsibilities, seniority or experience, access privileges or any other information. Smart tags include passive and active types. Generally, passive tags do not include an internal power source and the data carried thereby may be encoded at manufacture. Data information may be acquired from a passive smart tag, for example, by radio frequency, microwave, infrared, or other wireless modes; or by optical readers or other appropriate electronic or optical technology. One type of passive smart tag is radio frequency identification (RFID) tag, wherein a transponder carries read-only data. Another type of passive smart tags may be rewritable. RFID technology is known and understood by those skilled in the art and, hence, only a brief description is included herein for facilitating understanding of the present disclosure. Passive RFID type smart tags are typically provided in the form of small labels or the like that include a coiled, etched or stamped antenna, a capacitor, and a substrate on which the components are mounted or embedded. For some metallic smart tags, the metallic portion itself may serve as the antenna. The RFID type smart tag may be embedded in or attached to the components 110 and/or PPE articles 120 by any suitable approach. For example, the smart tags may be joinable as by being adhered, fastened, sewn, friction fitted, mechanically clipped, welded (e.g., ultrasonically) or molded, etc. onto or into the components, included as an integral component of the article or securely attached by any suitable means. Besides passive RFID smart tags, other passive smart tags may include, without limitation, optical kinds including barcode and optical character recognition systems; electromagnetic systems; and acoustomagnetic systems. On the other hand, active smart tags tend to carry their own internal power source as well as data, and an appropriate antenna for allowing exchanging of their data. The internal power supply may include a micro-battery, a thin film battery, or the like. Active smart tags may be reprogrammable and may include, besides an antenna, a microchip to receive and store additional information beyond the information contained in its fixed code. Active smart tags may exchange their data information with data acquiring and/or transmitting devices, such as including, without limitation, readers and/or writers, scanners, and/or data receivers, such as wireless receivers. The exchange may be initiated by the active smart tag itself once it finds a suitable or designated, reader, scanner, or receiver. The active smart tags may transmit their data in response to triggering or interrogating signals, they may actively transmit their data independent of such signals. For instance, the active smart tags may continuously or periodically transmit data to appropriate readers and/or writers, scanners, or receivers. As noted, some active smart tags include the capability to receive and store additional information beyond that contained by its encoded data. Other kinds of active smart tags may be configured to be rewritable. For instance, an active RFID smart tag may be rewritable, as by an RFID reader/writer. Other kinds of active smart tags include a real time location system (RTLS) smart tag. An RTLS active smart tag is an active tag having a transmitter and a receiver and it communicates with a network according to a particular protocol. RTLS systems can work to determine the position of the smart tag in a 2-dimensional or 3-dimensional space. For example, a RTLS smart tag generally uses one or both of the following wireless location-based methods for determining the position of a smart tag or the object the tag is attached to. The first is a Time Difference of Arrival (TDOA) method. In one implementation of this method, the smart tag will broadcast a signal to multiple wireless receivers 140 at known locations. The time at which the signal is received by each receiver is measured, and a set of equations can be used to determine the position of the smart tag. Examples of systems using this method are a global positioning system (GPS) or a system using low frequency radio transmitters that use the time interval between radio signals (LORAN). Another example is an active smart tag used in a WiFi system that determines how long a signal takes to reach a receiver. Other companies that use this principle for RTLS systems are AeroScout Inc., Redwood City, Calif.; NanoTron Technologies, GmbH, Berlin, Germany; WhereNet, Santa Clara, Calif.; and, MultiSpectral Solutions, Inc., Germantown, Md. A RTLS may also use a Received Signal Strength Indicator (RSSI) method. This latter method requires tags or fixed transceivers to measure the received power (signal strength) of the incoming signals. Then, using either known variations of signal strength vs. distance from transmitters, or by measuring the signal strengths at various locations and matching these measured strengths to the measured strengths, position can be determined. Other companies that provide commercially available products using the RTLS system include Wavetrend, Fairfax Va., and PanGo Networks, Framingham, Mass. One example of an active smart tag suitable for use in an RTLS system is an Ekahau™ smart tag, which communicates with wireless receivers in a wireless local area network (WLAN) through IEEE 802.11b and 802.11g standards. The Ekahau™ smart tag is commercially available from Ekahau, Inc., Reston Va. and may be used in the present exemplary embodiment. Other examples of suitable smart tags may be provided, and include those, such as described, in U.S. Pat. No. 6,853,303, which is incorporated herein. As noted, the data from the smart tag may be acquired by data acquiring devices 140, such as readers 140, readers/writers 140, scanners 140, or receivers, such as wireless receivers 140, as well as other suitable devices. A reader or scanner may include an antenna for transmitting a trigger signal to a smart tag and receiving a return signal from the tag containing information. The data acquiring devices 140 may be placed in any one or more of the critical spots of the process including but not limited to the area where the components 110 and/or PPE articles 120 are handed out to the individual. In some exemplary embodiments, one or more data acquiring devices 140, such as readers or scanners 140 are hand-held. For example, a receiver 140 may be a wireless node of a wireless local area network (WLAN) that may provide internet access point. The readers 140 may be linked to a remote programmable electronic system 150 through the network 160. The programmable electronic system 150 includes functionalities that enable tracking usage of the components against at least a predetermined criterion, such as a in the exemplary embodiment a change-out criterion. These predetermined criteria may include, but are not limited, to circumstances regarding the components in terms of their servicing, repairing, cleaning, maintaining, decontaminating, or other processing. For example, change-out may occur if: the time weighted exposure level of the component in the working environment exceeds a threshold value(s); the concentration level(s) of particular contaminants exceed threshold value(s); the presence of unexpected contaminants in the working environment; persons with particular profiles should not be exposed to various contaminants; particular kinds of PPE articles should not be used when certain contaminants are present, or concentration and exposure thresholds exceeded. As illustrated in FIG. 1, the reader 140a may be stationed at the entrance of the work environment 125 and acquires relevant data of the wearer; component 110, and the PPE article 120, such as at the start of the workday or shift and at the end of the work day or shift. The readers may be in several other locations, such as where the components are removably coupled to the PPE article. This information is sent to a database of the computer system 150 for the purpose which will be described. Alternatively or additionally, one or more readers 140 may be located within the actual work environment 125 so as to provide opportunities for wearers obtaining readings in the work environment 125. Alternatively or additionally, a portable reader 140 may be utilized (see FIG. 5), such as when the PPE 120 and the component 110 are issued prior to entering the work environment. A typical portable reader 140 may have a display 132 and keypad 134 for data input and are wirelessly connected to the network 160. The portable reader 140 may be used when the tagged components or PPE article are in the work environment 125 or uncoupled to the PPE article 120 at the end of a work shift. The present disclosure does not place limitations on the locations or timing of reading of the tagged components or PPE article. Exemplary suitable sensors 145 of some exemplary embodiment may include, without limitation, measurement of the following analytes/parameters: electromagnetic radiation (such as thermal and visible), ionizing radiation, nuclear radiation, chemicals (such as liquids, solids, vapors, gases and mists/aerosols), biological analytes, particulates, noise, heat stress, motion, as well as others. The transducers may be of the electrical or optoelectronic type. The sensors 145 may be mobile or stationary in the work environment and connected, as for example, by wireless to the network. In a mobile mode, the sensors 145 may be disposed on the PPE or on the component. The sensed information data is generally related to the usage of the component being tracked as will be explained. The data, as noted, concentration levels, types of contaminants, presence or absence of contaminants, insufficient or no current to run a circuit of the component, inadequate pressure for a SCBA, insufficient or no battery power, breakthrough of a chemical through a filter, or inoperable safety mechanisms. The present disclosure is not limited by these examples since what is sensed encompasses all known factors that may relate to the condition of a component that is to be coupled to PPE articles. The network 160 may include, without limitation, a local-area network (LAN), wide area network (WAN), the internet, or a wireless network, such as a wireless local area network (WLAN). The programmable electronic system 150 may represent any type of computer system, programmable logic devices, or the like. The computer system 150 may include server computers, client computers, PC-based servers, minicomputers, midrange computers, mainframe computers; or other suitable devices. In some exemplary embodiments, the computer system 150 may include portable computer systems including laptops, handheld computer systems. In addition, the system 100 may include one or more local computer systems 170 located in the work environment 125. As such, workers may be able to obtain pertinent data, for example, a real-time assessment of the condition of the component while in the work environment 125. The local computer system 170 typically includes portable computer systems including laptops, handheld computer systems. The local computer system 170 may also include other computer systems, such as, client computers, PC-based servers, minicomputers, midrange computers, mainframe computers; or other suitable devices. With continued reference to FIG. 2, there is depicted a server computer system 150. It is depicted as comprising at least one system interconnect bus 180 to which various components are coupled and communicate with each other. Coupled to the system interconnect bus 180 is at least a single processor unit 182, storage device 184, memory such as random access memory (RAM) 186, read only memory (ROM) 188, a relational database management system (DBMS) 189, and input/output (I/O) ports 191. The relational database is a computer database management system 189 controlling the storing, updating, and retrieving of data to database files for use in tracking usage of components against one or more predetermined criteria. The database files contain all relevant information pertaining to the operational parameters of the readers. Furthermore, one or more output devices 192 such as a display, as well as one or more user interface input devices 194, such as a keyboard and/or pointing device is respectively coupled to the I/O ports 191. In known fashion, the output and input devices 192 and 194; respectively permit wearer interaction with the computer system 150. The I/O port 191 typically includes various controllers (not shown) for each input device 194, such as a keyboard, mouse, joystick, and the like, as well as the output device 192, such as an Ethernet network adapter, infrared device and display (not shown). The processor 182 controls the input device 194 which provides a user interface for allowing a wearer to access information, such as usage history of components being tracked. The processor unit 182 may be any suitable processor and sends and receives instructions and data to and from each of the computer system's components that are coupled to the system interconnect bus 180 to perform system operations based upon the requirements of the computer system's operating system (OS) 196, and other specialized application programs 198a-198n (collectively 198). The ROM 188 typically controls basic hardware operations. The storage device 184 may be a permanent storage medium, such as a hard disk, CD-ROM, tape, or the like, which stores the operating system 196 and the specialized applications programs 198. The RAM 186 is volatile memory. The contents of the RAM 186 may be retrieved from the storage device 184 as required. Illustratively, the RAM 186 is shown with the operating system 196 and application programs 198 concurrently stored therein. The program code of the operating system 196 and/or application programs 198 is sent to the RAM 186 for temporary storage and subsequent execution by the processor 182. Additionally, the RAM 186 is capable of storing files from the operating system 196, as well as files from one or more application programs. An information retrieval system application program(s) 198a is one typically utilized for controlling operations of the information retrieval system 102 including the functionalities described herein with respect to the smart tags 130, data acquiring devices 140, and sensors 145. Provision is made for a suitable database management system application 198b to run the database 189 in a manner consistent with the present disclosure. Also, provision is made for an establish predetermined criteria application 198c. This may, in some cases, be a software application provided by a manufacturer of the components or PPE article that are to be tracked. In some exemplary embodiments, this software application may be used to establish conditions for proper usage of the component or PPE article as determined by the rules and regulations established by the government, insurance company or other entity interested in the results. The establish condition determining application 198c is updatable to establish a new or current criteria related to actual conditions of the component in the working environment, as for example, by using the data acquired. A report generating application 198d is provided that may generate reports containing a variety of data in different reporting formats tailored for purposes including those described below. These reports may be generated to allow workers, supervisors, health professionals to access the history and status of components and/or articles; their medical information, information relating to fit-testing, training, job responsibilities, seniority or experience, access privileges or any other information, history of component servicing, maintenance, change-out, as well as other information. The determining component condition application 198n of the present disclosure enables determining the conditions of the tagged components following retrieval of tag information against predetermined criteria established by the establish predetermined criteria application 198c. Reference is made to FIG. 6 for illustrating one exemplary embodiment of a tracking process 600 that may be implemented by the component condition determining system 100. The tracking process 600 enables the condition of a component 110 that is tagged with a smart tag 130 to be determined based on comparing its tracked usage against at least a predetermined criterion which in the exemplary embodiment is a change-out condition of a filter cartridge 110 relative to a respirator (RPE) 120. Alternatively, the present disclosure also envisions that the PPE article 120, that is to be coupled to the component 110, may be tagged with the smart tag towards the end of determining the condition of the component. Such circumstances may arise if the component is not easily tagged or cannot be tagged. The term “condition” as utilized in the present application means the particular state of one or more factors that affect the operational life or usefulness of one or more component(s) utilized as accessories for PPE articles. In a Sense Initial Condition block 610 of the tracking process 600, sensing is performed by one or more of the sensors 145. In this embodiment, the type of component being tracked determines which variables in the working environment should be sensed and, therefore, which sensors to be used. Since filter cartridges are being tracked in this exemplary embodiment, the sensor 145 is of the type that collects data bearing upon the component's condition. In particular, concentration levels of particular hazardous materials over a period of time may be sensed. As will be explained, the concentration levels assist in establishing a predetermined criterion regarding the condition of the tagged component. The initial data collected may reflect low, average, and peak concentration levels of the particular hazardous material(s). While hazardous materials are being monitored in the exemplary embodiment, the present disclosure envisions that there are no limits on the variables that may be sensed and the relationship these variables have in determining the condition of the component. For example, variable factors relating to other aspects of usage of a component may include: charge of a battery, amps in a circuit, circulating air pressure of a filter and/or respirator. The tracking process allows this data to be forwarded to the database. The tracking process 600 then proceeds to Retrieve Criteria block 620, whereat the establish a predetermined criteria application 198c retrieves the appropriate criteria for the component being tracked. If the exemplary component being monitored is a filter cartridge, the pertinent criterion (or criteria) that is relevant to the condition of the filter cartridge is selected. The set of criteria is stored in memory. The set of criteria may be obtained from many different sources that provide guidance on the proper usage of the component. The set of criteria may be downloaded, for example, from the internet. Typically, the manufacturer of the component may provide the set of criteria relevant to the condition of the component. The set of criteria may be developed by government, industry, the company operating the system 100, an insurance company, a standards body, and persons of interest, such as a safety officer, industrial hygienist, or the like. In one exemplary embodiment, the set of criteria may relate to minimum or maximum exposure times that a filter cartridge or respirator may safely operate. Another example of a set of criteria relates to proper battery charge of a component relative to acceptable limits of performance of the component. Still another example of a set of criteria governs use of when a filter cartridge component should be serviced, repaired, or otherwise treated is based on inadequate pressure exists in a self-contained breathing apparatus (SCBA). Following the Retrieve Criteria, block 620, the tracking 600 proceeds to an Establish Predetermined Criteria block 630. In the block 630, the initial data that may be sensed in the block 610 is processed in the database by the establish predetermined criterion application 198c. As a result, a predetermined criterion for the component 110 may be established in the actual working environment. In such exemplary embodiments, the predetermined criteria application(s) 198c analyzes the collected monitored data in terms of the set of criteria the rules retrieved in the block 620 to determine the predetermined criterion that will determine the condition of the component during actual operation in the working environment is satisfied. For example, based on the initial concentration levels in work environment, then a maximum exposure time for the filter cartridge may be determined. The predetermined criterion takes into account what the exposure time should be for the filter cartridge in the work environment. The tracking 600 may further include a Reporting block 640 that follows the Establish Predetermined Criteria block 630 under the control of the reporting application 198b. The Reporting block 640 is capable for generating a report relevant to a wide variety of subjects including, but not limited to, the condition of the component, the worker, the PPE article, the initial sensed data, the work environment, and other pertinent information. Typically, the Reporting block 640 generates a report in a format acceptable by an entity requesting the report, for example, the business entity using the system 100, or a governmental agency, such as OSHA. While the Report block 640 follows Establish Predetermined Criteria block 630, reports may be generated at any one or more other points in the process. The reports may be generated by the workers or other persons of interest or even in response to requests by the government. The reports generated may be transmitted across the internet as well. There is no time limit to generating the reports. The tracking process 600 proceeds to a Retrieve Tag Information block 650. In this embodiment, the system 102 retrieves or acquires the data, as noted above, from the smart tags 130 by the data acquiring devices 140, such as a receiver 140, as well as the sensors 145. The smart tag 130 of this embodiment may be an Ekahau™ type to provide location information as well as the data of the smart tag. Other smart tags can be provided. The receiver 140 may be located in any number of places, such as the entrance to a work environment 125. In particular, retrieving information from the smart tag 130 may provide data as to when and where the wearer enters the working environment, exits the working environment, or passes another location. Optionally, in order to identify the wearer, the latter may present his/her badge to an appropriate data acquiring device 140. The smart tag 130 or the badge may also include other data regarding the wearer, such as medical, fit test, job description, seniority, training, and other qualifications. The retrieved data is forwarded to the database 189 of the computer system 150, and, if operational, the local computer system 170. The data may include the identification of an article, date, and or timestamp, as well as the location of the data acquiring device. The present disclosure envisions that the retrieving of tag information may occur more than once and at any suitable number of different points in the tracking process. The tracking process 600 then may proceed to the Sense In Work Environment block 660. In the Sense In Work Environment block 660, the sensor 145 is operable for providing current sensed data, for example, regarding current concentration levels of benzene vapor, in the work environment 125. This data is forwarded to the database. The tracking process 600 then may proceed to the Update Criterion block 670. In the Update Criterion block 670, the data from the database from the sensor 145 is acted upon by the establish predetermined criteria application 198c, where a new analysis is conducted to determine whether an update predetermined criterion is to be used. Such updating enhances the overall advantages provided by the present disclosure. While the Sense In Work Environment block 660 and the Update Criterion block 670 are illustrated, they need not be present used in the tracking process 600. In such a case, the process 600 may proceed to the Determine Condition of Component block 680. The tracking process 600 then may proceed to the Determine Condition of Component block 680. In the Determine Condition of Component block 680, the condition determining application 198n determines if the condition of the component satisfies the initial or updated criterion. In particular, in an exemplary embodiment, a determination is made as to whether a filter cartridge has an exposure time that exceeds the recommended exposure time of the component in the working environment as determined in the Establish Predetermined Criterion block 630. In the exemplary embodiment, in the Determine Condition of Component block 680, the filter cartridge has satisfied the change-out condition (i.e., Yes) if its actual exposure time does exceed the recommended exposure time, when compared to the recommended exposure time, indicated in the Establish Predetermined Criteria block 630 or the Updated Criterion block 670. Conversely, the change-out condition is not satisfied (i.e., No) if the actual exposure time does not exceed recommended exposure time as determined in the Establish Predetermined Criteria block 630 or the Updated Criterion block 670. The determining may further include determining the extent-of-service life remaining for the component in the one or more working environments. The tracking process 600 may also include a Communicate block 685, whereat compliance or non-compliance is communicated, using any known communication methodology, to appropriate persons, or reporting entities. Such a communication may be transmitted to the user, the database, the user's supervisor, industrial hygienist or other appropriate personnel. The process of this block may be occurring at other times. In one exemplary embodiment, such determinations may be made as a message to display screen of the computer or to a personal digital assistant (PDA). It will be appreciated that other suitable software applications may be used to provide such communication. In one exemplary embodiment, such communications may be made as a message to display screen of the computer or to a personal digital assistant (PDA). It will be appreciated other suitable software applications may be used to provide such communication. In some exemplary embodiments, such communications may include an alarm or audible signal to appropriate persons including the user and/or supervisor. The tracking process 600 also includes a Process Article block 690 that may follow the Communicate block 685. A wide variety of processes may be performed to handle the article or component, such as cleaning, refurbishing, disposal, maintenance or the like of the article or component. A wide variety of disposal methods are contemplated, for example, being displaced in a bin, this will ensure that the component will not be used until some other steps are undertaken. The tracking process 600 may then proceed to Verify Processing block 695. In the Verify Processing block 695, a data acquiring device 140 may be stationed adjacent to the processing area, such as a disposal bin, for acquiring relevant identification data from its smart tag 130 that the processing of article or component has been verified. The verification data is transferred to the server's database for storage in the internal memory and subsequent use. As a consequence, processing is duly recorded in the database. The following examples are prophetic examples using the principles of the present disclosure. In this example, the system includes a respirator cartridge component tagged with a passive smart tag, such as an RFID tag, a tag reader at a (portal) stationed at the entry of a work area (e.g. paint booth). The database stores information when the smart tags are read at the tag reader. Safety personnel/workers may access or use the information by a computer system in the work environment that is configured to allow safety personnel/workers to obtain a change-out determination or obtain other data while in the work environment. Within an automotive paint shop, methyl ethyl ketone is identified as a principal organic vapor hazard. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. Based on air sampling data, a time weighted average (TWA) concentration of 300 ppm MEK is sensed. Based on change-out software calculations, an 8 hr. shift change-out schedule is put in place. A worker dons a respirator RPE with new filter cartridge components at the beginning of the working day. The filter cartridge components may be labeled with passive Smart tags (as shown in FIG. 3). At the time of issue of the filter cartridge components, the smart tags may be encoded with the identity of the wearer. On the way into the paint booth, the worker passes through a tag reader as illustrated in FIG. 4. The smart tags are read and a time point is entered in an associated database to mark the beginning of use. Throughout the working day, additional time points may be entered for the specific tags when the individual passes through the portal. At the end of the working day, the respirator is stored outside the paint booth. The following day, the worker dons the same respirator and proceeds through the portal into the booth. The smart tags may be read, and the time data within the database are to be used to determine that exposure time for the component has been exceeded and a change-out condition is present. Any suitable user warning device, such as an audible beep, notifies the wearer that the filter cartridge components have been used beyond baseline conditions. Such information is recorded and stored in the database, enabling review by the safety coordinator. In this example, the system comprises the following: respirator cartridge components tagged with passive RFID smart tags; a portable tag reader utilized within a central respirator storage location; a database which stores information when tags may be read; and a software interface which allows safety personnel/workers to access the use or tracking information and history. Within a petrochemical refinery, benzene vapor is identified before use of the smart tags as a contaminant. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. The presence of benzene makes change-out after an 8-hr. work shift a requirement. Respirators may be kept within a common area of the facility, and the supply person uses a portable tag reader (FIG. 5), with which he reads the smart tags before a worker takes the respirator to begin work. At the time of issue of the cartridge components, the smart tags may be read and linked to the identity of the wearer, and an initial time point is entered in an associated database to mark the beginning of use. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. If the cartridge components have not been disposed of, an audible sound will cue the supply person and worker the following day when they are added to the respirator and read again prior to reissue. A system, as in Example 1, is utilized to track filter cartridge component change-out. In this instance, however, re-writable RFID smart tags on the component may be employed so that the time data may be logged on the smart tag rather than in a database, each time the individual passes through the portal. A time interval greater than 8 hours after the initial tag reading triggers an alert to the wearer that cartridge components must be changed by the change-out conditions determining mechanism. A system, such as in Example 2, is utilized to track cartridge component change-out. The cartridge components may be again read by a supply person prior to issue to the worker. In this instance, however, an additional reader is placed on a common waste barrel where cartridge components are disposed of Each cartridge is read as it is placed into waste so that disposal within a single work shift is ensured. Cartridge components that remain in use beyond a single shift trigger an electronic alert notice to the supply person, worker, safety personnel, and/or the industrial hygienist. In this example, the system comprises the following: respirator face pieces tagged with re-writable RFID smart tags; a portable tag reader utilized within a central respirator storage location; disposable 60921 P100/OV cartridge components for protection against organic vapors. In this embodiment, the cartridge is tagged although it need not be. Within a petrochemical refinery, benzene vapor is identified as a contaminant. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. The presence of benzene makes change-out after an 8-hr. work shift a requirement. Respirators may be kept within a common area of the facility, and the supply person uses a portable tag reader (FIG. 5), with which he/she programs the re-writable RFID tag on the respirator face piece before the worker takes the respirator to begin work. The smart tag is programmed with the identity of the wearer, and an initial time point/date to mark the beginning of use of fresh respirator cartridge components. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. When the utilized cartridge components are disposed of and replaced with fresh ones, the smart tag is re-programmed to log the change-out and the new start time point. If the cartridge components are not disposed of (and the smart tag reprogrammed), a beep/visual from the reader will cue the supply person and worker the following day when the smart tagged face piece is read again prior to reissue. In this example, the information retrieval system 100 comprises the following: respirator cartridge components tagged with passive RFID smart tags; a portable tag reader utilized within a central respirator storage location; a database which stores information when tags are read; a fixed wireless chemical sensors (PID sensors) that stream data to the database; a software interface which allows safety personnel/workers to access the use information and history. Within an automotive paint shop, methyl ethyl ketone (MEK) is identified as a principal organic vapor hazard. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. A worker dons a respirator with new cartridge components at the beginning of the working day. Both cartridge components may be labeled with passive RFID smart tags (as shown in FIG. 3). At the time of issue of the cartridge components, the smart tags may be read, and the time of issue and identity of the wearer may be stored within the database. During the workday, wireless chemical sensors placed throughout the shop record and stream concentration data on the MEK vapor to the same central database that maintains the smart tag information. The chemical concentration data may be utilized to update the change-out conditions by calculating remaining service life and timing for change-out of the respirator cartridge components utilized by employees within the facility. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. The smart tags on the cartridge components are read, and if the duration of issue exceeds the calculated service life, a visual cue in the software interface will indicate the need to change the cartridge components. The system of Example 6 is utilized to track cartridge components and to monitor the environment so as to calculate cartridge service life. In this instance, however, the wireless chemical sensors are worn on the individual workers, so that the chemical concentration data for a particular individual may be utilized to allow the establish change-out conditions application to calculate that person's unique remaining cartridge service life. The passive RFID smart tags are employed as described in Example 6 for tracking appropriate disposal and issue of fresh cartridge components relative to the calculated individual change-out schedule. It will be appreciated that based on the above description, aspects of the disclosure include methods, systems, and computer program products for determining change-out conditions for component joinable to articles, such as articles of personal protection equipment (PPE), by tracking their usage in monitored working environments against predetermined change-out criteria. Further aspects of the disclosure include methods, systems, and computer program products utilized for ensuring worker safety, and providing for appropriate change-out of components. Still further aspects of the disclosure include methods, systems, and computer program products utilized for achieving the foregoing economically and expeditiously. It will be appreciated that numerous and varied other arrangements may be readily devised in accordance with these principles by those skilled in the art without departing from the spirit and scope of the invention as claimed. Although the methods and system of the present disclosure have been described with referent to specific exemplary embodiments, those of ordinary skill in the art will readily appreciate that changes and modifications may be made thereto without departing from the spirit and scope of the present invention.
claims
1. A high-temperature nuclear gas-cooled reactor (HTGR) steam generating system, comprising:a plurality of nuclear steam supply systems connected in parallel, wherein each nuclear steam supply system comprises an HTGR connected to a steam generator;a dedicated steam reheater comprising another HTGR separate from the plurality of nuclear steam supply systems;a high-pressure turbine connected to the plurality of nuclear steam supply systems;an intermediate-pressure turbine connected to the high-pressure turbine and the dedicated steam reheater;a low-pressure turbine connected to the intermediate-pressure turbine and a generator;a condenser connected to the low-pressure turbine;a condensate pump connected to the condenser;a low-pressure heater connected to the condenser and the condensate pump;a deaerator connected to the low-pressure heater and the high-pressure turbine;a water supply pump connected to the deaerator; anda high-pressure heater connected to the water supply pump, the plurality of nuclear steam supply systems reactors and corresponding steam generators and the deaerator,wherein the high-pressure turbine, the dedicated steam reheater, the intermediate-pressure turbine, the low-pressure turbine, the condenser, the condensate pump, the low-pressure heater, the deaerator, the water supply pump, the high-pressure heater and the plurality of nuclear steam supply systems are connected in series to form a closed steam loop. 2. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 1, wherein the high-pressure heater is first connected to the dedicated steam reheater which is then connected to the plurality of nuclear steam supply systems. 3. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 2, wherein an outlet of the high-pressure heater is connected to a preliminary heating section of the dedicated steam reheater, and an inlet of the plurality of nuclear steam supply systems is connected to the preliminary heating section of the dedicated steam reheater. 4. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 1, wherein an outlet of the high-pressure turbine is connected to a reheating portion of each steam generator of each of the nuclear steam supply systems. 5. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 1, wherein the high-pressure turbine is connected to the dedicated steam reheater and the intermediate-pressure turbine, and wherein an outlet of the intermediate-pressure turbine is connected to the dedicated steam reheater and the dedicated steam reheater is connected to the low-pressure turbine. 6. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 1wherein each HTGR and steam generator of the plurality of nuclear steam supply systems are separately disposed in a pressure vessel, and further comprising a hot gas duct connected between the pressure vessel of each HTGR and steam generator of the plurality of nuclear steam supply systems and a primary helium circulator provided on an upper part of a shell of each of the corresponding steam generators. 7. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 6, wherein the HTGR has a core designed as a pebble-bed structure, and wherein fuel elements are located in the core. 8. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 6, wherein the HTGR has a fixed arrangement core of prismatic structure, and further comprising fuel elements located inside the core. 9. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 7, wherein the fuel elements comprise full ceramic type coated particle fuel elements. 10. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 6, wherein each of the plurality of steam generators comprise a once-through steam generator having a spiral tube structure. 11. The nuclear high-temperature gas-cooled reactor (HTGR) steam generating system according to claim 6,wherein the hot gas duct comprises a ring structure, in which an outer ring comprises a cold helium flow channel for helium flowing from the steam generator to the HTGR for each of the plurality of HTGRs corresponding steam generators, and an inner ring comprises a hot helium flow channel for helium flowing from the HTGR to the steam generator for each of the plurality of HTGRs and corresponding steam generators. 12. A method for nuclear high-temperature gas-cooled reactor (HTGR) steam generation, comprising:generating steam by a plurality of nuclear steam supply systems connected in parallel, wherein each nuclear steam supply system comprises: an HTGR and a steam generator;driving a high-pressure turbine with steam from the plurality of nuclear steam supply systems;utilizing a dedicated steam reheater comprising another HTGR separate from the plurality of nuclear steam supply systems;utilizing an intermediate-pressure turbine connected to the dedicated steam reheater and the high-pressure turbine;driving a low-pressure turbine connected to the high pressure intermediate-pressure turbine;utilizing a condenser connected to the low-pressure turbine;utilizing a condensate pump connected to the condenser;utilizing a low-pressure heater connected to the condenser and the condensate pump;utilizing a deaerator connected to the low-pressure heater;utilizing a water supply pump connected to the deaerator; andutilizing a high-pressure heater connected to the water supply pump, the plurality of nuclear steam supply systems and the deaerator,wherein the high-pressure turbine, the dedicated steam reheater, the intermediate-pressure turbine, the low-pressure turbine, the condenser, the condensate pump, the low-pressure heater, the deaerator, the water supply pump, the high-pressure heater and each of the plurality of nuclear steam supply systems are connected to form a closed steam loop;driving a generator with the low-pressure turbine; andfeeding steam from the low-pressure turbine to the condenser for heat release, then to the low-pressure heater, the deaerator, the water supply pump and the high-pressure heater, to complete a thermodynamic cycle. 13. The method according to claim 12, further comprising feeding steam flowing out of the high-pressure turbine to the dedicated steam reheater to reheat the steam, and then feeding the steam to the intermediate-pressure turbine and the low-pressure turbine. 14. The method according to claim 13, further comprising feeding wet steam from the low-pressure turbine to a preliminary section of the dedicated steam reheater. 15. The method according to claim 12, further comprising feeding the steam flowing out of the high-pressure turbine to a reheating portion of dedicated steam reheater to reheat the steam. 16. The method according to claim 12, further comprising feeding a part of the steam flowing out of the high-pressure turbine to the dedicated steam reheater to directly heat the steam, and feeding another part of the steam flowing out of the high-pressure turbine to the intermediate-pressure turbine, the steam exiting the intermediate-pressure turbine being heated by the dedicated steam reheater, with the steam heated directly as well as the steam is fed into the low-pressure turbine.
claims
1. An automated inspection assembly that includes a number of subassemblies comprising:a frame subassembly, having a first side and a second side having a length and width with the width substantially larger than a thickness of the frame subassembly between the first side and the second side, and the length having a first end and a second end along a longitudinal dimension of the length, the frame subassembly being configured to form the main support structure for the automated inspection assembly;a positioning arms subassembly, coupled to the frame subassembly, including a support arm remotely operable to extend out from or retract toward the first side of the frame subassembly;a kicker arm remotely operable to extend out from or retract toward the second side of the frame subassembly, the support arm and the kicker arm in an extended position being operable to wedge the frame subassembly between a member to be inspected and a surface opposed to the member to be inspected, with the support arm and the kicker arm configured to support the entire weight of the automated inspection assembly when in the extended position;a scanning subassembly supported from the frame subassembly and configured to scan at least a portion of the member; andwherein at least one of the kicker arm or the support arm is operable to move hydraulically. 2. The automated inspection assembly of claim 1 including an orientation pivot subassembly including a rotational pivot joint coupling the orientation pivot subassembly to the first end of the frame subassembly and configured to orient the frame subassembly in one of at least two vertical positions with either the first end up or the second end up. 3. The automated inspection assembly of claim 1 including a lead-in gripper subassembly attached to the second end of the frame subassembly and configured to position the automated inspection assembly. 4. The automated inspection assembly of claim 1 wherein the scanning subassembly is supported from the frame subassembly and includes a substantially horseshoe shaped scan head sized to receive the member to be scanned, a scan head pivot coupling joint and a linear drive box operable to rotate the scan head and move one or more transducers along the member to be inspected in a vertical, horizontal, radial, and a circumferential direction relative to the member, with the frame subassembly in-line with the member. 5. The automated inspection assembly of claim 4 wherein the pivot coupling is hung from an axis that is perpendicular to a flat surface of the first and second sides of the frame assembly. 6. The automated inspection assembly of claim 4 wherein the scanning subassembly is supported from one of the first end or the second end of the frame subassembly and is configured to move toward or away from the frame subassembly. 7. The automated inspection assembly of claim 1 wherein the support arm is formed in the general shape of a fork. 8. The automated inspection assembly of claim 7 wherein the member to be inspected is a pipe or pipe elbow and the support arm has a generally “U” shaped outer end configured to accept the pipe or pipe elbow within an opening in the “U.” 9. The automated inspection assembly of claim 1 wherein the support arm is configured to rotate out from the frame subassembly. 10. The automated inspection assembly of claim 1 wherein the kicker arm is configured to rotate out from the frame assembly. 11. The automated inspection assembly of claim 1 wherein the scanning assembly houses at least one inspection device selected from a group of sensors comprising an ultrasonic transducer, eddy current transducer and video image capture device. 12. The automated inspection assembly of claim 11 wherein the inspection device is configured to move around at least a portion of the circumference of the member and is also configured to move radially outward from the scanning subassembly to contact a surface of the member. 13. The automated inspection assembly of claim 1 wherein the support arm is operated to move hydraulically. 14. The automated inspection assembly of claim 13 wherein the kicker arm is operated to move pneumatically. 15. The automated inspection assembly of claim 1 wherein the support arm comprises two support limbs spaced along the longitudinal dimension of the frame subassembly, each of the limbs being operable to extend out from or retract toward the first side of the frame subassembly. 16. The automated inspection assembly of claim 15 wherein an outward end of the kicker arm is positioned along the second side of the frame subassembly so as to engage the opposed surface at an elevation in between a first and second elevation on the member to be inspected that the two limbs respectively contact. 17. The automated inspection assembly of claim 1 including a mounting cup configured to remotely couple to the frame subassembly to vertically and horizontally position the automated inspection assembly relative to the member to be inspected.
summary
040653528
claims
1. In a nuclear fuel element constructed by sealing nuclear fuel material in a cladding tube, the improvement which comprises a hydrogen getter formed of hydrogen-absorbing metal material enclosed in a hydrogen-permeable metal member which does not allow the passage of water, oxygen and other gases fitted into the cladding tube, whereby the hydrogen-absorbing metal material is prevented from having the surface coated with a protective film. 2. A nuclear fuel element according to claim 1, wherein the hydrogen-absorbing metal material is one selected from a group consisting of zirconium, titanium and alloys thereof. 3. A nuclear fuel element according to claim 1, wherein the metal permeable to hydrogen is one selected from a group consisting of palladium, alloys thereof and rhenium.
claims
1. A control rod drive system for a nuclear reactor vessel, the system comprising:a control rod drive mechanism mounted externally to the reactor vessel;a drive rod mechanically coupled to the control rod drive mechanism and extending through the reactor vessel into an interior cavity of the reactor vessel holding a nuclear fuel core, the control rod drive mechanism operable to raise and lower the drive rod through a plurality of vertical axial positions;a grapple assembly connected to the drive rod in the interior cavity of the reactor vessel and movable with the drive rod;an electromagnet mounted in the grapple assembly;a rod cluster control assembly comprising a plurality of control rods configured for removable insertion into the nuclear fuel core; anda drive rod extension extending axially between the rod cluster control assembly and the grapple assembly, the drive rod extension comprising:an axially extending actuator shaft having a top end including a magnetic block configured to releasably engage the electromagnet of the grapple assembly and a bottom end configured to releasably engage the rod cluster control assembly; anda lifting head sleeve including a diametrically enlarged lifting head, the lifting head sleeve slideably receiving the actuator shaft therethrough for axial upward and downward movement;wherein the electromagnet is operable to magnetically couple the actuating shaft to the grapple assembly at the top of the drive rod extension when the electromagnet is energized and uncouple the actuator shaft from the rod cluster control assembly at the bottom of the drive rod extension when the electromagnet is de-energized;wherein raising the actuator shaft when the electromagnet is energized couples the actuator shaft to the rod cluster control assembly and de-energizing the electromagnet lowers and uncouples the actuating shaft from the rod cluster control assembly. 2. The system of claim 1, wherein the bottom end of the actuator shaft includes a locking mechanism comprising radially movable locking elements releasably engageable with the rod cluster control assembly, the locking elements movable between a locked position coupling the actuator shaft to the rod cluster control assembly and an unlocked position uncoupled from the rod cluster control assembly. 3. The system of claim 2, wherein the locking elements are radially movable between the locked and unlocked positions by raising or lowering the actuator shaft with the drive rod. 4. The system of claim 3, wherein the locking elements are locking balls which engage an annular groove formed on the rod cluster control assembly in the locked position. 5. The system of claim 4, wherein the locking balls are movably retained in an adapter sleeve mounted on a bottom portion of the actuator shaft. 6. The system of claim 2, further comprising an actuator cap disposed on the bottom end of the actuator shaft, the actuator cap including a reduced diameter portion and larger diameter actuating portion operable to drive the locking elements radially outwards to engage the rod cluster control assembly. 7. The system of claim 1, wherein the actuator shaft is moveable independently of the lifting head sleeve for a first range of upward motion and moveable in unison with the lifting head sleeve for a second range of upward motion. 8. The system of claim 7, wherein the grapple assembly engages the lifting head in the second range of upward motion and does not engage the lifting head in the first range of upward motion. 9. The system of claim 1, further comprising a lifting head spring mounted on the lifting head, the magnetic block on the actuator shaft being arranged and operable to compress the lifting head spring against the lifting head by lowering the actuator shaft. 10. The system of claim 1, further comprising an annular bobbin concentrically mounted on the lifting head sleeve, wherein the grapple assembly is configured to engage and raise the bobbin into engagement with the lifting head such that the bobbin is at least partially nested inside the lifting head. 11. The system of claim 1, further comprising a longitudinally-extending actuator tube coupled between the lifting head sleeve and an adapter sleeve mounted on a bottom portion of the actuator shaft, the actuator shaft extending axially through the adapter sleeve. 12. The system of claim 1, wherein the control rod drive mechanism is configured and operable to maintain the drive rod and grapple assembly in axial position when the actuator shaft is uncoupled from the grapple assembly via de-energizing the electromagnet. 13. The system of claim 1, wherein responsive to a loss of power to the electromagnet, the actuator shaft is released from the grapple assembly and drops vertically to automatically uncouple the rod cluster control assembly from the actuator shaft for full insertion of the control rods into the fuel core while the drive rod and grapple assembly remain stationary in axial position. 14. The system of claim 1, wherein the grapple assembly includes a cylindrically shaped body defining a downwardly open chamber configured to movably receive the top end of the drive rod extension therein. 15. The system of claim 1, wherein the grapple assembly includes a plurality of radially retractable lifting pins engageable with the lifting head of the drive rod extension. 16. The system of claim 15, wherein the lifting head is configured and operable to engage and retract the lifting pins via lowering the grapple assembly over the lifting head. 17. The system of claim 1, wherein the lifting head has a larger diameter than the lifting head sleeve. 18. The system of claim 1, further comprising a retaining collar supported in a fixed position in the interior cavity of the reactor vessel, a portion of the lifting head sleeve slideably received in the retaining collar, wherein the retaining collar includes spring-loaded retaining pins configured and arranged to releasably engage the lifting head sleeve. 19. The system of claim 18, wherein raising the lifting head sleeve with the grapple assembly releases the lifting head sleeve from the retaining collar. 20. The system of claim 18, wherein the retaining pins of the retaining collar are radially engageable with an annular seating surface of the lifting head sleeve arranged between a radially protruding stop flange at a top end of the sleeve and a bottom end of the sleeve.
abstract
This invention provides a source of x-ray flux in which x-rays are produced by e-beams impacting the inner walls of holes or channels formed in a metal anode such that most of the electrons reaching the channel impact an upper portion of said channel. A portion of the electrons from this primary impact will generate x-rays. Most of the electrons scatter but they continue to ricochet down the channel, most of them generating x-rays, until the beam is spent. A single channel source of high power efficiency and high power level x-rays may be made in this way, or the source can be of an array of such channels, to produce parallel collimated flux beams of x-rays.
summary
summary
claims
1. A device for measuring a fill level in a pressurized fluid container, the device comprising:a pressure pipe guided out of the fluid container;a thermocouple constructed as a mineral-insulated cable and disposed at least partly in said pressure pipe;a pipe sleeve soldered in a partial section to said thermocouple and surrounding said thermocouple;a sealing device being effective as a pressure barrier, said sealing device having a connection element with a central part encompassing said pipe sleeve and being supported on said pipe sleeve and a connection piece disposed at a first side, protruding laterally and encompassing said pressure pipe;said connection element having a connection piece on a second side remote from said connection piece on the first side, said connection piece on the second side encompassing said pipe sleeve and abutting against said pipe sleeve in a contact region;said pipe sleeve being spaced apart from said thermocouple in said contact region by an annular gap;a screw connection connecting said connection piece on the first side to said pressure pipe; andsaid pressure pipe being spaced apart from said pipe sleeve and from said thermocouple by an annular gap. 2. The device according to claim 1, wherein the fluid container is a reactor pressure container of a nuclear facility. 3. The device according to claim 1, wherein said connection piece on the first side has a threaded piece, and said screw connection has a pipe nut screwed onto said threaded piece and simultaneously pressing a sealing element against an outer periphery of said pressure pipe. 4. The device according to claim 1, which further comprises a screw connection connecting said connection piece on the second side to said pipe sleeve. 5. The device according to claim 4, wherein said connection piece on the second side has a threaded piece, and a pipe nut is screwed onto said threaded piece and simultaneously presses a sealing element against an outer periphery of said pipe sleeve.
claims
1. An ultrasonic front-end device for use in an ultrasonic system, compatible with P types of reception channels, where P is an integer larger than or equal to 1; the ultrasonic front-end device being connected between a probe and a detector of the ultrasonic system and controlled by a primary controller of the ultrasonic system; the probe having M array elements, where M is an integer larger than or equal to 1, the ultrasonic front-end device having an ultrasonic transmission part and an ultrasonic reception part, wherein the ultrasonic transmission part comprises a transmission beamformer and M transmission driving units, and has M transmission channels; the ultrasonic reception part comprises M high-voltage isolation circuits, RC amplifiers, RC analog-to-digital converters (ADCs) and a beamformer electrically connected in said order and has RC reception channels, where RC=[N,2N,3N . . . p*N], N being an integer larger than or equal to 1,being characterized in that,M low-voltage analog switches and a network of resistors are serially connected between the M high-voltage isolation circuits and the RC amplifiers, wherein M low-voltage analog switches are configured to electrically connect RC array elements of the M array elements in the probe and the RC respective reception channels in the ultrasonic reception part as the scan lines of the ultrasonic diagnostic system change, and the network of resistors configured to connect the RC reception channels connected by the M low-voltage analog switches with the RC amplifiers, the network of resistors comprising M inputs IN[1, 2, 3, . . . , M] connected to the outputs of the low-voltage analog switches and RC outputs OUT[1, 2, . . . , RC] connected to the inputs of the RC amplifiers; the structure of the network of resistors can be expressed by the following formula: OUT[jj]=IN[jj+kk*RC], indicating that the output OUT[jj] and the input IN[jj+kk*RC] of the network of resistors are connected through resistors, where 1<=jj<=RC, 0<=kk<=INT(M/RC), INT denotes taking the integer part, if jj+kk*RC>M, a corresponding input does not exist, and there is no resistor connecting the corresponding input and the output of the network of resistors; anda digital reordering unit included in the reception beamformer comprises a plurality of 2:1 multiplexers and a plurality of D-type flip-flops (DFFs) coupled thereto correspondingly. 2. The ultrasonic front-end device as set forth in claim 1, wherein the low-voltage switches are single-stage analog switches. 3. The ultrasonic front-end device as set forth in claim 1, wherein the connection between the network of resistors and the low-voltage analog switches and the amplifiers is implemented through resistors, wherein based on the number of RC, the corresponding resistors in the network of resistors are soldered with the low-voltage analog switches and the amplifiers. 4. The ultrasonic front-end device as set forth in claim 1, wherein the transmission beamformer comprises a transmission parameter storage unit and a transmission parameter reordering unit, wherein the outputs from the transmission parameter reordering unit being provided to the transmission driving units, and the transmission parameter reordering unit comprising a plurality of stages each having M 2:1 multiplexers followed with respective DFFs. 5. The ultrasonic front-end device as set forth in claim 4, wherein the transmission beamformer sets and stores a set of ordered transmission parameters corresponding to the transmission channels respectively, to provide a binary control parameter B[K, K−1,K−2, . . . , 0] which varies as the scan lines of the ultrasonic system change, the control parameter controls an array of 2:1 multiplexers to convert the ordered transmission parameters into parameters for the current transmission channels, the array of 2:1 multiplexers comprises multiple stages, each of which stage having M 2:1 multiplexers, each bit of the parameter B controls M 2:1 multiplexers at a corresponding stage, where 2K+1≧M K being an integer larger than or equal to 0,wherein the inputs at the 0th stage are the transmission parameters for the M transmission channels;each bit of the parameter B is used to control M 2:1 multiplexers at a stage: if the bit is 0, the data from the “0” inputs of the 2:1 multiplexers are output, otherwise, the data on the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the array of 2:1 multiplexers are shifted 2K units rightward, the shift complies with the binary coding format and the outputs from the 2:1 multiplexers at the last stage are M digitally reordered transmission parameters. 6. The ultrasonic front-end device as set forth in claim 1, wherein for the reception beamformer that performs digital reordering on the reception parameters, the digital reordering unit included in the reception beamformer comprises delay parameter digital reordering units and apodization parameter digital reordering units, the delay parameter digital reordering units and apodization parameter digital reordering units each comprising a plurality of stages each having P*N 2:1 multiplexers having a “0” input and a “1” input and DFFs coupled thereto correspondingly. 7. The ultrasonic front-end device as set forth in claim 6, wherein for the reception beamformer that performs digital reordering on the reception parameters, the digital reordering unit included in the reception beamformer comprises delay parameter digital reordering units and apodization parameter digital reordering units, the delay parameter digital reordering units and apodization parameter digital reordering units each comprising multiple stages of 2:1 multiplexers and DFFs connected thereafter, each stage having P*N 2:1 multiplexers having a “0” input and a “1” input and P*N DFFs coupled thereto correspondingly for the reception beamformer that performs digital reordering on the reception parameters, the reception beamformer sets and stores a set of ordered reception parameters corresponding to the reception channels respectively, to provide a binary control parameter C[K, K−1,K−2, . . . , 0] which varies as the scan lines of the ultrasonic system change, the control parameter controlling an array of 2:1 multiplexers to convert the ordered reception parameters into parameters for the current reception channels, the array of 2:1 multiplexers comprising K+1 stages, each stage having P*N 2:1 multiplexers, where 2K+1≧P*N K being an integer larger than or equal to 0,wherein the inputs of the P*N 2:1 multiplexers at the 0th stage are set to the ordered reception parameters for the corresponding reception channels, each bit of the parameter C is used to control 2:1 multiplexers at a corresponding stage: if the bit is 0, the data from the “0” inputs of the 2:1 multiplexers are output, otherwise, the data on the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the whole array of 2:1 multiplexers are shifted 2K units rightward, signals on the inputs of the multiplexers at the C[0] stage are shifted 1 unit rightward, signals on the inputs of the multiplexers at the C[1] stage are shifted 2 units rightward, signals on the inputs of the multiplexers at the C[2] stage are shifted 4 units rightward, . . . , and signals on the inputs of the multiplexers at the C[K] stage are shifted 2K units the shift complies with the binary coding format, and the outputs from the 2:1 multiplexers at the last stage are P*N digitally reordered reception parameters. 8. The ultrasonic front-end device as set forth in claim 1, wherein for the reception beamformer that performs digital reordering on the received signals, the digital reordering unit included in the reception beamformer comprises multiple stages of 2:1 multiplexers and DFFs connected thereafter, each stage comprising a plurality of stages each having P*N 2:1 multiplexers having a “0” input and a “1” input and P*N DFFs coupled thereto correspondingly;based on a binary control parameter C[K, K−1,K−2, . . . , 0] which varies as the scan lines of the ultrasonic system change, an array of 2:1 multiplexers are controlled to perform digital reordering on the received signals, the array of 2:1 multiplexers including k+1 stages, each stage having P*N 2:1 multiplexers, where 2K+1≧P*N K being an integer larger than or equal to 0,wherein signals from the ADCs are received at the inputs of the P*N 2:1 multiplexers at the 0th stage, each bit of the control parameter C is used to control M 2:1 multiplexers at a corresponding stage: if the bit is 0, the data from the “0” inputs of the 2:1 multiplexers are output, otherwise, the data from the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the array of 2:1 multiplexers are shifted 2K units rightward, the signals on the inputs of the multiplexers at the C[0] stage are shifted 1 unit rightward, the signals on the inputs of the multiplexers at the C[1] stage are shifted 2 units rightward, the signals on the inputs of the multiplexers at the C[2] stage are shifted 4 units rightward, the signals on the inputs of the multiplexers at the C[3] stage are shifted 8 units rightward, . . . , and the signals on the inputs of the multiplexers at the C[K] stage are shifted 2K units rightward, the shift complies with the binary coding format and the outputs from the 2:1 multiplexers at the last stage are P*N digitally reordered signals. 9. A operating method of an ultrasonic front-end device in an ultrasonic diagnostic system, wherein the ultrasonic front-end device is compatible with P types of reception channels, where P is an integer larger than or equal to 1; the ultrasonic front-end device is connected between a probe and a detector of the ultrasonic system and controlled by a primary controller of the ultrasonic system, the probe comprising M array elements, where M is an integer larger than or equal to 1, the ultrasonic front-end device comprising an ultrasonic transmission part and an ultrasonic reception part, wherein the ultrasonic transmission part comprises a transmission beamformer and M transmission driving units, and has M transmission channels, and the ultrasonic reception part has RC reception channels, where RC=[N,2N,3N . . . p*N], N being an integer larger than or equal to 1, and comprises M high-voltage isolation circuits, RC amplifiers, RC analog-to-digital converters (ADCs) and a beamformer electrically connected in said order, wherein, M low-voltage analog switches and a network of resistors are serially connected between the M high-voltage isolation circuits and the RC amplifiers, the M low-voltage analog switches are configured to electrically connect RC array elements of the M array elements in the probe and the RC corresponding reception channels in the ultrasonic reception part as the scan lines of the ultrasonic system change; the network of resistors is configured to connect the RC reception channels connected by the M low-voltage analog switches with the RC amplifiers, the network of resistors comprises M inputs IN[1, 2, 3, . . . , M] connected to the outputs of the low-voltage analog switches and RC outputs OUT[1, 2, . . . , RC] connected to the inputs of the amplifiers, the structure of the network of resistors is expressed by the following formula: OUT[jj]=IN[jj+kk*RC], indicating that the output OUT[jj] and the input IN[jj+kk*RC] of the network of resistors are connected through resistors, where IRC), INT denotes taking the integer part, if jj+kk*RC>M, since such a corresponding input does not exist, and there is no resistor connecting the corresponding input and the output of the network of resistors; and a digital reordering unit included in the reception beamformer comprises a plurality of 2:1 multiplexers and a plurality of D-type flip-flops (DFFs coupled thereto correspondingly, the method comprising the steps of:(1) emitting pulses by the ultrasonic transmission part with transmission parameters, to activate the currently selected transmission array elements in the probe of the ultrasonic system to transmit ultrasonic waves;(2) receiving echoes of the ultrasonic waves and converting them into electric signals by the currently selected reception array elements in the probe;(3) receiving the electric signals from the probe by the high-voltage isolation circuits in the ultrasonic reception part;(4) electrically connecting, by the M low-voltage analog switches in the ultrasonic reception part, RC array elements of the M array elements in the probe and RC corresponding reception channels in the ultrasonic reception part as the scan lines of the ultrasonic diagnostic system change;(5) connecting, by the network of resistors, the RC reception channels connected by the M low-voltage analog switches with the RC amplifiers;(6) amplifying and analog-to-digital (AD) converting the received electric signals by the amplifiers and the ADCs in the ultrasonic reception part; and(7) digital reordering the reception parameters or the received signals, and beam forming by the beamformer in the ultrasonic reception part. 10. The method as set forth in claim 9, wherein the step (1) further comprises the substeps of:(1a) setting and storing, by the transmission beamformer in the ultrasonic transmission part, a set of ordered transmission parameters corresponding to the transmission channels; and(1b) providing, by the transmission beamformer, a binary control parameter B[K, K−1,K−2, . . . , 0] which varies as the scan lines of the ultrasonic system change; the parameter controls an array of 2:1 multiplexers to convert the ordered transmission parameters into parameters for the current transmission channels; the array of 2:1 multiplexers comprises a plurality of stages each having M 2:1 multiplexers, each bit of the parameter controls M 2:1 multiplexers at a corresponding stage, where 2K+≧M K being an integer larger than or equal to 0; the inputs at the 0th stage are the ordered transmission parameters for the M transmission channels; each bit of the parameter B is used to control M 2:1 multiplexers at a corresponding stage: if the bit is 0, the data on from “0” inputs of the 2:1 multiplexers are output, otherwise, the data on the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the array of 2:1 multiplexers are shifted 2K units rightward, the signals on the inputs of the multiplexers at the B[0] stage are shifted 1 unit rightward, the signals on the inputs of the multiplexers at the B[1] stage are shifted 2 units rightward, the signals on the inputs of the multiplexers at the B[2] stage are shifted 4 units rightward, the signals on the inputs of the multiplexers at the B[3] stage are shifted 8 units rightward, and the signals on the inputs of the multiplexers at the B[K] stage are shifted 2K units rightward, the shift complies with the binary coding format, and the outputs from the 2:1 multiplexers at the last stage are M digitally reordered transmission parameters. 11. The method as set forth in claim 9, wherein for the reception parameters, the step (7) comprises the substeps of:(7a) setting and storing a set of ordered reception parameters corresponding to the reception channels, by the reception beamformer in the ultrasonic reception part; and(7b) providing, by the reception beamformer, a binary control parameter C[K, K−1,K−2, . . . , 0] which varies as the scan lines of the ultrasonic system change, the control parameter controlling an array of 2:1 multiplexers to convert the ordered reception parameters into parameters for the current reception channels; the array of 2:1 multiplexers comprises multiple stages each having P*N 2:1 multiplexers, each bit of the parameter controls P*N 2:1 multiplexers at a corresponding stage, where 2K+1≧P*N K being an integer larger than or equal to 0, wherein all the inputs of the P*N 2:1 multiplexers at the 0th stage are the reception parameters for the corresponding reception channels; each bit of the parameter C is used to control 2:1 multiplexers at a corresponding stage: if the bit is 0, the data from the “0” inputs of the 2:1 multiplexers are output, otherwise, the data from the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the whole array of 2:1 multiplexers are shifted 2K units rightward, the signals on the “1” inputs of the multiplexers at the C[0] stage are shifted 1 unit rightward, the signals on the “1” inputs of the multiplexers at the C[1]stage are shifted 2 units rightward, the signals on the “1” inputs of the multiplexers at the C[2] stage are shifted 4 units rightward, and the signals on the “1” inputs of the multiplexers at the C[K] stage are shifted 2K units rightward; the shift complies with the binary coding format, and the outputs from the 2:1 multiplexers at the last stage are P*N digitally reordered reception parameters. 12. The method as set forth in claim 9, wherein for the received signals, the digital reordering and beam forming at the step (7) comprises a substep of:providing, by the reception beamformer, a binary control parameter C[K, K−1,K−2, . . . 0] which varies as the scan lines of the ultrasonic system change, the control parameter controls an array of 2:1 multiplexers; the array of 2:1 multiplexers includes k+1 stages each having P*N 2:1 multiplexers, where 2K+1≧p*N K being an integer larger than or equal to 0, wherein signals from the ADCs are received by the inputs of the P*N 2:1 multiplexers at the 0th stage, each bit of the control parameter C is used to control P*N 2:1 multiplexers at a corresponding stage: if the bit is 0, the data from the “0” inputs of the 2:1 multiplexers are output, otherwise, the data from the “1” inputs of the 2:1 multiplexers are output; the signals on the “1” inputs of the array of 2:1 multiplexers are shifted 2K units rightward, the signals on the inputs of the multiplexers at the C[0] stage are shifted 1 unit rightward, the signals on the inputs of the multiplexers at the C[1] stage are shifted 2 units rightward, the signals on the inputs of the multiplexers at the C[2] stage are shifted 4 units rightward, the signals on the inputs of the multiplexers at the C[3] stage are shifted 8 units rightward, and the signals on the inputs of the multiplexers at the C[K] stage are shifted 2K units rightward, the shift complies with the binary coding format, and the outputs from the 2:1 multiplexers at the last stage are P*N digitally reordered signals.
claims
1. A system comprising:a radiation source comprising a plurality of focal spots located at different positions;a detector comprising a plurality of detector cells;a first grid located between the radiation source and the detector cells, the first grid comprising a plurality of radiation transmitting sections and a plurality of radiation absorbing sections; anda shielding device configured for adjustably blocking the radiation source, the shielding device being movably attached to a side of at least one of the plurality of radiation absorbing sections at a connecting point and being swinging around the connecting point by an angle;whereinat least one of the plurality of detector cells comprises an active area receiving radiation from the radiation source that passes through at least one of the plurality of radiation transmitting sections of the first grid,the radiation source, the first grid, the shielding device, and the detector cells are operatively coupled for detecting an object, andthe active area is adjustable by adjusting at least one of the first grid, the shielding device, or a position of at least one of the plurality of focal spots of the radiation source. 2. The system according to claim 1, wherein the active area is adjustable by adjusting the position of the first grid or tilting the first grid by an angle. 3. The system according to claim 1, further comprising a second grid, the second grid being located between the first grid and the detector. 4. The system according to claim 3, the second grid comprising a plurality of radiation transmitting portions, and at least one of the plurality of radiation transmitting portions being coupled with the active area. 5. The system according to claim 4, the first grid and the second grid being moveable relative to each other. 6. The system according to claim 4, the active area being at least partially determined by the at least one of the plurality of radiation transmitting sections of the first grid and at least one of the plurality of radiation transmitting portions of the second grid. 7. The system according to claim 3, at least one of the plurality of radiation transmitting sections extending in a first direction. 8. The system according to claim 7, the first grid being moveable in a second direction perpendicular to the first direction. 9. The system according to claim 7, the second grid being moveable in the first direction. 10. The system according to claim 1, the detecting the object comprising scanning the object with radiation from at least two different focal spots of the radiation source. 11. The system according to claim 1, wherein the shielding device comprises one or more plates. 12. A method comprising:locating a first grid and a shielding device between a radiation source and a detector, the radiation source comprising a plurality of focal spots located at different positions, the detector comprising a plurality of detector cells, the first grid comprising a plurality of radiation transmitting sections and a plurality of radiation absorbing sections, the shielding device being movably attached to a side of at least one of the plurality of radiation absorbing sections at a connecting point and being swinging around the connecting point by an angle;emitting radiation from the radiation source toward the first grid; andreceiving, on an active area of at least one of the plurality of detector cells, the radiation that passes through at least one of the plurality of radiation transmitting sections of the first grid;whereinthe active area is adjustable by adjusting at least one of the first grid, the shielding device, or a position of at least one of the plurality of focal spots of the radiation source, andthe radiation source, the first grid, the shielding device, and the detector cells are operatively coupled for detecting an object. 13. The method according to claim 12, wherein the active area is adjustable by adjusting the position of the first grid or tilting the first grid by an angle. 14. The method according to claim 12, further comprising locating a second grid between the first grid and the detector. 15. The method according to claim 14, the second grid comprising a plurality of radiation transmitting portions, and at least one of the plurality of radiation transmitting portions being coupled with the active area, wherein the active area is at least partially determined by the at least one of the plurality of radiation transmitting sections of the first grid and the at least one of the plurality of radiation transmitting portions of the second grid. 16. The method according to claim 15, the first grid and the second grid being moveable relative to each other. 17. The method according to claim 12, the at least one of the plurality of radiation transmitting sections extending in a first direction. 18. The method according to claim 17, further comprising moving the first grid in a second direction perpendicular to the first direction. 19. The method according to claim 17, further comprising moving the second grid in the first direction. 20. The method according to claim 12, wherein the shielding device comprises one or more plates.
abstract
An x-ray source (32) for performing energy discrimination within an imaging system (10) includes a cathode-emitting device (82) emitting electrons and an anode (81) that has a target (80) whereupon the electrons impinge to generate an x-ray beam (93) with multiple x-ray quantity energy peaks (116 and 120). A method of performing energy discrimination in the imaging system (10) includes emitting the electrons. The x-ray beam (93) with the x-ray quantity energy peaks (116 and 120) is generated. The x-ray beam (93) is directed through an object (44) and is received. An x-ray image having multiple energy differentiable characteristics is generated in response to the x-ray beam (93).
summary
claims
1. A cryptate complex comprising potassium [18F] fluoride and an aryl-fused [2.2.2] cryptate wherein the cryptate is
summary
summary
claims
1. A charged particle beam deflector, comprising:a dielectric wall accelerator (DWA) having a hollow center and including a dielectric wall that is substantially parallel to a z-axis that runs through the hollow center, the dielectric wall comprising one or more deformed high gradient insulators (HGIs) configured to produce an electric field comprising a component in a direction perpendicular to the z-axis; anda control component configured to establish the component of the electric field in the direction perpendicular to the z-axis and to control deflection of a charged particle beam in the direction perpendicular to the z-axis as the charged particle beam travels through the hollow center; andan electro-mechanical component coupled to the one or more HGIs that comprises a deformable multilayer HGI comprising alternating dielectric and conductor materials that are arranged to form a changeable slant angle with respect to an axis normal to the z-axis, the electro-mechanical component operable to change the changeable slant angle of the deformable multilayer HGI to allow scanning of the charged particle beam at least in part based on the changeable scan angle when the slant angle is changed by the electro-mechanical component from one slant angle to another slant angle. 2. The charged particle beam deflector of claim 1, wherein the one or more HGIs comprise a deformed grooved HGI, the deformed grooved HGI comprising periodic grooves that are structured as part of a dielectric material and are arranged to form a slant angle, θ, with respect to an axis normal to the z-axis. 3. The charged particle beam deflector of claim 1, wherein the one or more HGIs comprise a deformed multilayer HGI comprising alternating dielectric and conductor materials that are arranged to form a slant angle, θ, with respect to an axis normal to the z-axis. 4. The charged particle beam deflector of claim 1, wherein:the DWA comprises a first deformed HGI and a second HGI arranged in a cascade fashion; andthe control component is configured to establish:at least a portion of the component of the electric field in the direction perpendicular to the z-axis across the first deformed HGI,a first axial component of the electric field along the z-axis across the first deformed HGI, anda second axial component of the electric field along the z-axis across the second HGI that is opposite in direction to the first axial component. 5. The charged particle beam deflector of claim 4, wherein the control component is configured to establish the first and the second axial components such that the charged particle beam experiences substantially zero net acceleration after traveling through the hollow center of both HGIs. 6. The charged particle beam deflector of claim 4, wherein the second HGI is one of a normal HGI, a deformable HGI or a deformed HGI. 7. The charged particle beam deflector of claim 1, wherein:the DWA comprises a first deformed grooved HGI; andthe control component is configured to establish:at least a portion of the component of the electric field in the direction perpendicular to the z-axis across the deformed grooved HGI, anda first axial component of the electric field along the z-axis across the first deformed grooved HGI. 8. The charged particle beam deflector of claim 1, wherein the control component is configured to apply one or more voltages to the DWA for establishing the electric field. 9. The charged particle beam deflector of claim 8, wherein the control component is configured to vary the applied voltage(s) to modify the electric field strength. 10. The charged particle beam deflector of claim 1, wherein the electro-mechanical component is configured to rearrange physical characteristics of the deformable HGI, and to thereby change at least the slant angle of alternating dielectric and conductor materials of the deformable HGI with respect to the axis normal to the z-axis. 11. The charged particle beam deflector of claim 1, wherein at least one of the one or more deformed HGIs is configured to be rotated around the z-axis. 12. A radiation therapy system comprising the charged particle beam deflector of claim 1. 13. The radiation therapy system of claim 12, wherein the one or more deformed HGIs constitute a section of the DWA that includes additional sections comprising normal HGIs. 14. The radiation therapy system of claim 12, wherein the one or more deformed HGIs constitute a mechanism for diverting the charged particle beam from one therapy room to another therapy room and/or around a patient's location. 15. The radiation therapy system of claim 12, wherein the charged particle beam deflector is configured to allow scanning of the charged particle beam across a target area. 16. A method for scanning a charged particle beam, comprising:applying one or more voltages to a dielectric wall accelerator (DWA) having a hollow center and including a dielectric wall that is substantially parallel to a z-axis that runs through the hollow center, the dielectric wall comprising one or more deformed high gradient insulators (HGIs) configured to produce an electric field comprising a component in a direction perpendicular to the z-axis, the applied one or more voltages establishing the electric field, the DWA comprising an electro-mechanical component coupled to the one or more HGIs that comprises a deformable multilayer HGI comprising alternating dielectric and conductor materials that are arranged to form a changeable slant angle with respect to an axis normal to the z-axis, the electro-mechanical component operable to change the changeable slant angle of the deformable multilayer HGI to allow scanning of the charged particle beam at least in part based on the changeable slant angle;changing the changeable slant angle the electro-mechanical component from one slant angle to another slant angle to rearrange physical characteristics of the deformable HGI; andvarying the applied one or more voltages to control deflection of a charged particle beam in the direction perpendicular to the z-axis as the charged particle beam travels through the hollow center. 17. The method of claim 16, wherein:the DWA comprises a deformed grooved HGI, the deformed grooved HGI comprising periodic grooves that are structured as part of a dielectric material and are arranged to form a slant angle, θ, with respect to an axis normal to the z-axis; andvarying the applied one or more voltages varies the strength of the electric field component in the direction perpendicular to the z-axis. 18. The method of claim 16, wherein:the DWA comprises a deformed multilayer HGI comprising alternating dielectric and conductor materials that are arranged to form a slant angle, θ, with respect to an axis normal to the z-axis; andvarying the applied one or more voltages varies the strength of the electric field component in the direction perpendicular to the z-axis. 19. The method claim 16, wherein:varying the applied one or more voltages varies the strength of the electric field component in the direction perpendicular to the z-axis. 20. The method claim 16, wherein:the DWA comprises a first deformed HGI and a second HGI arranged in a cascade fashion; andapplying the one or more voltages comprises:applying a first voltage to establish at least a portion of the component of the electric field in the direction perpendicular to the z-axis across the first deformed HGI and a first axial component of the electric field along the z-axis across the first deformed HGI, andapplying a second voltage to establish a second axial component of the electric field along the z-axis across the second HGI that is opposite in direction to the first axial component. 21. The method claim 20, wherein the first and the second voltage values are selected to establish the first and the second axial components such that the charged particle beam experiences substantially zero net acceleration after traveling through the hollow center of both HGIs. 22. The method of claim 16, wherein:the DWA comprises a first deformed grooved HGI; andapplying the one or more voltages comprises applying a first voltage to establish at least a portion of the component of the electric field in the direction perpendicular to the z-axis across the first deformed grooved HGI and a first axial component of the electric field along the z-axis across the first deformed grooved HGI. 23. The method of claim 16, wherein varying the one or more voltages comprises:applying a first voltage to establish a first electric field component in the direction perpendicular to the z-axis and to thereby cause the charged particle beam to be deflected to a first position in an x-y plane that is perpendicular to the z-axis; andapplying a second voltage to establish a second electric field component in the direction perpendicular to the z-axis and to thereby cause the charged particle beam to be deflected to a second position in the x-y plane. 24. The method of claim 16, wherein the changing the changeable slant angle comprises causing physical movement of alternating dielectric and conductor materials of the deformable HGI to change the changeable slant angle of the of alternating dielectric and conductor materials with respect to an axis normal to the z-axis, and to control the deflection of the charged particle beam. 25. The method of claim 16, further comprising rotating at least one of the one or more HGIs to cause the charged particle beam's deflection from a first location to a second location in an x-y plane that is perpendicular to the z-axis.
summary
description
This application claims priority from Korean Patent Application No. 10-2009-0037612, filed on Apr. 29, 2009, in the Korean Intellectual Property Office, the entire disclosure of which is incorporated herein by reference. 1. Technical Field The present invention relates to a cooling duct that directly injects emergency core cooling water which is supplied from a high-pressure safety injection pump or a safety injection tank, to a downcomer, which is formed by a reactor pressure vessel and a core barrel in a pressurized light-water nuclear reactor. In particular, the present invention relates to a longitudinally divided emergency core cooling (ECC) duct for an emergency core cooling water injection that includes side supports for the cooling duct, has structural stability while thermally expanding and contracting, and is divided into a plurality of longitudinally-divided ducts in the longitudinal direction of the cooling duct. 2. Related Art A pressurized light-water nuclear reactor is designed with consideration for a sufficient safety factor, but accidents could happen contrary to expectations. If the emergency core cooling water is not sufficiently supplied to the nuclear reactor during an accident (for example, the leakage of a large amount of cooling water), a core of the nuclear reactor could get overheated so that the nuclear reactor may get damaged. The pressurized light-water nuclear reactor is provided with the high-pressure safety injection pumps and safety injection tanks so that the emergency core cooling water is supplied to the nuclear reactor from the outside to cool the core when the accident (for example, a loss of coolant) occurs. The methods of supplying emergency core cooling water are classified into a cold leg-injection method that uses an injection nozzle positioned at a cold leg and a direct injection method that uses an injection nozzle positioned at a reactor pressure vessel. The cold leg-injection method has a problem in that all emergency core cooling water leaks through a fractured portion when the cold leg is fractured, which causes the effectiveness of the cooling of the core of the nuclear reactor to deteriorate. In order to solve the problem of the cold leg-injection method, there has been employed an improved injection method that provides a direct vessel injection (DVI) nozzle for directly supplying the emergency core cooling water to a downcomer between the reactor pressure vessel and a core barrel by using a direct vessel injection nozzle, thereby preventing the emergency core cooling water through a cold leg. However, the direct injection method also has a problem in that emergency core cooling water is directly discharged to the outside of the reactor pressure vessel due to the strong cross-flow in the downcomer, which causes a flow of the injected emergency cooling water toward the broken cold leg bypassing the core. In order to solve this problem, there has been proposed a method disclosed in U.S. Pat. No. 5,377,242 (James D. Carlton et. al) where a direct vessel injection nozzle merely extends to a core inlet: a method disclosed in U.S. Pat. No. 5,135,708 (James D. Carlton et al.), and Korean Patent Application publication No. 2000-0074521 (Hanlim. Choi et al.) where a narrow gap is formed between a direct vessel injection nozzle and a cooling duct and a cooling duct merely extends toward the lower side of a downcomer. In these cases, when a pipe of the direct vessel injection nozzle is fractured, the lowermost end outlet of the cooling duct is reversed as an inlet for fracture flow so that the level of the cooling water in the nuclear reactor is constantly lowered and reaches the lowermost end outlet of the cooling duct or below. If the level of the cooling water is lowered as described above, the core of the nuclear reactor is exposed, which may result in fatalities. According to a technique concerning a pipe, which injects emergency core cooling water, of “safety injection system including extension duct for core barrel (Taesoon. Kwon et al., Korean Patent Application No. 2008-0024306)”, since the bearing resistance, which is born against strong cross-flow in a downcomer by a cooling duct, is weak, the pipe is structurally weak. Further, when a nuclear reactor starts at a low temperature and is heated to a high-temperature output condition, the pipe is weakened against a thermal stress at the welded portions of the structures. In particular, as the length of the cooling duct is increased, the pipe becomes structurally weaker against the thermal stress that is caused by thermal expansion and contraction. There is a demand for an emergency core cooling (ECC) duct for emergency core cooling water injection that can prevent reversal of water levels at the inlet and outlet when an accident (for example, the fracture of a direct injection pipe) occurs, has sufficient structural durability to bear a strong cross-flow in a downcomer, and suppresses the thermal stress that is generated at a welded portion of an injection duct until a nuclear reactor reaches a high temperature after starting at low temperature, and can prevent an excessive load of backflow that is applied to the ECC duct during an initial core backflow when a large cold leg is fractured. An aspect of the present invention provides an emergency core cooling (ECC) duct for the emergency core cooling water injection of a nuclear reactor that can suppress the occurrence of flow-induced vibration by a cooling duct and has structural stability while thermally expanding and contracting. Another aspect of the present invention also provides a longitudinally divided ECC duct for emergency core cooling water injection of a nuclear reactor that can prevent an excessive load of backflow applied to the longitudinally divided ECC duct in the period of initial core backflow when a large cold leg is fractured. According to an aspect of the present invention, there is provided a longitudinally divided emergency core cooling (ECC) duct for the emergency core cooling water injection of a nuclear reactor. The longitudinally divided ECC duct is provided on a periphery of a core barrel of the nuclear reactor at a position facing a direct vessel injection nozzle, and extends in a longitudinal direction of the core barrel. The longitudinally divided ECC duct is formed of a plurality of longitudinally-divided ducts divided in the longitudinal direction. In the embodiments, the longitudinally divided ECC duct is divided in the longitudinal direction in order to disperse longitudinal thermal stress caused by thermal expansion and contraction that is increased due to the increase of the length of the longitudinally divided ECC duct. Accordingly, a gap may be formed between adjacent longitudinally-divided ducts, or a part of adjacent longitudinally-divided ducts may partially overlap each other. If the longitudinally divided ECC duct is formed so that the longitudinally-divided ducts overlap each other, the longitudinally-divided ducts of the longitudinally divided ECC duct may move and slide relative to one another in the longitudinal direction as the longitudinally-divided ducts expand or contract due to heat. For example, the longitudinally divided ECC duct has a U-shaped cross section so as to have a substantially trapezoidal cross section with respect to the surface of the core barrel, and the side surfaces of the longitudinally divided ECC duct form slopes. A space, which is surrounded by the longitudinally divided ECC duct and the surface of the core barrel, forms a flow passage for the emergency core cooling water through which the emergency core cooling water is injected into the nuclear reactor. In the embodiments, the longitudinally divided ECC duct may further include a plurality of side supports, which are provided at regular intervals and fixes the longitudinally divided ECC duct, so as to bear the strong cross-flow in the downcomer. The side supports may be provided at regular intervals in the longitudinal direction of the longitudinally divided ECC duct. Further, an inlet, through which the emergency core cooling water is injected into the longitudinally divided ECC duct, may be formed on an upper surface of the longitudinally divided ECC duct. The upper surface may be gently curved and convex with respect to a surface of the core barrel. In the embodiments, an upper end of the gently curved and convex duct may be closed and a lower end of the longitudinally divided ECC duct may be opened. An outlet guide, which changes a direction of the backflow when a sudden backflow is generated from a core of the nuclear reactor toward the longitudinally divided ECC duct, may be provided near the lower end of the longitudinally divided ECC duct. The outlet guide may protrude from the surface of the core barrel, and reduces the flow resistance that is generated when a high-speed backward fracture flow generated before the emergency core cooling water is injected into the nuclear reactor is injected into the longitudinally divided ECC duct, thereby, improving the safety of the longitudinally divided ECC duct. According to the embodiments of the present invention, since the longitudinally divided ECC duct having a U-shaped cross section without a bottom is fixed to the core barrel by the side supports as described above, it may be possible to more firmly fix the longitudinally divided ECC duct to the core barrel. Accordingly, it may be possible to further improve the structural stability of the longitudinally divided ECC duct against the high-speed cross-flow, which is generated in the downcomer, in comparison with a simple cooling duct in the related art. Further, the cooling duct is divided into the plurality of longitudinally-divided ducts. A gap or overlap, which copes with the length corresponding to thermal expansion and contraction, is formed between the longitudinally-divided ducts, so that the longitudinally-divided ducts can move and slide relative to one another during the thermal expansion or contraction of the cooling duct. Since a much smaller thermal stress is applied to the longitudinally-divided ducts in comparison with the thermal stress applied to a long cooling duct, the cooling duct has structural stability. The reason for this is that the thermal stress of a long cooling duct is dispersed by the longitudinally-divided ducts. Reference will now be made in detail to embodiments of the present invention, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to the like elements throughout. The embodiments are described below in order to explain the present invention by referring to the figures. A longitudinally divided emergency core cooling (ECC) duct for emergency core cooling water injection of a nuclear reactor according to an embodiment of the present invention will be described in detail below with reference to FIGS. 1 to 5. A pressurized light-water nuclear reactor 100 mainly includes a reactor pressure vessel 120, and a core barrel 110 that is provided in the reactor pressure vessel 120 and receives a core 101. A core 101 into which nuclear fuel rods are charged is provided in the core barrel 110, a diameter of the core barrel 110 is smaller than a diameter of the reactor pressure vessel 120 by a predetermined amount, and a space between the core barrel 110 and the reactor pressure vessel 120 functions as a downcomer 102. In the nuclear reactor 100, emergency core cooling water is directly injected to the reactor pressure vessel 120. The nuclear reactor is provided with a direct vessel injection nozzle 121, a plurality of cold legs 122, and a plurality of hot legs 123. The direct vessel injection nozzle 121 and the plurality of cold legs 122 are provided on one side of the reactor pressure vessel 120. Emergency core cooling water is injected into the nuclear reactor through the direct vessel injection nozzle 121, and cooling water is injected into the nuclear reactor through the plurality of cold legs 122 during the normal operation of the nuclear reactor 100. The plurality of hot legs 123 is connected to the core barrel 110, and function as outlets. The cooling water, which flows into the nuclear reactor through the plurality of cold legs 122 and is heated while passing by the core 101 through the downcomer 102, flows toward a steam generator through the outlets. For example, the nuclear reactor 100 may be provided with four cold legs 122 and two hot legs 123. Since a detailed structure of the nuclear reactor 100 may be understood from well-known arts and is not a main point of the present invention, detailed descriptions and drawings of the nuclear reactor will be omitted herein. Longitudinally divided ECC ducts 130, which function as flow passages through which the emergency core cooling water injected from the direct vessel injection nozzle 121 flows, are provided in the downcomer 102. For example, each of the longitudinally divided ECC ducts 130 extends in the longitudinal direction of the core barrel 110, and four longitudinally divided ECC ducts 130 are provided on the periphery of the core barrel 110. However, a shape of the longitudinally divided ECC duct 130 is not limited to the shape shown in the drawings. A length, a position, and a number of the longitudinally divided ECC duct 130 may be substantially and variously changed. According to the present embodiment, the direct vessel injection nozzle 121 and the longitudinally divided ECC duct 130 are not mechanically connected to each other. Accordingly, it may be possible to prevent the longitudinally divided ECC duct 130 from interfering with peripheral structures during assembly and disassembly of the reactor pressure vessel 120 and the core barrel 110. The longitudinally divided ECC duct 130 is formed at a position facing the direct vessel injection nozzle 121, so that the emergency core cooling water injected from the direct vessel injection nozzle 121 is directly injected to the longitudinally divided ECC duct. A flow passage, through which the emergency core cooling water flows, is formed in the longitudinally divided ECC duct. The longitudinally divided ECC duct 130 is formed of a plurality of longitudinally-divided ducts 130a, 130b, and 130c that are divided in the longitudinal direction of the longitudinally divided ECC duct 130. An upper cover plate 136 is provided at an upper end of the longitudinally divided ECC duct, so that the emergency core cooling water injected from the direct vessel injection nozzle 121 flows to the lower portion of the longitudinally divided ECC duct 130. Accordingly, the upper end of the longitudinally divided ECC duct 130 is closed and the lower end, thereof, is opened. Further, a cavity is formed in the longitudinally divided ECC duct 130 so that the flow passage, through which the emergency core cooling water flows, is formed in the longitudinally divided ECC duct. A side of the longitudinally divided ECC duct coming in contact with the core barrel 110 is opened so that the longitudinally divided ECC duct has a U-shaped cross section. That is, a space, which is surrounded by three sides of the longitudinally divided ECC duct 130 and the surface of the core barrel 110, forms the flow passage through which the emergency core cooling water flows. In this case, since the longitudinally divided ECC duct 130 has a U-shaped cross section without a bottom, the contact area between the longitudinally divided ECC duct 130 and the core barrel 110 is reduced. Accordingly, it may be possible to suppress the flow-induced vibration that is generated in the contact surface between the longitudinally divided ECC duct 130 and the core barrel 110. Furthermore, the upper surface 131 of the longitudinally divided ECC duct 130 has the shape of a predetermined convex curved surface. Since the upper surface 131 of the longitudinally divided ECC duct 130 is formed of a curved surface, the longitudinally divided ECC duct allows for thermal expansion when the longitudinally divided ECC duct 130 thermally expands. Therefore, the longitudinally divided ECC duct 130 may be prevented from becoming twisted and may have structural stability. An inlet 133, into which the emergency core cooling water injected from the direct vessel injection nozzle 121 is injected, is formed on the upper surface 131 of the longitudinally divided ECC duct 130 at a position facing the direct vessel injection nozzle 121. Further, side slopes 132 are formed at side surfaces of the longitudinally divided ECC duct 130, so as to decrease the resistance that is caused by the cross-flow in the downcomer 102. That is, the longitudinally divided ECC duct includes the convex upper surface 131 and the side slopes 132 as shown in FIG. 4, so that the longitudinally divided ECC duct 130 has a substantially trapezoidal cross section. In this case, the cross-sectional area of the inlet 133 of the longitudinally divided ECC duct 130 is about two or three times larger than that of the direct vessel injection nozzle 121 so that the emergency core cooling water flows into the inlet well based on dispersion of the emergency core cooling water that is injected from the direct vessel injection nozzle 121. The longitudinally divided ECC duct 130 protrudes from the surface of the core barrel 110 toward the reactor pressure vessel 120 by a predetermined height. The height of the longitudinally divided ECC duct 130 is limited to be within a gap of the downcomer 102, that is, the distance between the core barrel and the reactor pressure vessel. Specifically, the longitudinally divided ECC duct has a height in the range of 3/25 to 7/25 of the gap of the downcomer 102, that is, the distance between the outer peripheral surface of the core barrel 110 and the inner peripheral surface of the reactor pressure vessel 120, so that the longitudinally divided ECC duct 130 does not cause interference during the assembly and disassembly of the reactor pressure vessel 120 and the core barrel 110. The longitudinally divided ECC duct 130 extends in the longitudinal direction of the core barrel 110 from a position facing the direct vessel injection nozzle 121 to lower sides of the plurality of cold legs 122 and the plurality of hot legs 123. That is, the longitudinally divided ECC duct 130 extends in the longitudinal direction of the core barrel 110 up to a position that is slightly above the position facing the direct vessel injection nozzle 121, so that the emergency core cooling water injected from the direct vessel injection nozzle 121 is injected into the longitudinally divided ECC duct. Further, the longitudinally divided ECC duct supplies the emergency core cooling water to the lower portion of the downcomer 102. Furthermore, an outlet of the longitudinally divided ECC duct 130 is positioned below the position of the plurality of cold legs 122 based on the leakage of the emergency core cooling water that is caused by the strong fracture flow generated around the plurality of cold legs 122 during an accident. A plurality of side supports 135 for fixing the longitudinally divided ECC duct 130 is provided on side surfaces of the longitudinally divided ECC duct 130. The plurality of side supports 135 is disposed at regular intervals in the longitudinal direction of the longitudinally divided ECC duct 130. An outlet guide 137 is provided near the lower end of the longitudinally divided ECC duct 130. When sudden backflow is generated from the core 101 of the nuclear reactor 100 toward the longitudinally divided ECC duct 130, the outlet guide changes a direction of the backflow. The outlet guide 137 is formed so as to reduce flow resistance, which is generated when a high-speed backward fracture flow generated before the emergency core cooling water is injected into the nuclear reactor 100 flows into the longitudinally divided ECC duct 130. For example, the outlet guide 137 protrudes from a surface of the core barrel 110 by a predetermined height, and forms predetermined slopes with respect to the direction of the backflow against the longitudinally divided ECC duct 130. Further, the outlet guide forms slopes so as to reduce the resistance in a direction of the flow of the emergency core cooling water that flows through the longitudinally divided ECC duct 130. For example, the outlet guide 137 may have a shape of a pyramid as shown in FIG. 3. However, the present invention is not limited to the drawings, and the shape and position of the outlet guide 137 may be substantially and variously changed. The longitudinally-divided ducts 130a, 130b, and 130c may be formed, so as to move and slide relative to one another in the longitudinal direction of the longitudinally divided ECC duct as the longitudinally divided ECC duct 130 expands or contracts due to heat. For example, as shown in FIG. 5, predetermined gaps may be formed between adjacent longitudinally-divided ducts 130a, 130b, and 130c of the longitudinally divided ECC duct 130. The longitudinally divided ECC duct 130 is divided into three longitudinally-divided ducts 130a, 130b, and 130c, and the gaps are formed between the longitudinally-divided ducts 130a, 130b, and 130c. Accordingly, the longitudinally divided ECC duct allows for thermal expansion so that the longitudinally-divided ducts 130a, 130b, and 130c expand and move along the gaps during the thermal expansion of the longitudinally divided ECC duct 130. Therefore, it may be possible to prevent twisting and deformations of the longitudinally divided ECC duct 130 that are caused by the thermal expansion of the longitudinally divided ECC duct. Likewise, the longitudinally-divided ducts 130a, 130b, and 130c contract even during the contraction of the longitudinally divided ECC duct 130, so that the gaps are increased. Accordingly, it may be possible to prevent the twisting and deformation of the longitudinally divided ECC duct 130 that are caused by the contraction of the longitudinally divided ECC duct 130. Also, according to another embodiment, longitudinally-divided ducts 140a, 140b, and 140c of a longitudinally divided ECC duct 140 may partially overlap each other as shown in FIG. 6. The longitudinally divided ECC duct 140 shown in FIG. 6 is substantially the same as the longitudinally divided ECC duct according to the above-described embodiment except that the longitudinally-divided ducts 140a, 140b, and 140c partially overlap each other. Accordingly, the same name is given to the same components, the components will be denoted by reference numerals in the range of 140 to 149, and repeated descriptions thereof will be omitted. Like the longitudinally divided ECC duct 130 according to the above-described embodiment, the longitudinally divided ECC duct 140 is formed of a plurality of longitudinally-divided ducts 140a, 140b, and 140c that is divided in the longitudinal direction of the longitudinally divided ECC duct 140. An upper cover plate 146 is provided at the upper end of the longitudinally divided ECC duct so that the injected emergency core cooling water flows to the lower portion of the longitudinally divided ECC duct 140. Accordingly, the upper end of the longitudinally divided ECC duct 140 is closed and the lower end thereof is opened. Further, an outlet guide 137 is provided near the lower end of the longitudinally divided ECC duct 130. An outlet guide 147 for preventing backflow is provided near the lower end of the longitudinally divided ECC duct 140. Furthermore, the longitudinally divided ECC duct 140 includes an upper surface 141 having a shape of a predetermined convex curved surface and side slopes 142, so as to reduce the resistance that is caused by the cross-flow in the downcomer 102. In addition. an inlet 143, into which the emergency core cooling water injected from the direct vessel injection nozzle 121 is injected, is formed on the upper surface of the longitudinally divided ECC duct 140, and the longitudinally divided ECC duct 140 has a substantially trapezoidal cross section. Longitudinally divided FCC duct 140 also is provided with a plurality of side supports 145 on the side surfaces of longitudinally divided ECC duct 140. similar to side supports 135 provided for longitudinally divided ECC duct 130. The longitudinally divided ECC duct 140 is divided into three longitudinally-divided ducts 140a, 140b, and 140c. The longitudinally-divided ducts 140a, 140b, and 140c partially overlap with each other, thereby forming overlaps there between. The longitudinally-divided ducts 140a, 140b, and 140c may move and slide relative to one another at the overlaps. That is, the longitudinally-divided ducts 140a, 140b, and 140c move and slide relative to one another through the overlaps during the thermal expansion of the longitudinally divided ECC duct 140. Accordingly, it may be possible to prevent the twisting and deformation of the longitudinally divided ECC duct that are caused by the thermal expansion of the longitudinally divided ECC duct. Likewise, even though contracting during the contraction of the longitudinally divided ECC duct 140, the longitudinally-divided ducts 140a, 140b, and 140c allows for the contraction at the overlaps. Accordingly, it may be possible to prevent the twisting and deformation of the longitudinally divided ECC duct 140 that are caused by the contraction of the longitudinally divided ECC duct. According to the embodiments, the direct vessel injection nozzle 121 and the longitudinally divided ECC ducts 130 and 140 may not be mechanically connected to each other. However, since the emergency core cooling water injected from the direct vessel injection nozzle 121 directly flows into the inlet 133 or 143 due to the injection pressure thereof, the direct vessel injection nozzle and the longitudinally divided ECC duct are thermally and hydraulically connected to each other. That is, when the nuclear reactor 100 is normally operated, emergency core cooling water is not supplied to the longitudinally divided ECC ducts 130 and 140 from the direct vessel injection nozzle 121. Accordingly, the direct vessel injection nozzle 121 and the longitudinally divided ECC ducts 130 and 140 are thermally and hydraulically isolated from each other. However, when an accident (for example, a fracture of the plurality of cold legs 122) occurs, emergency core cooling water is injected into the longitudinally divided ECC ducts 130 and 140 from the direct vessel injection nozzle 121. Since the direct vessel injection nozzle 121 injects the emergency core cooling water at high speed in this case, the emergency core cooling water may be sufficiently injected into the inlets 133 and 143 of the longitudinally divided ECC ducts 130 and 140 from the direct vessel injection nozzle 121 across the downcomer 102. Also, a part. of the emergency core cooling water, which is injected from the direct vessel injection nozzle 121, may fall down or leak to the outside through the gaps between the longitudinally-divided ducts 130a, 130b, and 130c without being injected into the inlet 133 or 143. However, the emergency core cooling water is collected at the lower portion of the downcomer 102 due to gravity and may be used to cool the core 101. The emergency core cooling water, which is injected into the longitudinally divided ECC duct 130 or 140, cools the core 101 while flowing down along the longitudinally divided ECC duct 130 or 140 due to gravity and the flow momentum of the emergency core cooling water. Further, since the emergency core cooling water flows into the longitudinally divided ECC duct 130 or 140, it may be possible to prevent the emergency core cooling water from being directly discharged to the outside of the reactor pressure vessel 120 through the plurality of cold legs 122, that is, the direct bypass discharge of the emergency core cooling water by the high-speed cross-flow generated in the downcomer 102. Furthermore, according to the embodiments, since the emergency core cooling water is not injected from the injection nozzle 121 when an accident (for example, the fracture of a pipe connected to the direct vessel injection nozzle 121) occurs, the direct vessel injection nozzle 121 is isolated from the longitudinally divided ECC ducts 130 and 140 and the cooling water collected at the lower portion of the downcomer 102 is sucked through the longitudinally divided ECC ducts 130 and 140. Accordingly, it may be possible to prevent the lowering of the level of the cooling water in the reactor pressure vessel 120, that is, the reverse of the water levels at the inlet and outlet. Although a few embodiments of the present invention have been shown and described, the present invention is not limited to the described embodiments. Instead, it would be appreciated by those skilled in the art that changes may be made to these embodiments without departing from the principles and spirit of the invention, the scope of which is defined by the claims and their equivalents.
048333298
claims
1. A system for eluting a daughter radioisotope from a parent radioisotope and for containerizing the resultant eluate in an evacuated container having a closure adapted to be pierced by a tubular needle, said system comprising: a generator containing a supply of the parent radioisotope, said generator having an inlet for an eluant for eluting the daughter radioisotope from the parent radioisotope and an outlet for the resultant eluate; a reservoir for holding a supply of the eluant, said reservoir having an outlet for delivery of eluant to the inlet of the generator and an air inlet for admission to the reservoir of air from the atmosphere to apply atmospheric air pressure to eluant in the reservoir; a tubular needle for piercing the closure of the evacuated container; a first flexible tube connected to the air inlet of the reservoir and in communication with the atmosphere uptream from the reservoir; a second flexible tube interconnecting the outlet of the reservoir and the inlet of the generator; a third flexible tube interconnecting the outlet of the generator and the tubular needle; each of said tubes being resiliently compressible and thereby adapted to be pinched for closing it; and valve means for pinching the tubes to close them and operable on entry of the tubular needle through the closure of an evacuated container into the container to open the tubes for venting the reservoir to atmosphere via the first tube, for delivery of eluant from the reservoir to the generator via the second tube, and for delivery of eluate from the generator via the third tube to the needle and thence to the container; wherein said valve means comprises: a body having spaced side walls and being open at one edge of said walls; each side wall having a slot therein extending from said edge thereof; the first, second and third tubes being received in the slots in the side walls one on another with portions of the tubes extending from wall-to-wall; the body having a back-up for said portions of the tubes against which the tubes may be pinched for closing them; and means carried by the body movable between a tube-pinching position wherein the tubes are pinched closed against said back-up and a retracted position for releasing the tubes to open them and operable on piercing of the closure of an evacuated container by the needle to open the tubes for the delivery of eluant into the container. a generator containing a supply of the parent radioisotope, said generator having an inlet for an eluant for eluting the daughter radioisotope from the parent radioisotope and an outlet for the resultant eluate; a reservoir for holding a supply of the eluant, said reservoir having an outlet for delivery of eluant to the inlet of the generator and an air inlet for admission to the reservoir of air from the atmosphere to apply atmospheric air pressure to eluant in the reservoir; a tubular needle for piercing the closure of an evacuated container; a first flexible tube connected to the air inlet of the reservoir and in communication with the atmosphere upstream from the reservoir; a second flexible tube interconnecting the outlet of the reservoir and the inlet of the generator; a third flexible tube interconnecting the outlet of the generator and the tubular needle; each of said tubes being resiliently compressible and thereby adapted to be pinched for closing it; and valve means for pinching the tubes to close them and operable on entry of the tubular needle through the closure of an evacuated container into the container to open the tubes for venting the reservoir to atmosphere via the first tube, for delivery of eluant from the reservoir to the generator via the second tube, and for delivery of eluate from the generator via the third tube to the needle and thence to the container; wherein said valve means comprises: a body comprising a stem having an uper end and a lower end, the needle extending down from the lower end of the stem; a head at the upper end of the stem having a bottom and spaced side walls extending up from the bottom; the body being movable down from a raised retracted position for causing the needle to pierce the closure of an evacuated container placed below the lower end of the needle; each side wall of the head having a slot therein extending down from the top of the wall; the first, second and third tubes being received in the slots in the side walls one on another with portions of the tubes extending across the head; the head having a back-up for the portions of the tubes extending across the head against which the tubes may be pinched for closing them; and means in the head movable between a tube-pinching position wherein the tubes are pinched closed against said back-up and a retracted position for releasing the tubes to open them and operable on downward movement of the stem to drive the needle through the closure of an evacuated container to open the tubes for the delivery of eluant into the container. 2. A system as set forth in claim 1 wherein said tube-pinching means comprises a pinch member mounted on one of the side walls extending toward the other side wall and swingable toward and away from the back-up, and means movably associated with the body for swinging the pinch member into pressure engagement with said portions of the tubes to pinch them against said back-up and for releasing the pinch member to allow it to swing away from said portion of the tubes to allow them to open up. 3. A system as set forth in claim 2 wherein said means for swinging the pinch member comprises a cam means rotary with respect to the body. 4. A system as set forth in claim 2 wherein said pinch member comprises a plate having an edge portion received in a groove in said one side wall of the head and an integral hinge adjacent said edge portion. 5. A system as set forth in claim 4 wherein said means for swinging the plate comprises a cam means rotary with respect to the body. 6. A system as set forth in claim 3 wherein the cam means comprises a shaft rotary at one end in a bearing on the body, said shaft having a cam thereon which engages the pinch member. 7. A system as set forth in claim 6 wherein the shaft has means thereon overlying the open ends of the slots for retaining the tubes in the slots. 8. A system as set forth in claim 7 wherein the shaft and bearing have snap-fit means for holding the shaft in the bearing. 9. A system for eluting a daughter radioisotope from a parent radioisotope and for containerizing the resultant eluate in an evacuated container having a closure adapted to be pierced by a tubular needle, said system comprising: 10. A system as set forth in claim 9 wherein said tube-pinching means comprises a pinch member mounted at one of the side walls of the head extending toward the other side wall and swingable toward and away from the back-up, and means movable in the head for swinging the pinch member into pressure engagement with the portions of the tubes extending across the head to pinch them against said back-up and for releasing the pinch member to allow it to swing away from said portion of the tubes to allow them to open up. 11. A system as set forth in claim 10 wherein said means for swinging the pinch member comprises a cam means rotary in the head and a knob above the head for rotating the cam means. 12. A system as set forth in claim 10 wherein said pinch member comprises a plate having an edge portion received in a groove in said one side wall of the head and an integral hinge adjacent said edge portion. 13. A system as set forth in claim 12 wherein said means for swinging the plate comprises a cam means rotary in the head and a knob above the head for rotating the cam means. 14. A system as set forth in claim 9 wherein said stem is tubular and has a slot extending lengthwise thereof terminating short of its lower end, the said third tube extending from the head through said slot in the stem to the interior of the stem and connected therein for communication with the upper end of the tubular needle. 15. A system as set forth in claim 14 wherein said tube-pinching means comprises a pinch member mounted at one of the side walls of the head extending toward the other side wall and swingable toward and away from the back-up, and means movable in the head for swinging the pinch member into pressure engagement with the portions of the tubes extending across the head to pinch them against said back-up and for releasing the pinch member to allow it to swing away from said portion of the tubes to allow them to open up. 16. A system as set forth in claim 15 wherein said means for swinging the pinch member comprises a cam means rotary in the head and a knob above the head for rotating the cam means. 17. A system as set forth in claim 15 wherein said pinch member comprises a plate having an edge portion received in a groove in said one side wall of the head and an integral hinge adjacent said edge portion. 18. A system as set forth in claim 17 wherein said means for swinging the plate comprises a cam means rotary in the head and a knob above the head for rotating the cam means. 19. A system as set forth in claim 11 wherein the cam means comprises a shaft extending down in the head rotary at its lower end in a bearing in the head, said shaft having a cam thereon above the bearing engageable with the pinch member. 20. A system as set forth in claim 19 wherein the shaft has means thereon overlying the upper ends of the slots for retaining the tubes in the slots, and wherein the shaft and bearing have snap-fit means for holding the shaft in the bearing.
062623281
abstract
A method for absorbing hydrogen from an enclosed environment comprising providing a vessel; providing a hydrogen storage composition in communication with a vessel, the hydrogen storage composition further comprising a matrix defining a pore size which permits the passage of hydrogen gas while blocking the passage of gaseous poisons; placing a material within the vessel, the material evolving hydrogen gas; sealing the vessel; and absorbing the hydrogen gas released into the vessel by the hydrogen storage composition. A container for absorbing evolved hydrogen gas comprising: a vessel having an interior and adapted for receiving materials which release hydrogen gas; a hydrogen absorbing composition in communication with the interior, the composition defining a matrix surrounding a hydrogen absorber, the matrix permitting the passage of hydrogen gas while excluding gaseous poisons; wherein, when the vessel is sealed, hydrogen gas, which is released into the vessel interior, is absorbed by the hydrogen absorbing composition.
abstract
This bottom end-piece includes nozzles for directing the flow of water of the reactor along the lower ends of the fuel rods, the nozzles being arranged at nodes of the substantially regular network of the fuel rods, and an anti-debris device which delimits water flow channels. At least some of the water flow channels are arranged at nodes of the substantially regular network. Direction nozzles are arranged at least partially in the channels in order to delimit water passages therewith, and at least one water passage includes a first section and a second section which are mutually offset radially relative to the corresponding node of the network in order to form a baffle.
047479930
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to nuclear reactor containment arrangements and more particularly to permanent seal rings for establishing a permanent seal across the thermal expansion gap defined between a peripheral wall of a nuclear reactor vessel and a containment wall. 2. Discussion of the Art The refueling of pressurized water reactors is an established operation which is normally carried out with a high degree of reliability. In such reactors, a reactor vessel is positioned in a cavity formed by a concrete containment wall. The containment wall has a lower annular portion or well in which the vessel is seated and an upper annular portion above the vessel which defines a refueling canal. The canal is maintained dry during reactor operations, but is filled with water during the replacement of spent fuel assemblies. During the refueling, the reactor vessel is opened, thereby exposing the core, and fuel assemblies are exchanged. The water in the refueling canal acts as shielding to maintain radiation levels within acceptable limits. The reactor vessel has flanges which join a head assembly to the reactor vessel proper. A lower flange is positioned on the peripheral wall of the reactor vessel near the vessel opening and is sealed to the lower portion of the refueling canal by a seal ring. The seal ring prevents leakage, during the refueling operation, of shielding water into the well in which the vessel is seated. The seal ring is usually preferably water-tight, however, pumps are provided in the well for expelling unwanted fluids, such as water which might penetrate the seal if the sealing engagement thereof is imperfect. However, shielding water leakage has more serious consequences than potential damage to the well or vessel. A sudden loss of shielding water from the refueling canal exposes personnel working in the area to high radiation dosages and contaminates the environment. Such a sudden loss of shielding water does not give personnel sufficient time to make safe the exposed radiation sources and must be prevented. Prior art seal rings are discussed in U.S. Pat. No. 4,170,517 to Meuschke et al, the disclosure of which is herein incorporated by reference. Removable gasket-type seal rings, such as elastomeric gaskets, are known and are installed after reactor cooldown and prior to the flooding of the refueling canal. Such elastomeric gaskets are susceptible to thermal degradation and leakage, require significant amounts of time to install, and must be replaced at each refueling operation. Permanent seal rings are also known and have the advantage of reducing the time required for the refueling operation. The Meuschke et al patent discloses a permanent, water-tight, flexible seal having a quarter-circular cross-section, made of, for example, stainless steel, and being constructed to cyclicly contract and expand, respectively, with cyclic expansion and contraction of the reactor vessel relative to the containment wall during reactor operation, while maintaining the water-tight integrity of the seal. Such a seal is inherently flexible across its entire expanse, however, and the strength of the member is limited by this flexibility requirement. Thus, such seals are susceptible to damage from falling objects, such as accidentally dropped fuel assemblies. Such accidents can involve a sudden and nearly complete loss of shielding water from the refueling canal, thereby endangering personnel and contaminating the environment. Accordingly, a new permanent seal ring is needed which is strong and flexible. The new seal ring must be structurally strong enough to withstand the impact of the heaviest object which might inadvertently be dropped thereon, without a complete or sudden loss of shielding water ensuing, and preferably with the sealing integrity being substantially maintained. The new seal ring must also be structurally flexible enough to accommodate the radial and axial thermal expansion/contraction of the reactor vessel relative to the containment wall experienced during reactor operation, while substantially maintaining its sealing integrity, so that the installation may be viably permanent. SUMMARY OF THE INVENTION The purpose of the present invention is to provide annular ring seals for establishing a permanent seal across an annular thermal expansion gap defined between a peripheral wall of a nuclear reactor vessel and a containment wall, which containment wall is spaced apart from and surrounds the peripheral wall of the reactor vessel, thus providing a nuclear reactor containment arrangement. The present seals overcome the deficiencies of prior art seals by assuring structural strength sufficient to withstand the impact of the heaviest object which might inadvertently be dropped thereon, without a complete or sudden loss of shielding water, and by assuring structurally flexibility sufficient to accommodate radial and axial thermal expansion/contraction of the reactor vessel relative to the containment wall experienced during reactor operation while substantially maintaining sealing integrity. To accomplish this purpose, an annular ring seal is provided, comprising an annular ring plate having an outer peripheral surface which sealingly engages and is affixed to the containment wall and an inner peripheral surface which is proximate the reactor vessel; and a flexure member having an annular base plate, which sealingly engages and is affixed to the annular ring plate near the inner peripheral surface thereof, and having a leg which is joined to and extends upwardly from the annular base plate and which sealingly engages and is affixed to the peripheral wall of the reactor vessel to thereby seal the annular thermal expansion gap. The accomplishment of this purpose may be redundantly assured by providing the annular ring seal with a backup member including a backup plate which is surrounded by the flexure member and has a first perimeter and a second perimeter, the first perimeter being joined to the inner peripheral surface from which the cylindrical plate extends perpendicularly, and the second perimeter extending toward the peripheral wall of the reactor vessel to thereby provide a backup structure for the flexure member to preclude the possibility of major leakage in the event of a structural failure of the flexure member.
abstract
A noncontact type signal transmission device performs noncontact transmission of signals between a first member and a second member by using light. The second member moves along a predetermined orbit relative to the first member. A plurality of light-emitting devices are arranged on one of the first and second members. A plurality of light-receiving devices are arranged on the other of the first and second members. Light emitted from the light-emitting devices is received by the light-receiving devices. Beam condensing devices are disposed between the light-emitting devices and the light-receiving devices. Each beam condensing device has a function of condensing light from the light-emitting device in a direction substantially perpendicular to the orbit. The function of each beam condensing device is to increase the amount of light received by each light-receiving device and to improve light reception sensitivity.
050531891
summary
BACKGROUND OF THE INVENTION The present invention relates to nuclear reactors and more particularly to support and guidance structure for control rods in such reactors. In a typical pressurized water reactor for an electric power plant, over fifty elongated control rod assemblies are located in plenum space above the reactor core and disposed for vertical movement into or out of the core to control or shut down the nuclear reaction. Normally, only four or five control rods are needed for power generation control and the remaining rods remain fully withdrawn during reactor operation for use in shutting the reactor down when required or desired. A control rod drive is provided for each control rod assembly above the reactor pressure vessel dome. Typically, a drive rod extends downwardly from each drive through a vessel dome penetration to a point within the reactor vessel where it is coupled to the control rod itself. In turn, the control rod is formed by a cluster of rodlets suspended from a spider assembly that is coupled to the drive rod. Each control rod assembly is aligned with an individual fuel assembly within the reactor core. The rodlets in each control rod assembly are aligned for entry into respective vertical thimbles interspersed with vertical fuel rods in the fuel assembly. Fuel assemblies at preselected core locations are designated for control rod operation and the number and location of control rod assemblies are correspondingly determined. A vertical guide tube structure houses each control rod including its rodlet cluster in space above the reactor core to provide guided support for the control rod against horizontal forces when it is fixed in its position or as it moves vertically toward or away from the reactor core. Generally, the space above the upper core support plate within the vessel is divided by an upper support plate into an upper head plenum above the plate and an outlet plenum below the plate. Hot upward coolant flow from the reactor core is collected in the outlet plenum and directed through outlet nozzles to two or more steam generators. The number of outlet nozzles corresponds to the number of coolant loops provided for the reactor plant. Cold coolant flow from the steam generators is returned to the reactor vessel through inlet nozzles to an annular downcomer space inside the pressure vessel wall where it is directed downwardly to the bottom of the core for another upward heat collecting pass through the core. Spray nozzles are spaced peripherally about the vessel/dome flange to direct some of the return flow as a core bypass flow into the upper head plenum where it provides dome and control rod drive cooling. It returns to the outlet plenum through the control rod guide tube structures. Pressure differential between the downcomer and the upper head plenum determines the amount of coolant flow through the upper head spray nozzles. In one plant type referred to as a "cold temperature" plant, the upper plenum coolant reservoir is held at the cooler inlet temperature by providing enough bypass flow into the upper plenum to disallow mixing of upward flowing core outlet flow into the upper plenum. The cold upper plenum water provides adequate core cooling capability in case of a possible loss of coolant accident in this plant type. In a "hot temperature" plant, some coolant flows upwardly through some of the radially inwardly located control rod guide tube structures from the outlet plenum thereby providing a portion of the total inlet flow to the upper head plenum and a somewhat warmer upper plenum reservoir. Typically, a cold plant has an upper plenum temperature of 550 to 560.degree. F. whereas a hot plant has an upper plenum temperature of 590.degree. to 620.degree. F. Each control rod guide tube structure normally includes an upper solid tube that is secured to the upper support plate and extends upwardly within the upper plenum. Further, a lower guide tube is secured to the upper support plate in alignment with the upper guide tube and extends downwardly within the outlet plenum. Horizontal cards are disposed within the guide tubes at spaced locations to provide control rodlet guidance support along the guide tube height. The lower guide tube usually has small wall openings along its height at the card locations through which coolant may flow from the guide tube into the outlet plenum, or vice versa in the case of some guide tubes in a hot plant. While coolant flow is needed within the guide tubes for transmitting flow into or out of the upper plenum, it also contributes to control rod wear problems. Rodlet wear typically occurs in the vicinity of the horizontal rodlet support cards as a result of flow induced rodlet vibrations. The rodlet wear is usually significantly greater in the upper plenum region, and it varies in accordance with the radial position of the control rod assembly. Rodlet wear is reduced in hot plants as compared to cold plants, but it is a problem in both types of plants with differences occurring mainly in the time it takes for a given level of rodlet wear to occur. The present invention is directed to controlling the flow around the control rods in the guide tubes to provide improved control rod operation with reduced rodlet wear. SUMMARY OF THE INVENTION A new system is provided for control rod guidance support in a nuclear reactor pressure vessel having a fuel core with an outlet plenum for core heated coolant located thereabove and with an upper head plenum located above the outlet plenum and receiving a portion of return core coolant flow. A plurality of externally driven control rod assemblies each having a drive rod coupled to a depending control rod and extending vertically through the upper plenum and outlet plenum spaces are provided for control rod movement into and out of the core to control the nuclear reaction. A generally solid upper horizontal support plate is secured to the vessel above the fuel core between the upper head plenum and the outlet plenum. A control rod guide tube assembly is provided for each control rod assembly, and it includes an upper guide tube located in the upper plenum above the upper support plate and lower guide tube means located in the outlet plenum and aligned with the upper guide tube through an opening in the upper support plate and further aligned with a fuel assembly located in the core therebelow. The upper guide tube and the lower guide tube means house the control rod when it is withdrawn from the fuel core. A plurality of horizontal support cards are secured within the guide tube structure at vertically spaced locations, and they are provided with openings therethrough to provide guided support of the control rod when it is positioned and as it moves along its vertical path of motion. The upper guide tube has a top enclosure plate with a drive rod opening therethrough sized to provide a predetermined clearance space between the drive rod and the top enclosure plate and to direct coolant flow between the upper and outlet plenums. Structure is provided for restricting coolant flow through the top plate clearance space to reduce control rod wear. Coupling means secures the drive and control rods in end-to-end relation within the guide tube assembly. The coupling means is located just below the top guide tube enclosure plate with the control rod fully withdrawn. The coolant restricting structure includes at least a flow restrictor located above and secured to the top guide tube enclosure plate, and it has an upper portion disposed about and spaced from the drive rod to provide a flow gap having a flow area substantially equal to the clearance space and is otherwise structured to provide at least one contraction-expansion loss above the top guide tube plate for guide tube coolant flow through the flow gap. A total bypass flow area is provided between the upper and outlet plenums to take up at least some of the restricted flow from the upper control rod guide tubes.
053393406
description
DESCRIPTION OF THE PREFERRED EMBODIMENT(S) Illustrated schematically in FIG. 1 is an exemplary advanced liquid metal reactor plant 10 having a concrete silo 12 which is annular or circular in the exemplary embodiment illustrated and has a vertical, axial centerline axis 14. The silo is preferably disposed under the ground 16 and contains concentrically therein an annular containment vessel 18 in which is concentrically disposed a reactor vessel 20 having a nuclear reactor core 22 submerged in a liquid metal coolant 24 such as liquid sodium. In the embodiment illustrated in FIG. 1, the containment and reactor vessels 18, 20 are supported or suspended vertically downwardly from an upper frame 26, which in turn is supported on the concrete silo 12 by a plurality of conventional seismic isolators 28 to maintain the structural integrity of the containment and reactor vessels 18, 20 during earthquakes and allow uncoupled movement between the vessels 18, 20 and the surrounding concrete silo 12. The reactor core 22 is controlled by a plurality of conventional control rods 30 (only two of which are illustrated) which are selectively inserted into or withdrawn from the core 22. When fully inserted, the control rods 30 are effective for shutting down the reactor core 22, with residual decay heat nevertheless being generated for a certain time following the shutdown. In order to remove the residual decay heat, a passive heat removal system referred to as the reactor vessel auxiliary cooling system (RVACS) is provided. In the RVACS, a space is provided between the reactor and containment vessels 18, 20 and is filled with an inert gas such as argon. Heat from the liquid metal 24 is transferred by thermal radiation through the argon-filled space and heats the containment vessel 18. In order to passively remove the heat from the containment vessel 18, a double-walled baffle 32 in accordance with the present invention is disposed between the containment vessel 18 and the silo 12 to provide enhanced air-side cooling performance. As illustrated with more particularity in FIGS. 2 and 3, the baffle 32 is an assembly of a perforate inner heat collector wall 34 and an imperforate outer heat collector wall 36 spaced in turn between the containment vessel 18 and the silo 12. In the exemplary embodiment illustrated in FIGS. 2 and 3, the containment vessel 18, the inner wall 34, the outer wall 36, and the silo 12 are annular and concentric to each other, with the inner and outer walls 34, 36 being cylinders. The inner wall 34 is configured or sized to be positioned radially outside the containment vessel 18 and spaced radially outwardly therefrom to define a radially inner flow channel or riser 38 therebetween. The outer wall 36 is similarly configured or sized to be positioned radially outside the inner wall 34 and spaced radially outwardly therefrom to define a radially outer flow channel or riser 40 therebetween. And, the outer wall 36 is also spaced radially inwardly from the silo 12 to define a radially outer flow channel or downcomer 42 therebetween. The baffle 32 as illustrated in FIG. 1 extends vertically from a lower plenum 44 defined between the bottom of the containment vessel 18 and the bottom of the silo 12, and extends vertically upwardly to a suitable elevation above the top level of the liquid metal 24 within the reactor vessel 20. The outer riser 40 has an inlet 40a at the bottom thereof and an outlet 40b at the top thereof. The inner riser 38 has an inlet 38a at the bottom thereof and an outlet 38b at the top thereof. The downcomer 42 has an inlet 42a at the top thereof, and an outlet 42b at the bottom thereof which is disposed in the lower plenum 44 in flow communication with both inlets 38a and 40a of the inner and outer risers 38, 40. Disposed in the building upper frame 26 at a suitable elevation above the ground 16 is one or more air intakes 46 for receiving atmospheric air 48 for use in cooling the containment vessel 18 and the baffle 32. An intake duct or plenum 50 extends in flow communication downwardly from the intake 46 to the downcomer inlet 42a for channeling the cooling air 48 downwardly thereto. The cooling air 48 is channeled downwardly through the downcomer 42 and then turns radially inwardly from the outlet 42b thereof and upwardly into both riser inlets 38a and 40a. The cooling air 48 is then channeled upwardly through the inner and outer risers 38 and 40 to their outlets 38b, 40b. The riser outlets 38b, 40b are disposed in flow communication with an outlet duct or plenum 52 which rises vertically upwardly through the building upper frame 26 and has one or more discharge openings or vents 54 for discharging the heated air from the plant 10 after cooling the containment vessel 18 and the baffle 32. Since the containment vessel 18 is relatively hot from being heated by decay heat from the reactor core 22, the heat is dissipated in the cooling air 48 within the inner and outer risers 38, 40 which decreases the air density and increases its buoyancy for providing a natural circulation flow upwardly therethrough for discharge through the outlet plenum 52 and vents 54. The cooling air 48 entering the intake 46 is relatively cold and is denser than the air rising in the risers 38, 40 and therefore falls by gravity downwardly through the downcomer 42 to assist in the natural or passive circulation of the cooling air 48 through the downcomer 42 and up through the risers 38 and 40. FIGS. 2 and 3 illustrate the double-walled baffle 32 in accordance with one embodiment of the present invention for enhancing heat removal from the containment vessel 18. More specifically, heat transfer from the outer surface of the containment vessel 18 occurs partly by natural convection directly into the upwardly rising cooling air 48 and partly by thermal radiation to both inner and outer walls 34 and 36 which act as heat collectors as well as providing increased surface area to dissipate heat into the rising cooling air 48 by natural convection. The perforate inner wall 34 includes a plurality of apertures 56 which, in the exemplary embodiment illustrated, are circular holes spaced vertically and horizontally apart. The apertures 56 are sized for allowing a portion of the thermal radiation emanating from the containment vessel 18, as shown by the wavy arrows, to pass laterally through the inner wall 34 to the outer wall 36. In this way, thermal radiation from the containment vessel 18 is radiated to both inner and outer walls 34, 36 and may then be dissipated into the cooling air 48 by natural convection. The degree of perforation, or perforation density is the collective area of the apertures 56 relative to the total available surface area of the inner wall 34. In the exemplary embodiment illustrated in FIGS. 2 and 3, the perforation density is about 50% of the total area to ensure an effective amount of thermal radiation reaching and being absorbed by the outer wall 36. Since heat from both walls 34, 36 is dissipated into the rising cooling air 48 in both risers 38, 40, the size or diameter of the apertures 56 may be suitably optimized for balancing heat transfer into the separate cooling air flows in the risers 38, 40. The inner riser 38 has an inner width W.sub.i as shown in FIG. 2 and measured laterally or radially outwardly from between the outer surface of the containment vessel 18 and the inner surface of the inner wall 34. Similarly, the outer riser 40 has an outer width W.sub.o defined laterally or radially outwardly between the outer surface of the inner wall 34 and the inner surface of the outer wall 36. And, the downcomer 42 has a width W.sub.d measured radially outwardly between the outer surface of the outer wall 36 and the inner surface of the silo 12. The riser inner and outer widths W.sub.i, W.sub.o are predetermined for controlling the upward velocity of the cooling air 48 in both risers 38, 40, and the downcomer width W.sub.d is correspondingly selected to ensure an adequate supply of cooling air 48 downwardly therethrough and into both risers 38, 40, and also to provide sufficient space for movement of the reactor vessel assembly during seismic events. In the exemplary embodiment illustrated in FIG. 2, the outer riser width W.sub.o is less than the inner riser width W.sub.i due in part to the increasing flow area at the larger diameter of the outer riser as compared to the smaller diameter of the inner riser 38, and due to heating of the inner wall 34 prior to heating of the outer wall 36. The convective heat transfer rate to the cooling air 48 conventionally depends on the temperature difference between the cooling air 48 and the heat-emanating surfaces of the inner and outer walls 34, 36, which may be made of steel for example. The convective heat transfer coefficient in turn depends on the velocity of the cooling air 48 upwardly through the individual air risers 38 and 40. Accordingly, the size of the apertures 56 and the riser widths W.sub.i and W.sub.o may be suitably varied to optimize heat removal from the containment vessel 18. The perforation density of the apertures 56 may be suitably varied to optimize heat transfer of particular reactor designs. The perforation density may be selected to balance as desired thermal radiation absorbed by the inner and outer walls 34 and 36. And since the inner wall 34 adds additional convective heat transfer area from both its inner and outer surfaces over that provided solely by the inner surface of the outer wall 36, an increase in heat dissipation to the cooling air 48 is obtained with suitable balance between the inner and outer risers 38 and 40. The additional surface area of the inside surfaces of the apertures 56 themselves may also be used for dissipating heat and for promoting cross flow between the inner and outer risers 38 and 40 as desired. The enhanced heat dissipation attainable from using the perforate inner wall 34 in addition to the outer wall 36 significantly reduces the average temperature of both the containment vessel 18 itself and the outer wall 36 as well as reduces the transient peak temperatures thereof during transient operation of the reactor. Accordingly, the containment vessel 18, as well as the outer wall 36, may be designed to conventionally known low-temperature ASME code requirements rather than current high-temperature requirements in a configuration using the outer heat collector wall 36 itself without the perforate inner wall 34. This reduces the complexity and cost of the design. Reduction of the temperature of the outer wall 36 due to the present invention will also allow elimination of the relatively complex and expensive thermal insulation on the outer surface of the outer wall 36 required in a conventional design without the perforate inner wall 34. The outer wall 36 therefore may have an outer surface directly facing the inner surface of the concrete silo 12 and is characterized by the absence of thermal insulation thereon. The silo 12 is relatively cold compared to the containment vessel 18 and will remain relatively cold without concern for excessive temperature rise thereof due to heat transfer from the outer wall 36. The cost reduction associated with eliminating the thermal insulation around the outer wall 36 will substantially offset the additional costs of incorporating the perforate inner wall 34. An additional advantage of eliminating thermal insulation from the outer wall 36 allows significant heat removal from the containment vessel 18 in the event of a postulated complete blockage of the cooling air 48 through the downcomer 42 and the risers 38 and 40 of the RVACS. In this situation, heat will be transferred from the outer wall 36 directly into the concrete silo and in turn into the surrounding earth without obstruction by the typically required thermal insulation. If desired, a plurality of conventional, vertically spaced horizontal boundary layer trips 58 as shown in FIGS. 2 and 3 may be disposed on at least one and preferably both the outer surface of the containment vessel 18 or the inner surface of the outer wall 36 for increasing heat transfer to the cooling air 48 flowing upwardly in the inner and outer risers 38, 40, respectively. The trips 58 may be appropriately shaped such as semicircular protrusions from the respective sidewalls having a vertical pitch P therebetween selected for tripping boundary layer airflow for enhancing heat transfer as is conventionally known. In one embodiment analyzed, the trips 58 had a height of 6.4 mm and a pitch P of 152 mm. The apertures 56 have a diameter of 51 mm with a perforation density of about 50%. The inner riser width W.sub.i was about 127 mm and the outer riser width W.sub.o was about 102 mm. The thicknesses of the containment vessel 18, inner wall 34, and outer wall 36 were about 25 mm, 9 mm, and 25 mm, respectively. The analysis predicted a thermal performance enhancement of about 8% with the use of the perforated baffle 34 in combination with the outer wall 36 as opposed to using the outer wall 36 alone, with an additional significant enhancement gain utilizing the trips 58 in combination therewith. As illustrated schematically in FIG. 1, the outer wall 36 is suspended or supported solely at its upper end from or by the upper frame 26 to uncouple its vibratory response from the concrete silo 12 during a seismic event. Similarly, the inner wall 34 may be suspended from the upper frame 26 at its top end by being joined to the outer wall 36 as illustrated in FIG. 2 by a plurality of circumferentially and vertically spaced stud bolts 60 suitably welded at both ends thereof to the inner wall 34 and the outer wall 36. The bolts 60 may be located solely near the top of the outer wall 36 or may be used along the entire vertical height thereof for suitably supporting the inner wall 34 to the outer wall 36 and in turn to the upper frame 26. Since the outer wall 36 supports the weight of the inner wall 34 in this embodiment, the outer wall 36 is preferably thicker than it otherwise would be for accommodating the additional loads therefrom. Since the inner wall 34 bifurcates the space between the containment vessel 18 and the outer wall 36, the inner riser width W.sub.i reduces the available space for in-service inspection of the containment vessel 18. However, since the outer wall 36 does not include thermal insulation over its outer surface, the inspection thereof may be more readily performed on its outside surface from the downcomer 42. While there have been described herein what are considered to be preferred and exemplary embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims:
description
The Portable Nuclear Radioactive Fallout Protection Shelter & Preservation of Potable Water Storage System predominately comprised of self-supporting interlocking stackable radiation shielding watertight jugs specifically designed for the dual purpose of being used to rapidly assemble fallout shelters and storage of potable drinking water by unskilled labor without tools, or with a minimum of household tools in shelters requiring a roof assembly. The self-supporting interlocking system provides for air vent openings that will allow air flow in and out of the shelter while omitting radioactive waves i.e. gamma and X-rays. Shelters may be built either individually or in connected modules depending upon the sizes, shapes, and dimensions necessary in the formation of specific shelter applications for temporary or substantially long term usage. The jugs may be filled with water using a common garden hose either before assembly or a layer at a time during assembly of a wide range of sizes, shapes, and dimensions of radiation barrier walls, entranceways, ceilings, and complete shelters. Since the jugs will be made of pre-formed heavy duty rigid material and shall be of a size and weight that when empty they may be easily handled by nearly anyone who is not significantly disabled and when filled with water may be easily handled by any physically able person capable of lifting and moving weights up to 62.5 pounds. The jugs may be emptied by pouring water out of the water spouts that are also an integral part of the self supporting interlocking mechanisms or be drained using a siphon hose or water pump. The system implements the construction of not only complete nuclear radiation shelters but also barrier walls where existing building construction walls provide protection on one or more sides and/or ceiling but not at one or more other sides where protection of existing constructed walls do not provide sufficient protection. Thus, the system includes walls, ceilings, and complete shelters of varying sizes and dimensions to shield varying numbers of people depending upon the interior dimensions of the shelter from nuclear radiation i.e. gamma and X-rays and to preserve and store potable water for usage during sheltering and after radioactive activity diminishes. 1. Field of Invention The present invention relates to the construction of both temporary and relatively permanent barriers, walls, and shelters that will provide protection from nuclear radioactive fallout i.e. gamma and X-rays and storage and preservation of uncontaminated potable water using the means and methods of the system set forth herein. The system employs the use of specially engineered self-supporting, interlocking, stackable jugs as described herein all of which in any given barrier or shelter may be filled with potable water, however if the combined content volume of all the jugs does not need to be potable water, as may be the case for permanent barriers, walls, or shelters, a portion of the less accessible jugs at the bottom of said barrier, wall, or shelter, may be filled with any available fluid and be so labeled. The primary motivation and purpose for this invention is to provide a means of fast and easy construction of barriers and/or complete shelters to protect people from radioactivity emanating from any source, but especially from “dirty bombs” and other nuclear explosions including but not limited to nuclear accidents, and provide survivors with a readily available supply of uncontaminated potable water. Time is of essence for the quickest possible patent issue, manufacture, and marketing of this invention that is certain to be welcomed by and utilized in private, public, governmental, and military sectors; more specifically in dwellings and facilities including but not limited to surrounding and inside industrial nuclear facilities, power plants, electrical generator and circuit rooms, financial facilities, military installations, government buildings, schools, hospitals, civil service facilities, police stations, fire stations, emergency response facilities and command posts. 2. Description of Prior Art The prior art thought to be the closest in concept to the “Portable Radiation Protection Shelter & Water Storage System” is disclosed in U.S. Pat. No. 6,200,067 to Pena; Martin Rangel (1999) in which water bags are the main component that require weight baring struts and sundry extraneous fastening devices essential to support, containment, adhesiveness, and shape of assembled structures. A second prior art patent that preceded the above referenced prior art patent that primarily utilizes sand bags is U.S. Pat. No. 4,692,060 to Jackson (1987). The apparent novelty of the present invention, the “Portable Nuclear Radioactive Fallout Protection Shelter & Preservation of Potable Water Storage System”, is its innovative self-supporting, interlocking jugs that comprise the system providing a uniquely applied and better suited means for the construction of nuclear radiation barriers and shelters than more generalized barriers comprised of water bags requiring supporting mechanisms and those comprised of predominately sand bags. The present invention relative to the said application encompasses relatively tremendous improvement in suitability, utility, design, and strength over existing art. The existing art is comprised of weaker more flimsy water bags that require additional support of weight baring struts and sundry extraneous fastening devices and barrier systems that use cumbersome sand bags. The jugs may be manufactured using any materials commonly used in, or may be adapted to blow molding manufacturing including compositions that facilitate greater weight baring capabilities including but not limited to being bullet proof. The system may be applied to applications of many shapes and sizes be relatively quickly and easily assembled without tools by unskilled labor or with a minimum of ordinary household tools in situations requiring a roof as described in the claims. The Invention-objects and advantages of the “Portable Nuclear Radioactive Fallout Protection Shelter & Preservation of Potable Water Storage System” over prior art was clearly noted within and throughout the claims and the “Description of Prior Art” above. Furthermore, regardless of all inclusive, futuristic, presumptuous and unspecified possible applications uses and modifications that may or may not be made by the prior art herein stated, the “Portable Nuclear Radioactive Fallout Protection Shelter & Preservation of Potable Water Storage System clearly stands unique and paramount in addressing the applications and functions for which it has been designed as stated and claimed herein. Although there are only six sides to a cube, in order to display the means of all the interlocking mechanisms of the radiation jugs, three sides of the jugs and cutaways are repeatedly shown to adequately present the surface to surface tightness and assembly of the interlocking mechanisms. Drawings have been presented in the best form possible to comply with the standard USPTO format, however because most were created using standard computer programs and formatted as shown according to manufacturing criteria, it is respectfully requested that obvious variances be allowed. FIG. #1 Radiation Barrier Jug showing three sides of the interlocking mechanism that couples jugs together in assembly of radiation barrier walls and shelters. Note the right surface shows protruding handle, the top surface shows two male studs, one of which is the caped water port, and the front surface shows two male studs. FIG. #2 Radiation Barrier Jug showing three sides of the interlocking mechanism that couples jugs together in assembly of radiation barrier walls and shelters. Note the front/right surface shows two female slots, the bottom surface shows protruding handle, and the front/left surface shows two female slots. FIG. #3 Radiation Barrier Jug showing three sides of the interlocking mechanism that couples jugs together in assembly of radiation barrier walls and shelters. Note the top surface shows interlocking slot for protruding handle, the right surface shows two male studs with one showing the capped water port, and the front surface shows two male studs. FIG. #4 Radiation Barrier Jug showing three sides of the interlocking mechanism that couples jugs together in assembly of radiation barrier walls and shelters. Note the top/left surface shows two female slots, the top/right surface shows the interlocking slot for protruding handle, and the bottom surface shows two female slots. FIG. #5 Three Radiation Barrier Jugs cut in half to show the overlapping assembly and interlocking mechanism that creates the solid wall without spaces as claimed. FIG. #6 Three Radiation Barrier Jugs cut in half but shown from the top view showing the overlapping assembly and interlocking mechanism that creates the solid wall without spaces as claimed. FIG. #7A (page 1 of 2 pages) There are two illustrations: One shows the assembled shelter barrier walls with emphasis on the entrance of a shelter showing radiation lines depicting how radiation rays i.e. gamma or X-rays can travel only in straight lines from their source and because of the layout of the barrier walls of a shelter, cannot enter into the shelter through the openings employing “L” shaped configuration of shelter openings referred to as “maze entrances”. The second illustration shows how the entrance way “maze” would look with the jugs interlocked three abreast and stacked. FIG. #7B (page 2 of 2 pages) Is a further development showing measurements or a maze entrance with the addition of a partial roof and a second illustration showing a partial roof to illustrate how the roof comprised of jugs piled three high might be formed to provide the necessary protection from above. Note the supporting mechanism to support the jugs can be attained by using a combination of beams and plywood. FIG. #8 The illustration shows a partially constructed room from a top view and different angle than illustrated in FIG. #7. FIG. #9 The drawings on the left show the construction layout of the pictured completed shelter to the right of the drawings. FIG. #10 The drawing on the left shows the construction layout of a roofless basement shelter on the right. The means of formation of the pictured bunker or basement shelter could be applicable with various modifications to several existing building construction layouts in which the materials used, and the thickness of walls and ceiling would mandate variations in the construction of the bunker or basement shelter. FIG. #11 Is a specification sheet relative to individual radiation barrier jugs entitled “WATER CONTAINER, SHELTER”. Note: The reason that the specification sheets #12, #13, #14, and #15 have been included is to show that the invention is well on its way to being manufactured; the specifications are essential to prospective manufacturers in the production of the radiation barrier jugs. FIG. #12 Is a specification sheet relative to the waterproof fill port and its cap entitled “CONTAINER CAP”. FIG. #13 Is a specification sheet relative to the standard construction or assembly of the radiation barrier jugs entitled “STANDARD STRUCTURE CONSTRUCTION”. FIG. #14 Is a specification sheet relative to the radiation barrier jug handle and “receiver” or “interlocking slot for protruding handle” entitled “HANDLE AND HANDLE RECEIVER DETAIL”. FIG. #15 Jug specifications sheet: Shows eight diagrams of technical specifications for the manufacture of the radiation barrier jugs that will be presented to prospective manufacturers along with any other specifications required.
summary
description
The present invention is related to a process and an apparatus for irradiating products with a high energy radiation beam, in particular low density products conveyed in the form of pallets, or as low density bulk material stored in appropriate containers. A possible application of such apparatus and process is the sterilization of products such as medical devices. Sterilization techniques can be divided essentially into two main groups: sterilization techniques using chemicals, such as ethylene oxide (EO) sterilization processing, or sterilization techniques using radiations. Among the latter techniques, electron beam may be used, but because of the limited penetration depth in matter of electrons (a few centimeters in unit density material), these are not adapted for the treatment of large volumes such as whole pallets. A better solution is the use of photons because of their better penetration in the depth of the matter. Among these techniques using photons, again two families can be defined: methods producing gamma rays and methods producing X-rays used for sterilization. In the case of gamma rays, the radiation source is a radioactive element producing gamma rays, such as Cobalt 60. The main feature of such irradiation is an isotropic distribution of gamma rays produced by the source. In case of X-rays, the use of an electron accelerator for producing a high-energy electron beam is required. This high-energy electron beam then passes through a foil made of a high-Z metal, therefore producing the required X-rays. Although, this last method is preferred for safety reasons, i.e. in terms of waste disposal, the main drawback of the use of X-rays is the poor efficiency of the electron/X-ray conversion process. In the particular case of sterilization of low-density products such as medical devices having typically a density comprised between 0.05 and 0.5 g/cm3 and preferably between 0.1 and 0.2 or 0.3 g/cm3, stacked on pallets, the use of X-rays or gamma rays as radiation beam is required for obtaining adequate penetration. X-rays are preferred over gamma rays because they can be controlled easily, and do not produce waste. Typically, the product pallets are carrier-trays or supports of 80×100, 80×120 or 100×120 cm2, (depth×width) on which products may be stacked up to 180-200 cm. Products to be irradiated may also be in bulk form, such as powder, grains, stored in appropriate containers such as bags or not. Indeed, the density of the product to be irradiated is a critical parameter to take into account in order to have an efficient irradiation. The radiation source has thus to be sufficiently energetic so as to penetrate in the core of the product. In practice, the minimum dose delivered by the irradiation system has to be greater than 2 kGy for products like foodstuffs but greater than 25 kGy for products like medical devices, in order to reach sterilization requirements. To be efficient, the irradiation system has to provide an even exposure of the products. It is generally admitted that this condition is satisfied if the dose-uniformity ratio also known as “DUR”, corresponding to the ratio between the maximum dose and the minimum dose, is as low as possible and preferably is below 2.5. Ideally, in a situation where the dose is uniformly delivered, the DUR would be equal to 1. Furthermore, for products placed on pallets or carriers, having e.g. dimensions of 1.0 m×1.2 m, less than 30% of the X-ray energy is deposited as a dose in the products, the remainder traversing the products being lost. One can therefore define the throughput of the installation as the volume of material per unit time that can be processed up to a given dose. The throughput is accordingly dependent of the rate of effective energy used to irradiate a product. The conveying or transportation system before the irradiation source can also be divided into two main families. An important family of irradiation systems is related to translation systems, which use a continuous linear conveying of the products before the radiation source. However, this kind of irradiation systems has the drawback that it requires a shielding in the form of a maze which will need a rather important overall space, and a complex transport system along the maze. Typically, in these irradiation systems, products are stacked either on pallets or on unique carrier trays and maintained in a storage area ahead of the irradiation chamber before being conveyed past the radiation source for irradiation of at least one of their sides. A second pass of the pallets or carriers may be then planned in order to expose their opposite side to the radiation source. Examples of said irradiation translations, horizontal irradiation systems are known from WO-A-03/028771, U.S. Pat. No. 5,396,074, EP-A-0999556. Another family of irradiation systems consists of rotation systems, using means for rotating a pallet or a container of products before the radiation source. These irradiation systems are well described in U.S. Pat. Nos. 4,066,907, 4,018,348 and 6,504,898. A particular example is described in U.S. Pat. No. 5,001,352 wherein a process and an apparatus for the irradiation are disclosed, whereby the objects are packed in shipping units having a symmetry of axis running parallel to the direction of conveyance or parallel to the longest extension of the source of radiation. Some of the objects are brought to a position near another object and placed at a distance from the source of radiation, so that near objects partially shield the distant objects from the radiation source in such a manner that the shielding effect is less near the axis of symmetry than in the peripheral area. The objects are moved in such a way that they are irradiated from at least two sides. Although, it is described in particular that the irradiation can be performed either with a X-ray or a gamma-ray source, the fact that there is a rotation according to a central axis of symmetry, the process and the apparatus described in this document will only show a good uniformity of the absorbed radiation if the radiation source consists of a gamma-ray emission source. In the several embodiments, it is mentioned that the source consists of a rod-shaped source made of individual elements consisting of Cobalt 60. Furthermore, in said document, FIG. 3 depicts a longitudinal view of the apparatus illustrating a carrousel with an overhead platform and a column of objects to be irradiated which are resting on pallets and are deposited on four carrying devices. Accordingly, each object represented in FIGS. 1 and 2 can be replaced by a column of several objects wherein, after irradiation process, the lower shipping unit is removed, then the three remaining units are lowered on one store and finally, an un-irradiated shipping unit is placed on the top store. U.S. Pat. No. 6,215,847 describes a product irradiator comprising a loading-unloading area and an irradiation chamber, a continuous track having a level-changing portion, wherein the continuous track enters and exits the irradiation chamber from the loading-unloading area. The continuous track is comprised of at least one rail and of at least two levels to about eight levels. An irradiation source, for example cobalt 60, and which is preferably horizontal in orientation, is located within the irradiation chamber. The product irradiator comprises a substantially horizontal carrier, which is engaged to the continuous track and at least one drive mechanism capable of moving the carrier along the continuous track. It should be noted that the translation of the several pallets on the continuous track is performed along one same direction. EP-A-1 459 770 describes a process and an apparatus for irradiating products by means of a high-energy X-ray beam source suggesting to optimize the throughput of the installation, while maintaining an appropriate dose uniformity ratio by irradiating simultaneously a stack formed of at least two contiguous pallets. More preferably, at least four contiguous pallets are provided on a single same plane. The irradiation is performed with an overscanning of the pallets. According to a preferred embodiment, these four pallets are placed on a rotating mean, in order to perform a rotation to the whole stack of pallets during irradiation. If the DUR ratio has been improved along a horizontal plane of the pallets, still the uniformity of this parameter according to the vertical axis is not sufficient. The present invention aims to provide an apparatus and a process for irradiating products, which do not present the drawbacks of the devices and processes of the state of the art mentioned hereabove. A particular aim of the present invention is to provide an apparatus and a process which allow a uniform irradiation of low density products (densities lower than 0.5 g/cm3) and in particular products such as medical devices having a density lower than 0.3 g/cm3 and requiring an important dose higher than 20 kGray, having a better throughput than state of the art devices. The present invention also aims to provide an apparatus and a process which allow an irradiation of low density products giving a DUR ratio below 2.5. The present invention also aims to provide an apparatus and a process for performing secured and reliable irradiation with moderate cost in terms of equipment, as well as in terms of treatment time. In particular, the present invention aims to provide a process and an apparatus which allow simultaneous irradiation of a great quantity of products maintained on pallets. A first object of the present invention is related to a method for irradiating in an irradiation chamber products being stored in the form of pallets or in the form of bulk material in appropriate containers by means of a high energy X-ray beam source, comprising the following steps: placing and arranging the products onto two different levels of products, so that a first set of products is placed on an upper level and a second set of products is placed on a lower level; irradiating both sets of products during a first period of time; submitting the products arranged on said two levels to a switch or transposition, so that the set of products arranged on an upper level is arranged on the lower level and vice-versa; irradiating during a second period of time the new arrangement formed of the two transposed sets of products. Preferably, the two different levels consist of two superposed vertical levels. Preferably, the first period of time and the second period of time correspond, so that the transposition of the two levels occurs in the middle of the whole period of irradiation of said products. According to a first embodiment, the products are conveyed before the source with a translation conveyor device. Preferably, the translation conveyor device consists of two independent parallel sub-devices conveying the products on the two different levels. According to another preferred embodiment, the products are conveyed before the source with a rotating conveyor device. Preferably, the rotating conveyor device consists of two independent parallel sub-devices conveying the products on the two different levels. According to a preferred embodiment, the set of products present on each level consists of one pallet or one container. According to another preferred embodiment, the set of products present on each level is in the form of a stack of at least several contiguous pallets or containers placed in the same plane. Preferably, the plane is essentially a horizontal plane. Advantageously, the photon source is a high energy X-ray beam source. Preferably, the high energy X-ray beam source is directed to the sets of products from substantially mid-height of the lower level up to substantially mid-height of the upper level. Preferably, the high energy X-rays are obtained by scanning an electron beam along a height essentially corresponding to a distance comprised between substantially mid-height of the lower level up to substantially mid-height of the upper level. Another object of the present invention is related to an apparatus for irradiating in an irradiation chamber products being stored in the form of pallets or in the form of bulk material in appropriate containers, having at least: a high energy photon source; means of conveying said products in front of the source, said means being able to arrange the products in two sets of products, each being placed on a different level, and means for submitting the sets of products arranged on each level to a switch or transposition so that the set of products arranged on the upper level is arranged on the lower level and vice-versa. Another object of the present invention is related to an apparatus further comprising means for directing a photon beam along a height essentially corresponding to a distance comprised between substantially mid-height of the lower level up to substantially mid-height of the upper level. When irradiating a product set (pallet or container) from the side with an X-ray beam, two considerations have to be taken into account: firstly, in order to achieve effective sterilization, a minimal dose must be deposited throughout the volume of the product set. Owing to the properties of X-rays, dose deposition will not be uniform, and some of the areas will receive a larger dose. This non-uniformity is qualified by the “Dose Uniformity Ratio” (DUR) which is the ratio of the maximal dose deposited in the volume to the minimal dose deposited in the volume. It is desirable that this ratio be as near to one as possible, and preferably below 2.5. A second consideration in the design of an irradiation system is the throughput of the installation. The throughput of the installation can be defined as the volume of material per unit time that can be processed up to a given dose. This throughput depends on the size of the product set. For smaller sizes, the throughput is low because a large part of the X-ray energy traverses the product and is lost. For larger sizes, a long irradiation is necessary for reaching the minimal dose in the centre of the product set. This leads to a higher dose at the surface of the product set, and hence a higher DUR, and reduced throughput. In between these extremes, an optimal size maximizes throughput. The idea underlining the present invention is to suggest to superpose on two different levels, products being either in the form of pallets, or in the form of bulk material placed in appropriate containers and to submit them to an irradiation by X-rays during a first period of time, so that irradiation is performed simultaneously for the two superposed levels of products. In the middle of the treatment, the two levels of products are transposed or switched so that the lower level of products becomes the upper level of products, and vice-versa, then to submit them during a second period of time to irradiation, so that irradiation is performed simultaneously for the two superposed levels of products. By a transposition or a switch it should be understood a permutation or inversion of the pallets or containers present on each level, wherein the set of products is not reversed. This means that the top or the bottom respectively of the pallet or container remains the top or the bottom respectively of the pallet or container during the permutation and afterwards during irradiation. This process can be applied either to translation conveying systems or to rotating conveying systems. According to a first embodiment, wherein it is suggested to use translation transportation of the products before the source, the installation is described as a top view in FIG. 1. It should be understood that according to said embodiment, the conveyor translation system is divided into two parallel conveying systems (an upper conveying system and a lower conveying system), so as to transport the products on an upper level through a conveyor 2 and on a lower level through a conveyor 2. The apparatus comprises an irradiation chamber 1 where irradiation takes place and classical conveying means 2 or 2′ to bring pallets or containers supporting the products in front of the radiation source 4 in said irradiation chamber 1. The circuit of each conveyor system 2, 2′ is divided into several portions, corresponding to loading (5), accumulation (6), exposure (7), control (8), and unloading portions (9). More precisely, if the set of products have been sufficiently irradiated according to specific predetermined requirements, they are driven onto a portion of the circuit corresponding to an unloading portion (9) along which the products go out of the irradiation chamber 1 (through exit 11) and are unloaded. If the set of products has been insufficiently irradiated, it can be transferred into a portion which is the reorientation portion 10 where sets of products are reoriented e.g. by a 180° rotation, in order to expose the opposite side to the irradiation (2 pass process) or by a 90° rotation (4 pass process) along the vertical axis e.g. using rotation means 14 and then transferred to the accumulation portion 6 in order to pass again in front of the radiation source 4. As already mentioned, the pallets or containers have to be transposed from the lower level to the upper level for the lower set of products and from the upper level to the lower level for the upper set of products. This is performed through appropriate means such as lifting means. The lifting means can also be associated with the reorientation means 13. Advantageously, the combination of the horizontal movement of the set of products along the conveying system 2 or 2′ before the radiation source 4 and the vertical scanning of the photon beam will impose a full 2D design to the irradiation of the set of products. According to another preferred embodiment, wherein it is suggested to use a rotation transportation of the set of products before the source, the installation is described as a top-view in FIG. 2. Said apparatus shown in FIG. 2 comprises: a protective shielding; a source 4 able to emit a high energy electron beam, said electron beam being directed to a foil of high-Z material 35 for producing X-rays; an irradiation chamber 1 where irradiation may take place; rotation means 12 included inside the irradiation chamber 1 and located in front of the radiation beam source 4. Preferably, each of the rotation means that are present on each of the level are capable of carrying a set of products to irradiate and rotate this set (one or more pallets or one or more containers) in front of the irradiation source. Preferably, said products are placed before the source in a stack of products comprising at least two contiguous pallets placed in the same horizontal plane on each level. This means that the products are rotated in such a way that they do not rotate relatively with respect to each other. They are rotated and irradiated as a whole. Thereby, the set of products is irradiated as a stack from the lateral side. According to another preferred embodiment, the pallets or containers can be rotated individually and/or around a centrally placed gamma source, such as a Cobalt 60 source. According to another embodiment, the rotating means of the apparatus on each level is adapted to carry one single container, containing products in bulk. This container may have a cylindrical form with an inner wall and an outer wall, wherein the products in bulk are present in the space between the two walls thereby creating an empty column in the centre of the container. The effect of the empty column is to optimize the dose uniformity in the same way. The rotation means may comprise a turntable, but could be any other suitable rotation means. The method and apparatus of the invention allow a number of pallets or containers to be irradiated simultaneously as two horizontal stacks present on two different levels by successive penetration of the beam into each pallet or container of the set of pallets or containers arranged before the source. Two examples of a preferred arrangement of these stacks are shown on FIGS. 3 and 4, wherein four rectangular based product pallets 14, 14′, 14″, 14′″ are placed as product stack on the turntable 12 in a same plane B, which is parallel to the plane A of the turntable 12. According to a first preferred embodiment as in FIG. 3, the four pallets 14, 14′,14″, 14′″ are arranged relatively to each other to form together a square base 15 with an open column 16 at the centre 19 of the square. Preferably, said centre 19 coincides with the centre 20 of the turntable 12. One advantage of said configuration is that the problem that the products receive a dose higher than required—a problem associated to classical irradiation apparatus—is avoided here, as the area where this over-irradiation occurs precisely corresponds to the whole or open column 16, where there is no matter. An alternative possible embodiment for arranging four pallets as a horizontal stack on the rotation means (turntable) 12 is illustrated on FIG. 4. In said embodiment, one defines for each pallet 14, 14′, 14″, 14′″ one corner 17, 17′, 17″, 17′″ respectively and the pallets are arranged so that said corners 17, 17′, 17″, 17′″ coincide with each other at a contact point 18. Preferably, said contact point 18 coincides with the centre 20 of the turntable 12. FIG. 5 is representing a side view of the specific configuration of the superposition of two sets of products (in this case two pallets 3 and 3′ of products) on two different levels in front of the source 4. As illustrated on FIG. 5, the radiation source 4 preferably comprises an electron beam source or e-beam horn 32 which irradiates an X-ray target 35 which generates an X-ray beam (photon beam) for product irradiation. Each set of products is placed either on a translation conveyor or on a turntable (schematized by the reference numbers 30 and 30′) and is submitted to the X-ray irradiation. Preferably, the high energy X-ray beam source is directed to the sets of products from substantially mid-height of the lower level up to substantially mid-height of the upper level. Accordingly, the high energy X-rays are obtained by scanning an electron beam along a conversion target, on a height extending essentially from a distance comprised between substantially mid-height of the lower level up to substantially mid-height of the upper level. This means that preferably, the total diameter of the end of the e-horn (D) is calculated as to be equivalent to twice half of the total height (d) of a pallet plus the gap present between the two levels on which the pallets are positioned:D≃2d/2+G=d+G Thereby, no overscanning of the pallets is performed. Because of this specific configuration, the same pallet being submitted to a first pass defined as the lower pass, wherein the pallet is positioned on the lower level, and to a second pass defined as the upper pass, wherein the pallet is positioned on the upper level, will show a rather even exposure on the whole height of the pallet. The dose has been calculated for an irradiation of products having a density of 0.1 g/cm3, without any wooden support and an air gap of 40 cm (see FIG. 6) and with one pallet positioned on each level with a 15 cm wooden support and an air gap of 25 cm in between (see FIG. 7). In the second case, which is, of course, the real working condition, one observes a small decrease at the bottom of the pallets. This is due to the fact that the wooden support or tray will absorb a non-negligible dose during irradiation. However, this effect is compensated in the present case compared to the process according to the state of the art (one pass with overscanning). By irradiating essentially one half of the pallet during the first time period of the process, e.g. the upper half for the pallet placed on the lower level and the lower half for the pallet placed on the upper level, and interchanging the pallets so that it is the other half which is essentially irradiated during the second time period, a part of the created photons will be scattered to the half not treated, e.g. the lower half for the pallet placed on the lower level and the upper half for the pallet placed on the upper level, and this during both periods of time. Because of a better efficiency of the use of x-rays, the throughput will accordingly also be improved. In FIGS. 6 and 7 and in the following, the measurements have been performed according to the height (Z) of a pallet, 0 representing the median height, (−80) the bottom and (+80) the top of the pallet. FIGS. 8a, 9, 9a and 10a represent products having a density of 0.1 g/cm3, 0.2 g/cm3, 0.3 g/cm3 respectively, the minimum dose rate (Dmin) and the max dose rate (Dmax). The minimum dose rate corresponds to the dose delivered so that the product is submitted to a sterilization, which corresponds to an irradiation of at least 20 kGy. The maximum dose is the maximum dose delivered to the product. The important parameter to follow is the DUR ratio which is the ratio of the maximum dose and the minimum dose. It has to be as uniform as possible and as low as possible. FIG. 8b, FIG. 9b and FIG. 10b represent the DUR ratio for products having a density of 0.1 g/cm3, 0.2 g/cm3, 0.3 g/cm3 respectively. For products having a very low density, a decrease of the DUR ratio is observed at the bottom of the pallets. However, this effect slowly disappears when increasing the density. On the other hand, when the density is increasing, an increase of the DUR ratio is observed at the top of the pallets (see FIG. 10b). Yet, as represented in FIG. 11, if we compare the DUR ratio with the density for a process according to the present invention (two levels process) and for a process performed according to the state of the art (one level process), it is obvious that the DUR ratio is improved (closer to one). FIG. 12a and FIG. 12b represent a simulation of the behaviour of the photons generated on a conversion target 35 by an electron beam. One will observe that on the left part of the drawings in front of the conversion target 35 the electrons of the electron beam produced by a cyclotron can be considered as having essentially a parallel trajectory. Very few electrons will produce backscattering. The exposure of one set of products placed on only one level will conduct to a rather low throughput (see FIG. 12a) since a non-negligible portion of the X-rays produced is lost. The exposure of two sets of products placed on two superposed levels is represented in FIG. 12b. It is obvious that the rate of lost X-rays has decreased. This can also be observed in FIG. 13. As represented in FIG. 13, if we compare the throughput according to the density for a process according to the present invention (two levels process) with a process performed according to the state of the art (one level process), it is again obvious that the throughput is radically improved for any density from 0.05 to 0.35 g/cm3. This is particularly put in evidence with FIG. 14 wherein the throughput increase is represented as a percentage. 1 irradiation chamber 2/2′ conveying means 3/3′ set of product(s) (pallet(s) or container(s)) 4 X-ray beam source 5 loading portion 6 accumulation portion 7 exposure portion 8 check portion 9 unloading portion 10 reorientation portion 11 exit 12 rotation means 13 reorientation means 14/14′/14″/14′″ product pallets 15 square base 16 open column or empty column 17 corner 18 contact point 19 centre of square 20 centre of turntable 30/30′ pallets conveyor or turntable 31/31′ support or tray of the pallets 32 horn of the electron beam 35 X-ray target
048440493
abstract
A water heater device including an inner tank for containing water surrounded in spaced apart relationship by an outer shell. The top end of the water heater device is closed by a cap, and the bottom end of the water heater construction is closed by a floor connected to the outer shell. The inner tank has a concave bottom wall. A collar of thermal insulation material, such as fiber glass is located in the annular space between the inner tank and outer sleeve proximate the bottom end of the water heater device concentric with the annular space such that the collar is in contact with the interior wall surface of the outer shell, in contact with the exterior wall surface of the inner tank, and in contact with the floor of the water heater device. The collar has a radial thickness greater than the radial width of the annular space such that the collar is compressed between the interior wall surface of the outer shell and exterior wall surface of the inner tank sufficiently to tightly seal the interface of the collar and inner wall surface of the outer sleeve, and seal the interface of the collar and exterior wall surface of the inner tank. A disc of thermal insulation material, such as fiber glass, is located within the concavity of the bottom wall of the inner tank. Further, the annular space above the annular collar is filled with an expandable foam thermal insulation material.
description
This application claims priority to Chinese Patent Application No. 201220513794.8, filed on Oct. 9, 2012, and entitled “OPTICAL DEVICE FOR FOCUSING SYNCHROTRON RADIATION LIGHT SOURCE”, the disclosure of which is incorporated herein by reference in its entirety. The present invention refers to a field of material and optics technology, particularly to an optical device for focusing synchrotron radiation. The synchrotron radiation light source becomes an important tool for revealing material structure and Biological Phenomena as its specific characters such as high brightness, collimation and energy continuity, and is applied to subject fields, such as material field, geology field, biological field, environment field and archaeology field and the like. With the development of the synchrotron radiation technology, X-RAY microbeam analysis technology with X-RAY focusing optical device has become a mainstream analysis technology for a synchrotron radiation application. The synchrotron radiation devices are distinguished from each other according to constructions, performances and usages thereof, and have developed to the third generation. The first and second generation of the synchrotron radiation are characterized in that the size of the light source point is relative large and the divergence thereof is relative high. So far, a toroidal mirror is generally adapted in the first or second international generation of the synchrotron radiation device, by which a beam with a diameter of tens millimeters in a horizontal direction and a beam with a diameter of a few millimeters in a vertical direction are one-time focused, and the focused beam therein, either in the horizontal direction or the vertical direction are a few submillimeters. The light intensity of each one-time focused beam is distributed in a manner of Gaussian distribution with high light intensity in the center and low light intensity at the edge. However, when conducting a research and analysis to an X-Ray diffraction and fluorescence, the light intensity distribution of the incident light is as uniform as possible. High pressure absorption spectrum is an important future development direction of the X-Ray absorption spectrum, which is used to research changes of a local structure and an electron structure of a sample, and to dynamically and in situ reveal a few of dynamic properties of the sample by applying a pressure to the sample through diamond Anvil Cell. Due to a crystal structure of diamond, when performing high pressure absorption spectrum measurement, the normal measurement of the absorption spectrum is significantly influenced by diffraction signals generated by the diamond. Additionally, a monochromatic light emerged by a monochromator from a synchrotron radiation of a continuous spectrum includes higher harmonics which seriously impacts a light source, a prober and calibration accuracy of the optical device, and a deviation of experiment data is increased due to the interference of the harmonics, even leading to an inaccurate experimental conclusion. Therefore, restraining higher harmonics in the light source to improve the quality of the light source is important to improve the accuracy of the experiment conclusion. An optical device for focusing X-Ray is provided according to embodiment of the present disclosure, so as to improve light intensity uniformity of an emergent light, thereby increasing divergence of emergent beam or restraining synchrotron radiation higher harmonics. An optical device for focusing a synchrotron radiation light source, an outline generatrix of the optical device is a quadratic curve segment or a combination of a plurality of quadratic curve segments, the opening orientations of which are the same, and the optical device includes: a plurality of capillary bodies made of transparent material, wherein the capillary bodies in a center region have a solid construe; the capillary bodies in a periphery region located outside of the center region have a hollow structure. In the present embodiment, solid capillary bodies are arranged in the center region and the hollow capillary bodies are arranged in the periphery region, i.e. an optical device for one-time focusing a synchrotron radiation light source, so as to change the light intensity of the light being one-time focused by a synchrotron radiation device from the Gaussian distribution of the light intensity distribution into approximate uniform distribution, while the divergence the emergent light is increased, thereby weakening the influence of diffraction signals caused by a crystal, such as diamond to the measurement of high pressure absorption spectrum. Additionally, the optical device is configured to restrain synchrotron radiation higher harmonics. Preferably, an external diameter of a capillary body in the center region is larger than that of a capillary body in the periphery region; or the external diameters of all the capillary bodies are the same. If the external diameter of a capillary body in the center region is larger than that of a capillary body in the periphery region, the amount of the capillary bodies is reduced without changing the volume of the optical device and the manufacture process is simplified. If the external diameters of all the capillary bodies are the same, the manufacture process of a single capillary body is simplified. Preferably, a wall thickness of one capillary body closer to the edge of the optical device is smaller, so as to improve uniformity for focusing X-Ray. Preferably, the transparent material is glass material. The smoothness of the capillary body made of the glass material is relative better. Preferably, the glass material includes one or more elements of Li, Be and B. The smoothness of the capillary body made of the glass material with such elements is relative better. Preferably, the capillary body in the periphery region is consisted of a film made of non-transparent material. Preferably, the non-transparent material is metal. The reflecting film consisted of metal material possesses a better reflection effect. Preferably, the metal includes one or more elements of Wolfram, Gold and Platinum, the reflection effect of which is better. Preferably, the film made of non-transparent material is located on the outer surface of the capillary body, so as to obtain a better reflection effect and reduce the difficulty for coating film. Other features and advantages of the present disclosure will be described below, a part of which will become transparent according to the description or will be understood in implementing the present disclosure. The object and other advantages of the present disclosure may be implemented and obtained according to the description, appended claims and specific structures indicated in drawings. The technical solution of the present disclosure is further described in detail through the appended drawings and embodiments. The present disclosure will be described below further in detail with reference to the accompanying drawings. It is appreciated that the specific embodiments described herein are merely used to describe and explain the present disclosure, rather than limiting the present disclosure. The inventor of the present invention finds that, with the current optical device consisted of glass capillary tube in the related art, the light intensity of emergent light of focused X-Ray is distributed in a manner of Gaussian distribution. That is, the light intensity in the center is obviously higher than the light intensity at the edge. However, in a practical application and research, it is hope to obtain an X-Ray with uniform intensity. Therefore, in the present embodiment, solid capillary bodies are arranged in a center region and hollow capillary bodies are arranged in a periphery region, i.e., an optical device for focusing synchrotron radiation light source, so as to obtain relative uniform focused X-Ray. The optical device for focusing synchrotron radiation light source is also configured to focus X-Ray reflected by a toroidal mirror, so as to change the distribution of the light intensity of the one-time focused light by a synchrotron radiation device from the Gaussian Distribution into uniform distribution, thereby implementing a second-time focus, at the same time, the divergence the emergent light is increased, thereby weakening the influence of diffraction signals caused by a crystal, such as diamond to the measurement of high pressure absorption spectrum. Additionally, the optical device is configured to restrain synchrotron radiation higher harmonics. As shown in FIG. 1B, the optical device according to the present embodiment is of an axial symmetry structure, in particular, a shape of a horizontal cross-section at any point is nearly a circle. A pair of edges of two pairs of edges of the vertical cross-section are parallel to each other, and another pair of edges are arcs with opposite openings, and the arcs are respectively in conformity with quadratic curve equations. That is, an outline generatrix 101 is a quadratic curve segment or a combination of a plurality of quadratic curve segments, the opening orientations of which are the same. preferably, the outline generatrix 101 is a parabola or an elliptical arc. The optical device includes a single capillary body 102 made of transparent material. As shown in FIG. 2, the capillary body 102 in a center region 201 is of solid structure, the capillary body 102 in a periphery region 202 located outside the center region 201 is of hollow structure, and the capillary body 102 in the periphery region 202 is consisted of a film made of non-transparent material. As shown in FIG. 3, the capillary body 102 in the periphery region 202 includes two parts, in which one part is a hollow tube 301 made of transparent material, the other part is a film 302 made of non-transparent material, with which the outer surface of the hollow tube 301 is coated. Of course, the capillary body 102 in the center region 201 may also be coated with a film 302 made of transparent material. Preferably, the transparent material is glass material. Specifically, the glass material is a kind of lightweight glass with relative low density, and the glass material includes one or more elements of Li, Be and B. For example, the composition of glass includes: C OMPOSITONContent ( Weihgt )SiO 275.5%B 2 O 315.5%Al 2 O 3 3.4%Fe 2 O 30.08%Na 2 O 4.7%K 2 O 0.6% Preferably, the non-transparent material is metal. In order to increase the refractivity of glass and that of metal, to increase the total reflection critical Grazing angle, that is, to improve the ability of focusing high power X-Ray, heavy metal with relative high density is adopted in the present embodiment, the heavy metal includes one or more elements of Wolfram, Gold and Platinum, in which Wolfram is preferable in consideration of a manufacture process and cost. One end of two ends of the optical device is configured to receive X-Ray and the other end is configured to output X-Ray. The critical surface between the glass material and the metal material is a reflection surface, which is configured to totally reflect X-Ray when X-Ray reached the reflection surface in the optical device, and the X-Ray is focused at the other end. Preferably, an external diameter of a capillary body in the center region is larger than that of a capillary body in the periphery region; or the external diameters of all the capillary bodies are the same. If the external diameter of a capillary body in the center region is larger than that of a capillary body in the periphery region, the amount of the capillary bodies is reduced without changing the volume of the optical device and the manufacture process is simplified. If the external diameters of all the capillary bodies are the same, the manufacture process of a single capillary body is simplified. Preferably, a wall thickness of one capillary body closer to the edge of the optical device is smaller. Particularly, in a case that external diameters of all the capillary tube 102 in the periphery region 202 are the same, an inner diameter of a capillary tube 102 closer to the edge is larger, which may improve uniformity of the focused X-Ray. For example, in a case that an external diameter at the inlet of the capillary tube 102 is 6.25 um, the outlet of the capillary tube 102 is 2.5 um, an inner diameter of the capillary tube 102 in the periphery region 202 is 5 um, the outlet is 2 um, a length of a middle axis is 65 mm, the outline generatrix of the optical device is y=−0.0012x2+0.0025x+5.2813, the amount of capillary tube is kk=80, when the amount of the capillary tubes 102 in the center region 201 is 25 to 40, a relative obvious stage is emerged: the width thereof is 40 to 50 um. FIGS. 4 to 7 are respectively schematic graphs of light intensity distributions with respective 10, 20, 30 and 35 capillary tubes 102 in the center region 201. Distances from an outlet of the optical device is indicated on the horizontal axis, while light intensities are indicated on the vertical axis. As shown in those drawings, if the amount of the capillary tubes 102 in the center region 201 is larger, a focal spot is larger, which indicates that the uniformity is better. However, there is a preferable range for the amount of the capillary tubes, if the amount of the capillary tubes exceeds the preferable range, a flaw may occur in a stage of focused light intensity, that is, the light intensity corresponding to the center region 201 is lower than that corresponding to the periphery region 202, thereby reducing the uniformity. In such case, the divergence of focused X-Ray is represented in table 1: TABLE 1Kk = 0k = 15k = 25k = 40Divergence/5.055.3255.826.75mrad The divergence of focused X-Ray is represented in Table 1, the divergence of focused X-Ray is larger as the amount of the capillary tubes 102 in the center region 201 is larger. k=0 represents the amount of the capillary tubes 102 in the center region 201 is 0, that is, all of the capillary tubes are hollow tubes, i.e. the optical device in the related art, therefore the optical device according to the present disclosure is better than the optical device in the related art in uniformity and divergence of focused light thereby. Furthermore, as comparing to the completely solid optical device, the optical device according to the present disclosure is better than the optical device in the related art in uniformity and divergence of focused light thereby. Additionally, the optical device according to the present embodiment may restrain higher harmonics well, and a fundamental wave and a triple frequency are presented in the X-Ray energy region; that is, two kinds of light with energy E and 3E is presented; wherein E represents the fundamental wave and 3E represents higher harmonics. As calculated, an inner diameter at the inlet of capillary tube 102 in the periphery region 202 is 12.6 um, and that at the outlet is 6 um, a middle axis of the optical device is 40 mm, the amount of the optical device is kk=30. In a case that the amount of capillary tubes 102 in the center region 201 is 15, the transmission efficiency is shown in Table 2: TABLE 2transmission efficiencyE = 5 kevE = 15 kevk = 082.2%34.0%k = 1570.2%  12% As can be seen in Table 2, the optical device according to the present embodiment may restrain higher harmonics (E=15 kev) well, the transmission efficiency of higher harmonics of a completely solid optical device in the related art is 34%, and the transmission efficiency of higher harmonics of an optical device according to the present disclosure is 12%, while E=5 kev, the transmission efficiency varies. Those skilled in the art should appreciate that embodiments of the present invention may be provided as a method, system, or computer program product. Accordingly, the present invention may be of an entirely hardware embodiment, an entirely software embodiment, or a combination of forms of embodiment of software and hardware aspects. Furthermore, the present invention may be implemented in the form of one or more of which comprises a computer usable program code computer usable storage media (including, but not limited to, disk storage, CD-ROM, optical memory, etc.) on a computer program product. The present invention has been described in accordance with an embodiment of the method of the present invention, apparatus (systems), and computer program products of the flowchart and/or block diagrams described. It should be understood by computer program instructions, and a combination of the flowchart illustrations and/or block diagram showing each process and/or blocks in the flowchart and/or block diagram of the process and/or box. These computer program instructions may be provided to a general purpose computer, special purpose computer, embedded processor or other programmable data processing apparatus to produce a machine, such that the instructions executed by a computer or other programmable data processing apparatus generating means to be implemented in one or more flow processes the flowchart and/or block diagram block or blocks in a specified function. These computer program instructions may also be stored in a computer can direct a computer or other programmable data processing apparatus to function in a particular manner readable memory, such that stored in the computer-readable instructions in the memory to produce an article of manufacture including instruction means The instruction means implemented in a process flow chart or more processes and/or block diagram block or blocks in a specified function. These computer program instructions may also be loaded onto a computer or other programmable data processing equipment, making the implementation of a series of steps on the computer or other programmable apparatus to produce a computer implemented, resulting in a computer or other programmable apparatus provide instruction on execution of the flowchart for implementing the one or more flow processes and/or block diagram block or blocks in a specified function of the step. Obviously, those skilled in the art may make various modifications of the present invention and modifications without departing from the spirit and scope of the invention. Thus, if such modifications and variations of the present invention and the claims of the invention belongs to the technical scope of equivalents, the present invention is also intended to include these changes and modifications included.
abstract
The present invention relates to the technical field of medical devices. Disclosed is an adjustable collimator, which can solve the problem that existing collimators cannot achieve precise therapy in a small irradiation field. The adjustable collimator comprises a controller and two blade sets arranged opposite to each other; the blade sets comprise a plurality of blades, the controller drives the blades to move so as to form a first irradiation field through which a ray can pass; at least one blade in the blade set is a small irradiation field blade, and at least one irradiation field hole is provided on the small irradiation field blade; the controller is further used to drive the small irradiation field blade to move such that the irradiation field hole becomes a second irradiation field through which the ray can pass, wherein the second irradiation field is smaller than the first irradiation field. The technical solutions of the present invention may achieve precise therapy on diseased parts, improving the therapeutic effect of radiotherapy.
040627233
abstract
Improved nuclear reactor arrangement to facilitate both through the head instrumentation and insertion and removal of assemblies from the nuclear core. The arrangement is of the type including a reactor vessel head comprising a large rotatable cover having a plurality of circular openings therethrough, a plurality of upwardly extending nozzles mounted on the upper surface of a large cover, and a plurality of upwardly extending skirts mounted on a large cover about the periphery or boundary of the circular openings; a plurality of small plugs for each of the openings in the large cover, the plugs also having nozzles mounted on the upper surface thereof, and drive mechanisms mounted on top of some of the nozzles and having means extending therethrough into the reactor vessel, the drive mechanisms and nozzles extending above the elevation of the upwardly extending skirts. The improvement in the above type of arrangement comprises a skirt extension and refueling plug for each of the holes of the large rotatable cover for providing access to the nuclear core over each of the assemblies therein. The extension skirt is mountable in sealing relationship to the upwardly extending skirts when the small plugs are removed from the holes in the large rotatable cover, the upwardly extending extension skirt extending upwardly above the elevation of the nozzles on a large rotatable cover when the drive mechanisms have been removed therefrom. The extension skirt includes a laterally extending lip which overlies and is positioned above some of the nozzles in close proximity with the upwardly extending skirt and which supports bearing means for rotatably supporting the refueling plugs for rotation within a circular opening defined within the upwardly extending skirt. The refueling plug includes an opening therethrough through which the assemblies from in the nuclear core may pass. The opening in the refueling plug is such as to provide access over each of the assemblies of the nuclear core upon rotation of the refueling plug and a large rotatable cover.
abstract
A digital protection system includes a process protection system having at least two channels and a reactor protection system having at least two trains. The process protection system includes, in one channel, first and second comparative logic controllers of different types that are mutually independent of each other and that respectively receive process variables as inputs and each outputting comparison logic results. The reactor protection system includes, in one train, first and second concurrent logic controllers of different types that are mutually independent from each other and that respectively receive the comparison logic results as inputs and each outputting concurrent logic results. The reactor protection system includes initiation circuits, each circuit including a plurality of relays connected in series and a plurality of relays connected in parallel. One series-connected relay is controlled by one of the two different concurrent logic results, and one parallel-connected relay is controlled by the other.
052166996
summary
BACKGROUND OF THE INVENTION a) Field of the Invention This invention relates to an X-ray microscope and, more particularly, to an X-ray image forming apparatus. b) Description of the Prior Art Recently, researches and developments of X-ray radiation sources and X-ray optical elements have been advanced and, as one of their application systems, an X-ray microscope is proposed. As shown in FIGS. 1 to 3, various types of optical elements used in the X-ray microscope are available. FIG. 1 shows a Wolter reflecting optical system (in the figure, its reflecting surfaces are indicated only by solid lines). This is such that X rays are made incident on the reflecting surfaces at a large angle and reflected by utilizing total reflection at the reflecting surfaces, which is typical of a grazing incidence optical system. FIG. 2 shows a Fresnel zone plate utilizing diffraction. FIG. 3 shows a normal incidence type Schwarzschild optical system in which two spherical mirrors are each coated with a multilayer film. In this optical system, the multilayer film forms an artificial grating to reflect X rays by utilizing diffraction due to the grating. Making use of the property that soft X rays cause little damage to a biological specimen, attention is also aroused as to the application to a biological microscope capable of observing the biological specimen with high resolution and with no staining. In the wavelength band of X rays having a wavelength .lambda.=43.7.about.23.6 .ANG. in particular, the absorptance of X rays in terms of carbon is high and the transmittance of X rays in terms of the molecule of water is also high, so that if it is applied to the biological microscope, the transmitted microscopic image of protein whose principal constituent atom is carbon can be observed with good contrast in water. Hence, research institutions are prosecuting researches and developments on the optical elements of X-ray multilayer film mirrors and filters, radiation sources, detectors, etc. which can be used with high accuracy in the above wavelength band. In the wavelength band of .lambda.=43.7.about.23.6 .ANG. mentioned above, however, there is the problem of making difficult the fabrication of the optical element in which accuracy sufficient to be used as the X-ray microscope is realized and secured. Where the multilayer film reflecting mirror with high reflectance is generally designed, it is required that two kinds of substances with the largest possible difference between their refractive indices are built up alternately to form a multilayer film. With such a wavelength band, however, the refractive indices of most substances are close to unity and it is therefore difficult to choose two kinds of substances with the large difference between the refractive indices. Although a proposal is made for materials whose reflectances are expected to be somewhat improved, such as multilayer films of a structure (Ni/Sc) of laminating alternately Ni (nickel) and Sc (scandium) and another structure (Ni/Ti) of laminating alternately Ni and Ti (titanium), these materials are liable to crystallization in their evaporation, which fact makes it difficult to secure a uniform film. Additionally, in the current state-of-the-art of the film fabrication, the normal incidence mirror for the wavelength band of .lambda.= 44.about.22 .ANG. is such that a basic period of the multilayer film (the total thickness of two substances laminated in a pair) is inevitably reduced to 20 .ANG. or less, so that the fabrication of the multilayer film itself is difficult. Still further, in the wavelength band of .lambda.=43.7.about.23.6 .ANG., other problems are encountered that the high absorptance of X rays in terms of carbon makes it impossible to use organic materials as filters and narrows the range of choice of filter materials. Thus, the optical element such that practical accuracy is secured is hard of design and requires careful discussion for the choice of materials. Even though the optical system utilizing such an optical element has been realized which can image X rays in the wavelength band of .lambda.=43.7.about.23.6 .ANG., there is the problem of making difficult the realization of a practical observing function of the X-ray microscope for observing the biological specimen with favorable contrast. The X-ray absorptance of the biological specimen depends on the density of carbon present in the specimen, the thickness of the biological specimen, and the wavelength of X rays with which the specimen is irradiated. Hence, in the case of microscopy of the biological specimen which, for example, is relatively high in carbon density and large in thickness, most of the X rays with which the specimen is irradiated are absorbed by the specimen and the resultant transmitted microscopic image is dark as a whole, poor in contrast, and hard of view, that is, diminishes the amount of information. Conversely, where the carbon density is low and the thickness is small, the transmittance of X rays is improved and the transmitted microscopic image becomes bright as a whole, but even in this case, the contrast is poor. That is, unless the above conditions of the biological specimen for determining the X-ray absorptance are all proper, the transmitted microscopic image of favorable contrast cannot be brought about. In order to solve the foregoing problems, there is the method of adjusting the thickness of the biological specimen or the wavelength of X rays with which the specimen is irradiated in the wavelength band of .lambda. mentioned above. The former, however, uses a precision machine, such as a microtome, requiring operator skill to cut the specimen, which is disposed in the microscope optical system and irradiated with X rays, and if the resultant transmitted microscopic image lacks in contrast, the specimen will be cut again by the precision machine. Such operation is repeated through the rule of trial and error, thereby determining a proper thickness of the specimen. This has no practical use. The latter involves a wide change of design and the change of layout of the microscope optical system and the optical element in using the optical element of wave dispersion as in the zone plate or the Schwarzschild optical system, so that this method is also of little practical use and at variance with the reality. SUMMARY OF THE INVENTION It is, therefore, an object of the present invention to provide an X-ray microscope which allows a biological specimen to be observed with a transmitted microscopic image of high quality and offers advantages to the choices of design and materials in its fabrication. This object is accomplished, according to the present invention, by the arrangement that, in the X-ray microscope in which a specimen is irradiated with X rays and an image of an object is formed by an X-ray detector, an X-ray filter transmitting the radiation of wavelengths from 43.7 to 65 .ANG. and a light source emitting ultraviolet light of a wavelength of at least 100 nm are disposed in an optical path so that the ultraviolet light is reflected from the X-ray filter to irradiate the specimen. According to another aspect, the present invention provides an X-ray image forming apparatus comprising a laser beam source for emitting plasma and X rays from the plasma, an X-ray condenser lens, an objective lens for converging the X rays, an X-ray detector for detecting the X rays, an X-ray filter transmitting the radiation of wavelengths from 43.7 to 65 .ANG. and reflecting the radiation of a wavelength of at least 100 nm, a half mirror for splitting a beam from the laser beam source, and a frequency converting optical element for emitting ultraviolet light of a wavelength of at least 100 nm, in which the ultraviolet light is reflected from the X-ray filter to irradiate a specimen. Thus, the transmitted microscopic image, excellent in contrast, with high quality can be secured and the microscopy and analysis of particular protein can be made with great ease. This and other objects as well as the features and the advantages of the present invention will become apparent from the following detailed description of the preferred embodiments when taken in conjunction with the accompanying drawings.
abstract
A method for processing a sample in a charged-particle beam microscope. A sample is collected from a substrate and the sample is attached to the tip of a nanomanipulator. The sample is optionally oriented to optimize further processing. The nanomanipulator tip is brought into contact with a stabilizing support to minimize drift or vibration of the sample. The attached sample is then stabilized and available for preparation and analysis.
summary
039393534
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The embodiments of the present invention, will be described by reference to the accompanying drawings. FIG. 1 is a side sectional view of the specimen mounting apparatus for a scanning type electron microscope, and FIG. 2 is a view looking in the direction of the line II--II of FIG. 1. As shown in these drawings, a rotatable cylindrical support member comprising an external shaft 3 fluidtightly inserted, like a round-bar-like plug, into an aperture 2 of a specimen chamber 1 through an O-ring 4. The aperture 2 has a round cross-section and is disposed in a wall 1a of the specimen chamber 1. A rotatable elongated member comprising a round-bar-like internal shaft 6 has one end thereof directly connected to the bottom of a cylindrical specimen stage 5. The shaft 6 is inserted fluidtightly into an aperture in shaft 3 through an O-ring 7. The aperture in shaft 3 is parallel to the axis about which it rotates and is disposed eccentrically thereof. The specimen stage 5 has a flange 5a disposed at the end portion thereof which is connected to the shaft 6. Flange 5a engages with a stepped portion 2a of said aperture 2 in the specimen chamber wall. The stepped portion 2a is in a portion of the specimen chamber which is fixed to a magnetic pole 8 of an electron lens of the electron microscope. When the inside of said specimen chamber 1 is evacuated the flange 5a is forcibly pressed against the stepped portion 2a due to the force on the shaft 6 caused by the pressure differential between the chamber and the atmosphere. A pilot member 9 having a pilot area 9a, approximately as large as the aperture of the specimen stage 5 for holding a specimen, is fixed by a set screw 10 to the external end of the internal shaft 6. Indicating means are provided comprising an indicating member 13 having an indicating part 13a located close to pilot area 9a and in correspondence with a portion 11a, of a specimen 11 mounted on said specimen stage 5, that is irradiated by electron beam 12. The base of the indicating member 13 comprises a shaft 14 fitted in a supporting cylinder 15 which is fixed to the outer surface of the specimen chamber wall 1a. The supporting cylinder 15 also has disposed therein a spring 16 which contacts the base of said indicating member 13 through a die 15a, permitting the indicating member 13 to rotate and move up and down. In FIG. 1 reference numeral 17 denotes a collector mesh, 18 a scintillater, 19 a photo-guide, and 20 a photo-multiplier. The operation of the specimen mounting apparatus will now be described with respect to the structure described above. A desired portion of the specimen 11 is scanned with an electron beam 12, and secondary electrons generated thereby from the surface of the specimen are collected by said collector mesh 17 and fed to the scintillator 18, where they are converted into light which is then converted into electricity in the photomultiplier 20. A enlarged image of the desired portion of said specimen is projected on a Braun tube (not shown) through an amplifier (not shown in the drawings). During the use of the electron microscope when the specimen stage 5 is in a position wherein a portion 11a of specimen 11 is irradiated, an adjustment of the position of the portion 11a may be desired. The adjustment of the portion 11a of specimen 11 which is irradiated by the electron beam 12 is effected by turning the external shaft 3 thereby turning shaft 6 and then turning the internal shaft 6 with respect to shaft 3, which is eccentrically positioned with respect thereto, by holding the upper end of said external shaft 3 and pilot member 9. The relative position of electron beam on the specimen is changed by this operation and is indicated on the pilot area 9a by the indicating part 13a of the indicating member 13. During this adjustment of the portion of the specimen, the flange 5a of the specimen stage 5 is pressed against the stepped portion 2a which is coupled to the magnetic pole 8 of the electron lens to transmit vibratory motion from one to the other. This keeps the irradiated portion of the specimen and the electron beam free from relative movement and thus free from the influence of vibrations from the outside. As a result the image on said Braun tube does not collapse. When a change of the specimen 11 is desired, the inside of the specimen chamber 1 is returned to atmospheric pressure and the indicating member 13 is raised so that the external shaft 3 may be removed together with the specimen stage 5 and the internal shaft 6. When the parts are removed, the specimen 11 in the specimen stage 5 can be changed. The specimen stage 5 can be reinserted into the specimen chamber 1 by simply pushing it into aperture 2 in the specimen chamber wall together with the internal shaft 6 and the external shaft 3, when the inside of the specimen chamber 1 is evacuated, both the internal and external shafts are sucked inward to hermetically seal the aperture 2. The specimen stage 5 is thus maintained at a predetermined position, with the flange 5a thereof forcibly abutting against the stepped portion 2a in the aperture, as described above. The position of the electron beam 12 on the specimen 11 is indicated by the indicating member 13 and adjustment of the position of the specimen by the rotation of the internal and external shafts 6 and 3 of the specimen stage are also performed as described above. As detailed above, the specimen mounting apparatus for an electron microscope according to the present invention has a simple construction and is easy to insert and remove into the electron microscope. The apparatus also makes focusing on a specimen relatively free from vibration. While preferred embodiments of the invention have been shown by way of example in the drawings, it will be understood that the invention is in no way limited to these embodiments.
summary
051184680
abstract
The subject of the invention is a method of making a clad metal, neutron absorbing element, and the neutron absorbing element produced by preparing compactable metal products comprising (weight %) HF at least 25%, Zr and/or Zr alloys=0 to 75%; Ti and/or Ti alloys=0 to 75%; Hf-ZR alloys containing &lt;55% Zr=0 to 75%; Hf-Ti alloys containing &lt;55% Ti=0 to 75%; neutron absorbing metal elements melting at over 400.degree. C.: &lt;0.2% and other metal elements melting at over 400.degree. C.: 0 to the balance, the balance being less than 5%; introducing at least some of these products into a metal container with an open end; compressing the products in the container, or compressing them before putting them into the container; if necessary repeating the introduction and compression of the products until the container is at least 95% full; and closing the open end of the container by welding on a metal lid or plug and providing an internal vacuum. The element is used, e.g., as a component of a control arrangement in a nuclear reactor.
041538447
summary
This invention relates to apparatus for determining the degree of spin polarization of an electron beam by the backscattering of electrons by a crystal having a surface perpendicular to the beam direction which surface is formed in a plane of the crystal structure chosen for the scattering of electrons. In such equipment, the backscattering is measured by devices provided to respond to the intensity of electrons backscattered by the monocrystal at respectively complementary angles to the electron beam direction. BACKGROUND AND PRIOR ART An electron may have not only energy and momentum, but also spin. The direction of spin relative to a specified direction represents an important piece of information that can be determined by means of the interaction of the electron with other particles, for example with atoms in a surface layer of a solid. For carrying out such an investigation, apparatus for measuring the degree of spin polarization of electron beams is necessary. Such equipment is already known that operates on the basis of the dependence upon the electron spin of the scattering of electrons by free atoms, the so-called Mott scattering. In such equipment, determination of the degree of polarization of the incident electron beams is made from the comparison of intensity measurements at scattering angles that are equal in amount but of opposite sign. There is a disadvantage in this case, however, that appreciable intensity differences resulting from the electron spin direction occur only at low overall intensity. The sensitivity of the known equipment is therefore very limited. This is all the more significant a disadvantage, because strong polarization effects are limited to only small scattering angle ranges. That has the consequence that only a very small part (.ltorsim.10.sup.-4) of the aggregate quantity of scattered electrons can be used for measurement. The known measuring equipments for this purpose are based on the application of two different methods. In the first method, an atom beam, preferably of Hg, is used, against which the electrons are scattered with a few keV of energy. Since it is difficult, however, to produce atom rays in high density, difficulties occur in this kind of method regarding the intensity of the radiation. In the second method, thin foils are used for scattering the electrons. In this case, however, with the advantage of high atom density, there is the unavoidable disadvantage of multiple scattering of the electrons and their absorption in the foil. In order to cope with these difficulties, the measurement has therefore been carried out with electrons accelerated to high energy (100 to 150 keV). In that case, there is still the disadvantage that the effective cross-section for the scattering is very small and therefore only a small scattering intensity and measurement sensitivity is obtained. The application of high electric voltages, in addition, makes the known equipment very large and cumbersome on account of the necessary safety precautions. It has therefore already been recommended that the degree of polarization of an electron beam should be measured by means of the spin-dependent intensity of an electron beam specularly reflected by the surface lattice of a monocrystal. The differential effective cross-section for the refraction of slow electrons dependent upon the orientation of the electron beam is in this case higher by several orders of magnitude than in the case of the Mott scattering. The sensitivity is also correspondingly higher. Since in the case of the measurement of the degree of polarization the scattering must always be carried out at two complementary and as nearly as equal as possible angles in the incidence plane of the beam, it has been favored to measure the two scatter beams by tipping the crystal alternately by equal angles, first to one and then to the other side, and measuring the scatter intensity after each change (see in this regard R. Feder, Surf. Sci. 51, 297, 1975). Much time and expense, and therefore disadvantage, is involved, however, because the mechanical movement of the crystal must be carried out with high precision and reproducibility, very often, and with sufficient rapidity and, moreover, in ultrahigh vacuum (10.sup.-11 mbar). The time consumption required for carrying out such a double measurement is more than twice as high as required for a single measurement. THE PRESENT INVENTION It is an object of the present invention to provide equipment for measuring the degree of spin polarization of an electron beam with higher sensitivity than the equipment heretofore known and that permits, moreover, measurement with an electron beam independent of the primary energy of the electrons and measurement that can be carried out quickly with high accuracy and with equipment that lends itself to compact construction. Briefly, the electron beam is first passed through means for accelerating or decelerating the electrons constituted of electrostatic or magnetic lenses of respectively tubular or diaphragm form. Such devices are known as lenses because they have a focussing effect on the electrons, and a d.c. voltage is supplied so that they at the same time will accelerate or slow down the electrons of the beam, as the case may be. In this case the device adjusts the electron velocity to suit the function of a monochromator through which the beam next passes for the function of reducing the energy scatter of the electrons to an extent suitable for the measurement, after which the electron beam is then again accelerated or decelerated by electrostatic or electromagnetic lenses and, at the same time, focussed on the surface of the monocrystal oriented as already above mentioned. The second velocity adjustment is made to optimize backscatter measurement by the particular monocrystal reflection. The intensity of electrons backscattered by the monocrystal is measured by means comprising at least two detectors provided for measuring the intensity of electrons backscattered from the surface of the monocrystal at complementary angles, and between the measuring or detecting means and the surface of the monocrystal means are provided for separating or deviating the low-energy portion of the electrons that is backscattered by inelastic interaction with the monocrystal. In one embodiment, this is done by the formation of an opposing counter electric field and in another embodiment, this is done by providing an electrostatic or magnetic field for deviating the slow electrons in front of each of the detectors. The measuring arrangement preferably uses adjustable detectors arranged in pairs in planes that are as far as possible perpendicular to each other and intersecting in the direction of the incident electron beam. It is convenient to provide the measuring means in the form of collector plates distributed in the hemispherical space above the monocrystal facing the incident beam, and preferably electron multiplier channel plates are provided in front of the respective collector plates. The first velocity-adjusting electron lens system is so designed that when the electron beam has passed through the monochromator and the second electron lens system and is incident on the monocrystal, it will have exactly the energy scatter .DELTA.E, which is tolerable for the scattering process at the monocrystal surface. The permissible energy scatter .DELTA.E lies in the range between 0.7 and 5 eV. The acceleration of deceleration of the electrons in the first electron lens system makes it possible, in combination with the monochromator and the second velocity-adjusting electron lens system, the setting of the desired energy level designed for the scattering of the electrons at the monocrystal surface such that in addition to the specularly reflected scatter beam, corresponding to the Bragg scatter condition, there arise also scatter beams of higher orders having an angle to the normal that is sufficiently large to make possible detection of the scatter beam by the detectors and exhibiting strong polarization effects at an intensity that is as high as possible. The desired energy level in practice is in the range between 10 and 300 eV, according to the selection of the monocrystal, preferably however about 100 eV. The monochromator operating as an energy filter separates out the electrons that differ by more than the predetermined energy amount from the mean energy. The influence of the deflecting field on the orientation of the polarization vector of the electron beam is negligible. In the scattering of electrons by the monocrystal, the influence of the transversal components of the electron spin on the scattering of the electrons is measured. For the case in which the electrons in the primary beam already are transversally aligned to the beam direction, no further treatment is necessary. For the case in which the electrons in the primary beam are aligned longitudinally, the monochromator is so designed that the electron beam is deflected through an angle of 90.degree.. The electron spin previously aligned longitudinally in the primary beam is then aligned transversally in the resulting beam. In the second lens system for accelerating or decelerating the electrons, the electrons are brought to the energy level required or designed for the scattering by the crystal lattice. The electrons impinge with this energy on the monocrystal, which so far as possible, consists of a material having heavy atoms, such as tungsten, gold or platinum. An advantageous further development of the apparatus of the invention consists in constituting the arrangement for measuring the backscatter intensity of four adjustable detectors of backscattered electron beams, disposed in pairs in two planes that are so far as possible perpendicular to each other and that intersect each other in a line having the direction of the incident electron beam. The result is thereby obtained that the transversal polarization vector of the incident beam is then fully determined, even if it is not exactly perpendicular to the plane that is determined by the normals to the crystal surface and the line connecting two detectors of a pair that intersects them. The detectors can, for example, consist of so-called channeltrons. A tungsten monocrystal that has scattering planes that are perpendicular to each other is, for example, usable as the monocrystal for measurements carried out in this manner. A further feature of the apparatus of the invention is the arrangement of the backscattering intensity measuring devices as collector plates in the hemispherical space above the monocrystal facing the incident electron beam. The size of the plates is so determined that a refraction beam or a portion thereof is detected by each collector. This advantageous arrangement of the detectors dispenses with the adjustment of detectors for the measurement of predicted backscattered electron beams, since all of the backscattered electrons in the entire hemispherical space will be detected by the collector plates, and it is merely necessary to switch in for the measurement the collector plates corresponding to the backscattered beams as they are found or calculated. This arrangement also makes it unnecessary to readjust the position of the detectors when another monocrystal is selected. There is further the advantage that in carrying out the measurement, the symmetry of the apparatus can be checked by measuring beams that have no polarization effect. A still further feature of the apparatus of the invention is the provision of electron multiplier channel plates ahead of the collector plates. The measurement is then performable with high accuracy, even for primary electron beams of relatively low intensity. The provision of means for deviating or trapping a low-energy portion of the electrons produced by scattering at the monocrystal, in which there are provided above the crystal, one or more plane or curved grids next to each other, or one behind the other, with voltage applied either between the crystal and the grid or grids, or only between the grids, has the effect that only electrons with energy greater than a selected threshold energy pass through the grid. For the case in which individual detectors are used, the slow electron trapping system conveniently utilizes devices for producing an electrostatic or magnetic field disposed in front of the individual detectors. By a suitable choice of the field strength and the disposition of the deflection devices, the result is obtained that only electrons having an energy above a particular threshold energy reach the detector.
description
Not applicable. 1. Field of the Invention The present invention relates generally to x-ray systems, devices, and related components. More particularly, exemplary embodiments of the invention concern devices and methods that enhance x-ray flux uniformity and thus contribute to; an improved signal-to-noise ratio and increased dynamic range in the x-ray imaging device. 2. Related Technology The ability to consistently develop high quality radiographic images is an important element in the usefulness and effectiveness of x-ray imaging devices as diagnostic tools. However, various problems and shortcomings relating to the design, construction and/or operation of the x-ray device often act to materially compromise the quality of radiographic images generated by the device. One problem commonly encountered in x-ray devices is the occurrence of undesirable variation in the intensity, or flux, of x-rays produced by the target. Such variations in x-ray intensity often cause visible differences in the image density of the radiographs, thereby impairing the quality and usefulness of the image. As discussed below, this lack of flux uniformity is due at least in part to anode geometry and other related considerations. In typical x-ray tubes, x-rays are produced when an electron beam generated by the cathode is directed to a target surface or a target track, composed of a refractory metal such as tungsten, of an associated anode. In many instances, the electron beam penetrates the target surface. Such penetration of the target surface usually occurs when the target surface is worn and/or has other irregularities, but can occur under other circumstances as well. In general, when x-rays are generated below the target surface, such x-rays typically take a variety of different paths through the target material to the x-ray subject. Because some of such paths are relatively longer than others, the anode material imparts a filtering effect to, or attenuates, the generated x-rays and so that the photon fluence and the spectral distribution are thereby affected. This phenomenon is sometimes referred to as the “heel effect.” One particular consequence of the heel effect with respect to the x-ray beam is that the mean energy of the x-ray spectrum is relatively higher in some areas of the x-ray beam than in others. While this effect is cause for concern in a variety of different type of x-ray tube configurations, the heel effect is particularly acute in rotating anode type tubes since the targets employed in such tubes have relatively small angles, some as low as about 7 degrees. Cone beam computed tomography (“CBCT”) devices and processes are particularly susceptible. As suggested above, the anode geometry, and the geometry of the target track in particular, plays a role in producing the heel effect whereby x-rays that are required to travel relatively further through the target track will experience a relatively greater degree of attenuation than x-rays traveling a relatively shorter distance through the target track. More particularly, the distance traveled by the x-ray through the target track is largely a function of the takeoff angle of the x-ray, or the angle of the travel path of the emitted x-ray with respect to a reference axis, such as an axis parallel to the target surface. Thus, a relatively smaller takeoff angle corresponds to a relatively shorter distance for the x-ray to travel through the target track, while a relatively larger takeoff angle corresponds to a relatively longer distance traveled through the target track material. This relationship, and the relative magnitude of the resulting effects, can be considered in terms of the relation of the takeoff angle of the x-ray to the track angle of the anode. In particular, as the takeoff angle approaches the track angle, the travel path of the x-ray moves closer to a parallel orientation with respect to the target surface. Consequently, the degree of attenuation experienced by any particular x-ray increases as the takeoff angle of the x-ray approaches the track angle. This is readily illustrated by consideration of the end conditions where an x-ray travels either parallel or perpendicular to the target surface. In particular, an x-ray traveling parallel to the target surface travels a greater distance through the target material than an x-ray traveling perpendicular to the target surface. Such variations in attenuation imposed on the x-rays by the target track material results in a lack of flux uniformity in the x-ray beam. It is often the case that the flux, or intensity is relatively, higher at the center of the x-ray beam and relatively lower along the edges or peripheral portions of the x-ray beam. While irregularities in flux uniformity are often attributable to considerations such as the anode geometry and the condition of the anode, flux variations may be a function of other variables as well. For example, the distance between the x-ray beam source and the imaging plane may also play a role in the relative uniformity of the flux associated with an x-ray device. It was noted earlier that a lack of uniform flux in the x-ray beam implicates a variety of different problems. For example, nonuniform flux contributes to unacceptably high signal-to-noise ratios (“SNR”). In particular, the signal, or usable portion, of the x-ray beam is smaller relative to the noise, or unusable portion, of the x-ray beam, than might otherwise be the case. Thus, the portion of the x-ray beam that can be effectively employed in radiographic imaging processes is reduced. Another concern relates to the impact that nonuniform flux has with respect to a dynamic range of an imager. In particular, to the extent that the flux varies over the imager, the dose available to the edges of the detectors is reduced relative to the dose available elsewhere and, thus, the dynamic range of the imager is correspondingly impaired. In recognition of these, and other problems, attempts have been made to overcome the problems flowing from the influence of the heel effect. One such attempt involves the calibration of a flat panel imager. Generally, this attempt is a software implemented approach that involves exposing the flat panel imager to an x-ray flux and compensating gains for each pixel based upon a combination of the dose to, and response of, each pixel. If a dose to a particular pixel is reduced, the gain for that pixel is increased. By performing this process repeatedly, the gain of the unattenuated x-ray beam can be flattened somewhat. This calibration process thus represents somewhat of an after-the-fact approach to nonuniform flux. In particular, this approach concentrates on modifying a response of the imager to the unattenuated x-ray beam, rather than performing any attenuation process on the x-ray beam itself. The flat panel imager calibration process is largely directed to calibration of imager gain, but does little or nothing to reduce the overall dynamic gain of the x-ray system. Further, the calibration process can be time consuming. In view of the foregoing, and other, problems in the art, it would be useful to provide methods and devices that, among other things, implement selective attenuation of an x-ray beam so as to aid in overcoming the heel effect, and other phenomena, and thus contribute to a relative improvement in flux uniformity of the x-ray beam. In general, embodiments of the invention are concerned with devices and methods for implementing selective attenuation of an x-ray beam so as to aid in overcoming the heel effect, and other phenomena, and thus contribute to a relative improvement in flux uniformity of the x-ray beam. In one exemplary implementation, a filter is provided that comprises various different attenuation portions, each of which has different respective attenuation characteristics. In this example, the filter is substantially in the form of a wedge so that some portions of the filter are thicker, and thus provide greater attenuation, than other, thinner portions of the filter. In operation, the filter is situated between the target surface of the anode and the x-ray subject so that x-rays generated by the target surface pass through the filter before reaching the x-ray subject. More particularly, the filter is oriented so that the thicker portion of the filter receives the higher intensity portion of the x-ray beam, while the thinner portion of the filter receives the relatively lower intensity portion of the x-ray beam. In this way, the gain profile of the x-ray beam is flattened so that the intensity, or flux, of the x-ray beam is relatively uniform throughout a substantial portion of the beam profile. Such flux uniformity, in turn, improves the SNR of the imager, and contributes to an increase in the dynamic range of the imager, among other things. Reference will now be made to the drawings to describe various aspects of exemplary embodiments of the invention. It should be understood that the drawings are diagrammatic and schematic representations of such exemplary embodiments and, accordingly, are not limiting of the scope of the present invention, nor are the drawings necessarily drawn to scale. Generally, embodiments of the invention concern devices and methods for implementing selective attenuation of an x-ray beam so as to aid in overcoming the heel effect, and other phenomena, and thus contribute to a relative improvement in flux uniformity of the x-ray beam. In one implementation, an asymmetric flattening filter is provided that comprises various different attenuation portions, each of has different respective attenuation characteristics. As used herein, “asymmetric” refers both to the fact that the filter attenuates some portions of the x-ray beam to a relatively greater extent than other portions of the x-ray beam, as well as to the fact that the filter, correspondingly, may be implemented with an asymmetric geometry. Thus, the asymmetric flattening filter is exemplarily implemented substantially in the form of a wedge so that some portions of the asymmetric flattening filter are thicker, and thus provide greater attenuation, than other, thinner portions of the asymmetric flattening filter. As disclosed herein, the asymmetric flattening filter is positioned so as to place specific portions of the geometry of the asymmetric flattening filter in desired orientations relative to corresponding portions of the intensity profile of the x-ray beam. In one particular implementation, the relatively thicker portion of the asymmetric flattening filter is positioned to receive a relatively higher intensity portion of the x-ray beam, while the relatively thinner portion of the asymmetric flattening filter is positioned to receive a relatively lower intensity portion of the x-ray beam. By selectively attenuating the x-ray beam in this way, a relatively flat gain can be achieved across a substantial portion of the beam profile. I. Target Geometry and the Heel Effect As disclosed elsewhere herein, the “heel effect” comes about when x-rays are generated below a target surface take a variety of different paths through the target material to the x-ray subject. In particular, because some of such paths are relatively longer than others, the anode material acts to attenuate the x-ray beam so that the photon fluence and the spectral distribution of the x-ray beam are thereby affected. With particular attention now to FIG. 1, details are provided concerning the geometry of an anode 100 as such geometry relates to the heel effect and other phenomena. In the arrangement illustrated in FIG. 1, the anode 100 that is illustrated is a rotating type anode. However, the scope of the invention is not so limited and, more generally, the filter method and devices disclosed herein may be used in connection with any of a variety of types of different types of x-ray devices. With particular reference to the exemplary anode 100, a target surface 102, also referred to herein as a target or target track, is provided that is configured and arranged to receive an electron beam 104 (the electron beam is typically vertical) from a cathode (not shown). The thickness, and other aspects of the geometry of the target 102, may be selected as necessary to suit the requirements of particular application. Exemplarily, the target 102 comprises a refractory metal such as tungsten. However, any other materials effective in the generation of x-rays may alternatively be employed. Examples of alternative target materials include, but are not limited to, tungsten-rhenium compounds, molybdenum, copper, or any other x-ray producing material. In case of the illustrated embodiment of the anode 100, the target surface 102 defines a track angle β relative to a reference plane AA. The track angle β is selected and implemented according to the requirements of a particular application and/or operating environment, and the scope of the invention should not be construed to be limited to any particular anode 100 geometry or any particular track angle(s) β. In operation, the electron beam 104 impacts the target 102 at a substantially perpendicular orientation relative to reference plane AA. In other cases, the orientation of the electron beam 104 may be different. As a result of the interaction of the electrons in the electron beam 104 with the shell structure of the metal that comprises the target 102, x-rays, denoted schematically at X1 and X2, are emitted through the target 102. As indicated in FIG. 1, the x-rays X1 and X2 typically exit the target surface 102 in a variety of orientations. One convenient way to describe this phenomenon is with reference to the takeoff angle of a particular x-ray. In general, the takeoff angle refers to an angle collectively defined by the travel path of the x-ray relative to a predetermined axis or plane, such as plane BB for example. In the illustrated embodiment, the plane BB is substantially parallel to the surface of the target 102. As can be seen in FIG. 1, the x-ray denoted X1 has a takeoff angle φ1, while the x-ray denoted at X2 has a takeoff angle denoted φ2. As further evident from FIG. 1, the distance traveled by x-ray X1 through the target 102 is relatively shorter than the distance traveled by x-ray denoted X2 through the target 102. Thus, a relatively larger takeoff angle, such as φ1, corresponds to a relatively shorter travel path of the corresponding x-ray through the target 102. Further, an x-ray with a relatively longer travel path through the target 102 experiences a relatively higher degree of attenuation as a result of having past through greater portion of the target 102 than would be experienced by an x-ray with a relatively smaller takeoff angle and, thus, a relatively longer travel path 102. This phenomenon is sometimes referred to as the heel effect. Because the given x-ray loses intensity, or becomes attenuated, in proportion to the distance that the x-ray travels through the target 102, the resulting x-ray beam, collectively comprising X1 and X2 in the illustrated example, has a beam profile with areas of varying intensity. This intensity is also some times referred to as the flux of the x-ray beam. As disclosed elsewhere herein, it is useful to be able to produce a x-ray beam of a substantially uniform flux, so that a substantially flat gain can be achieved. Directing attention now to FIG. 2, details are provided concerning some exemplary gain profiles, with particular emphasis on the change in gain profile that may be achieved through the use of methods and devices such as those disclosed herein. By way of example, the MAX1-MIN1 curve represents a situation where the intensity of the x-ray beam varies by an amount Δ1 from the center to the periphery of the x-ray beam when no attenuation method or device is employed. By way of comparison, the curve collectively defined by MAX2-MIN2 shows a significantly smaller variation Δ2 between the intensity at the center of the beam relative to the intensity on the periphery of the x-ray beam. Thus, the MAX2-MIN2 curve is relatively flatter, or experiences less overall variation, than the MAX1-MIN1 curve, with the MAX2-MIN2 schematically representing an exemplary gain profile such as may be achieved through the employment of methods and devices of the invention. In particular, it can be seen that the maximum variation in intensity, denoted at Δ2, is substantially less than the maximum variation in intensity Δ1, so that a relatively flatter gain profile and flux uniformity are represented by MAX2-MIN2. Such asymmetric flattening can also be thought of in terms of a relative increase in attenuation to the high fluence regions of the x-ray beam, and a relative reduction to lower fluence regions of the x-ray beam. Through the use of the asymmetric flatting filters and associated methods disclosed herein, achievement of relatively flat gain profiles, exemplified by the MAX2-MIN2 curve of FIG. 2, can be readily obtained. Among other things, the attainment of improved flux uniformity in this way increases the dynamic range of flat panel imagers by increasing the available dose to the edges of the corresponding detectors. As well, the improvement in flux uniformity also increases the signal to noise ratio (“SNR”) associated with the imager. II. Exemplary Operating Environments As suggested elsewhere herein, asymmetric attenuation of an x-ray beam with the devices and methods of the invention can be achieved in a variety of different operating environments. With attention now to FIG. 3, details are provided concerning selected aspects of one exemplary operating environment from embodiments of the invention. In particular, an x-ray device 200 is illustrated that includes a tube 202 with an x-ray beam source 202a configured and arranged to generate an x-ray beam that is passed to a filter 300 positioned on a support structure 400. In general, the x-ray beam generated by the tube 202 passes through the filter 300 which attenuates the x-ray beam so as to achieve predetermined affect, and then passes the x-ray beam to an x-ray subject (not shown). Methods and devices such as the filter 300 disclosed herein may be employed in a variety of different operating environments. In some cases, embodiments of the filter 300 are suitable for employment in connection with flat panel imager devices. However, the scope of the invention is not so limited. Instead, embodiments of the invention may be employed in any other operating environment where the functionality and characteristics disclosed herein may usefully be employed. III. Aspects of Exemplary Attenuating Filters Directing attention now to FIGS. 4A through 7B, details are provided concerning aspects of a variety of exemplary embodiments of an asymmetric flattening filter. It should be noted that the various exemplary filters disclosed herein constitute exemplary structural implementations of a means for selectively attenuating an x-ray beam. However, the scope of the invention should not be construed to be limited to such exemplary filters. Rather, any other structure(s) capable of implementing comparable functionality is/are considered to be within the scope of the invention. With particular attention first to FIGS. 4A and 4B, a filter 500 is disclosed that is substantially polygonal, exemplarily rectangular, and defines or otherwise includes a mounting structure 501 having a plurality of fastener holes 502 to aid in attachment of the filter 500 to a suitable support structure. While the overall shape of the exemplary filter 500 is substantially rectangular, the particular dimensions of the filters 500 depend on a variety of variables including, but not limited to, the distance between the filter and the focal spot of the associated x-ray device. In one exemplary implementation, the filter 500 is rectangular in form and has dimensions of about 10 centimeters×about 20 centimeters, which generally correspond to a distance between the filter and the focal spot of about 40 centimeters. More generally however, the geometry of the filter 500, and other exemplary filters disclosed herein, is not limited to any particular configuration, and aspects of the geometry of the filter may be varied as necessary to suit the requirements of a particular application. As indicated in the half section view of FIG. 4B, the exemplary filter 500 includes an attenuation portion 504A, embodied as a relatively thicker middle section, that tapers to an attenuation portion 504B that, in the illustrated embodiment, takes the form of a pair of relatively thinner subsidiary attenuation portions disposed on either side of the attenuation portion 504A. Thus, the exemplary filter 500 comprises a variety of different attenuation portions, each of which has particular attenuation characteristics which can be used to produce a desired affect with respect to a specified portion of an x-ray beam when the filter 500 is positioned within an x-ray device. In the particular arrangement illustrated in FIGS. 4A and 4B, the configuration and arrangement of the attenuation portions 504A and 504B results in a filter 500 having a substantially wedge shaped half cross-section, as best illustrated in FIG. 4B. However, the scope of the invention is not so limited and various other configurations may alternatively be employed. Moreover, wedge type configurations examples of which are illustrated in FIGS. 4a and 4b, can varied as desired. For example, FIG. 4B indicates a wedge configuration that is substantially linear from the thick portion 504A to the thin portion 504B. However, it may be useful in some situations to provide a filter configuration with a nonlinear slope, or alternatively, a filter having a slope configuration that includes both linear, and nonlinear portions. More generally, however, and as suggested above, the filter 500 can be constructed in any form or manner necessary to aid in the achievement of a desired attenuation effect, or effects, with respect to an x-ray beam. With continuing attention to FIG. 4B, the illustrated filter 500 further includes a supplemental attenuation portion 504C disposed proximate the attenuation portion 504A of the filter 500. In one exemplary implementation, the supplemental attenuation portion 504C describes an arc of about 2.13 degrees. However, this particular configuration is exemplary only and is not intended to limit the scope of the invention in any way. It should be noted with respect to the construction of the filter 500, some embodiments of the filter 500 provide for an integral, or one piece, construction. In yet other cases however, the filter 500 comprises a plurality of different portions attached together by any suitable process, examples of which include welding and brazing. The same is likewise true with respect to the various other exemplary filters disclosed herein. Further, such filters may be formed by any suitable process, examples of which include machining, milling, casting or combinations thereof. As noted above, the geometry of a particular filter may be selected and informed by a variety of different considerations. In some cases, such considerations relate to the nature of the intended application of the filter and associated x-ray device. For example, both the FDA and EEC have promulgated regulations that require filtration of x-ray beams in order to harden the beams to the extent necessary to protect the skin and other organs of a human patient. In some cases, an aluminum filter with a minimum thickness of 2 millimeters satisfies such requirements. Of course, because some of the x-rays generated by an x-ray device employing such a filter have already been partially attenuated by the target material, as a result of the heel effect, it may only be necessary to make a portion of the filter 2 millimeters thick, and other portions of the filter may be less than 2 millimeters thick. As another example, the maximum thickness of a filter should be compatible with dose requirements associated with, for example, computed tomography (“CT”) imaging applications. For example, if a filter is too thick, such that excessive attenuation is imparted to the x-rays, the resulting images will be excessively noisy. However, as the thickness of the filter is increased relative to a minimum thickness, the gain flattening effect will be increased, to at least some extent, for a given KVP energy. The materials used in the construction of embodiments of the filter, like the filter geometry, may vary widely as well. In general, the material(s) used to construct the filter can be selected with reference to considerations such as the particular application or operating environment in connection with which the filter is to be employed. In filter design a choice of physical geometry including thickness and material (or materials if some geometrical distribution is used) is required. For example, the design may use thickness to achieve a flat intensity and the material or materials may be chosen such that the combination of thickness and material choice achieves both a flat (i.e. more uniform) intensity and the desired beam spectrum shape (hardness) for every path through the filter. Generally, any material or combination of materials which serve to attenuate x-rays can be employed. Examples of such materials include, but are not limited to, aluminum and aluminum alloys, copper, iron, steel, plastics, glass, water and other compounds, mixtures, liquids, tungsten, and doped materials, such as tungsten-filled plastic for example. Also, a flat plastic configuration with a gradiation of metal—i.e. different densities disposed along the length of plastic could be used. In light of the foregoing, it will be appreciated that the terms “attenuation” and “flattening” are used in a manner so as to include the concept of filtering with respect to signal intensity, or spectrum, or both, so as to achieve an x-ray beam that is relatively uniform throughout a substantial portion of the beam profile. Directing attention now to FIGS. 5A and 5B, details are provided concerning an alternative embodiment of a filter, denoted generally at 600. In terms of its shape, the filter 600 is somewhat similar to the filter 500 illustrated in FIGS. 4A and 4B. However, the filter 600 differs in at least one significant regard, namely, the configuration of the attenuation portions of the filter 600. In particular, and as best illustrated in FIG. 5B, the filter 600 is substantially polygonal, exemplarily rectangular, and defines or otherwise includes a mounting structure 601 having a plurality of fastener holes 602 to aid in attachment of the filter 600 to a suitable support structure. In the illustrated embodiment, the cross-section of the filter 600 slopes gradually from one edge of the filter to the other, specifically from the relatively thicker attenuation portion 604A to the relatively thinner attenuation portion 604B, so that the filter 600, considered as a whole, is relatively thicker on one side than on the other. As in the case of the exemplary filter 500, the change in slope or thickness from relatively thicker attenuation portion 604A to the relatively thinner attenuation portion 604B may be accomplished in either a nonlinear or a linear fashion, or using a combination of both. Moreover, as is the case with various other exemplary filters disclosed herein, the particular slope value, or rate of change of thickness of the filter from the relatively thicker attenuation portion 604A to the relatively thinner attenuation portion 604B may be varied as required to suit the requirements of a particular application. Similar to the case of the filter 500, the filter 600 also includes, some embodiments, a supplemental attenuation portion 604C. In some alternative embodiments, the supplemental attenuation portion is omitted. With attention now to FIGS. 6A through 6Cc, details are provided concerning yet another exemplary implementation of a filter, denoted generally at 700, such as may be employed in the attenuation of an x-ray beam. In the illustrated embodiment, the filter 700 includes a base 702 which is substantially circular in the illustrated case, but which may be implemented in any other suitable form as well. The base 702 defines through holes 702A which facilitate attachment of the filter 700 to another structure. Attached to the base 702 is a wedge structure 704 which, like the base 702, is substantially circular in some implementations. In some cases, the wedge structure 704 and base 702 are discrete structural elements but, in other embodiments, the wedge structure 704 and base 702 are integral with each other. A wedge angle α is defined by the wedge structure 704 and may have any suitable value. In one exemplary case, a wedge angle α of about 16.2 degrees has produced useful results, but the scope of the invention is not so limited. As indicated in FIG. 6B, the exemplary wedge structure 704 defines a substantially flat upper portion 704A that is contiguous with a slope 704B. The dimensions, arrangement, and relative positioning of the upper portion 704A and the slope 704B may be varied as desired. As in the case of the other exemplary filters disclosed herein, the slope 704B may be linear, so that the slope 704B takes the form of a substantially planar surface, or the slope 704B may be nonlinear, so that the slope 704B takes the form of a substantially nonplanar surface. With continued reference to FIGS. 6A through 6C, the slope 704B defined by the wedge structure 704 has upper and lower edges 706A and 706B, respectively, as well as first and second side edges 708A and 708B, respectively. In the illustrated embodiment, the upper edge 706A and first and second side edges 708A and 708A are curved, while the lower edge 706B is substantially straight. This is only an exemplary configuration however, and aspects of the geometry of the slope 704B may be varied as desired. Additionally, the wedge structure 704 is relatively thicker at the upper edge 706A of the slope than at the lower edge 706B of the slope 704B. As best illustrated in FIG. 6C, the exemplary wedge structure 704 is further configured so that the thickness of the wedge varies between the first and second side edges 708A and 708B. In the illustrated embodiment, this variation in thickness occurs gradually, from a minimum at the first and second side edges 708A and 708B to a maximum located at about the center of the slope 704B, and is represented by the profile 710 in FIG. 6C. The curve 710 may be a portion of a circle, or of a parabola. The aforementioned variation in thickness may take other forms as well and is implemented so as to accommodate, for example, a curvature of the x-ray beam profile. As another example, the slope 704B may additionally, or alternatively, describe a curve bounded by upper and lower edges 706A and 706B, respectively. It should be noted that a slope 704B that incorporates a change in thickness as exemplified by the profile 710 may be referred to herein as having a “two dimensional” form, and filters employing such a geometry may be referred to herein as a “two dimensional filter.” The use of this notation refers to the notion that the slope 704B has a nonplanar configuration, which may be at least partially convex, as indicated in FIG. 6C by the profile 710, or at least partially concave (not shown). As noted earlier, such convexity and/or concavity may be oriented in a variety of ways, such as between first and second side edges 708A and 708B, and/or between upper and lower edges 706A and 706B, or in any other suitable fashion. Thus, the scope of the invention should not be construed to be limited to the exemplary disclosed embodiments. In one alternative embodiment illustrated in FIGS. 6D and 6E, the wedge structure 704 is omitted and the filter 750 includes a cylindrical section 752 that is mounted atop a base 754 and comprised of a plurality of different pieces 752A, or slices, of material, each having different attenuation characteristics. The slices are attached to each other, such as by welding, brazing or any other suitable process, to form the cylindrical section 752, so that one end of each slice comprises or defines a portion of a top surface 752B of the cylindrical section 752. In this way, the attenuation effect achieved with the cylindrical section 752 varies across the top surface 752B of the cylindrical section 752, so as enable implementation of selective attenuation of an x-ray beam incident upon the top surface 752B. As in the case of the exemplary wedge configuration illustrated in FIGS. 6A through 6C, the top surface 752B may be constructed to include or define a convex or concave portion. While the different pieces of material in this alternative embodiment may be implemented as slices, the scope of the invention is not so limited. For example, the different pieces of materials may be implemented as concentric sleeves. More generally however, such different pieces of materials can be configured and assembled in any other way that would provide a desired attenuation effect. Directing attention now to FIGS. 7A through 7C, details are provided concerning aspects of another exemplary filter, denoted generally at 800. Generally, the filter 800 comprises a body 802 which exemplarily takes the form of first and second portions that are joined together so as to define a cavity 804. The body 802 may comprise any suitable material, examples of which include, but are not limited to, aluminum and aluminum alloys, plastics, glass, tungsten, and doped materials such as tungsten-filled plastic. In at least one implementation, the cavity 804 is substantially in the form of the exemplary wedge structure 804A illustrated in FIGS. 7A and 7B. However, the cavity 804 may be implemented in various other configurations as well. In the illustrated embodiment, the cavity 804 is at least partially filled with an attenuation material 806 which may comprise a liquid, such as water, a liquid metal, or any other materials that are effective in attenuating an x-ray beam or a portion thereof. In at least some cases, the body 802 implements an attenuation functionality as well, so that the total attenuation imparted to an x-ray beam by the filter 800 includes an attenuation component implemented by the body 802 and an attenuation component implemented by the attenuation material 806. IV. Processes for Asymmetric Flattening of an X-Ray Beam With attention finally to FIG. 8, details are provided an exemplary process 900 for asymmetrically flattening an x-ray beam gain profile. At stage 902 of the process 900, the x-ray beam is received for attenuation. As disclosed herein, the x-ray beam may have already been partially attenuated by a target surface of an anode, such as in connection with the heel effect. The process 900 then moves to stage 904 where the received x-ray beam is selectively attenuated. In at least one exemplary implementation, this selective attenuation involves attenuating a central portion of the received x-ray beam to a relatively greater extent than a peripheral portion of the received x-ray beam, so as to at least partially overcome a heel effect associated with the received x-ray beam. More generally however, the attenuation process involves relatively greater attenuation of relatively high intensity portions of the x-ray beam, and relatively less attenuation of relatively lower intensity portions of the x-ray beam. The selective attenuation of the x-ray beam at stage 904 is implemented so as to achieve a desired effect with respect to the flux associated with the x-ray beam. For example, the x-ray beam is attenuated to the extent necessary to achievement of a relative improvement in the uniformity of the x-ray beam and, thus, a relatively flatter gain associated with the x-ray beam profile. At such time as the x-ray beam has been attenuated to the extent necessary to achieve the foregoing and/or other ends, the process 900 advances to stage 906 where the now-attenuated x-ray beam is transmitted, such as to a patient or other x-ray subject. Due at least in part to the improvement in the flux uniformity of the x-ray beam, the quality of the image ultimately produced with the attenuated beam will be enhanced. The improvement in flux uniformity as a result of the selective attenuation of the x-ray beam contributes as well to relative improvements in the dynamic range of the associated x-ray device, as well as to increases in the SNR uniformity of the x-ray device. More particularly, the SNR uniformity is enhanced because after gain calibration, which digitally flattens the x-ray flux, the regions with low flux experience higher gain, resulting in decreased SNR. The described embodiments are to be considered in all respects only as exemplary and not restrictive. The scope of the invention is, therefore, indicated by the appended claims rather than by the foregoing description. All changes which come within the meaning and range of equivalency of the claims are to be embraced within their scope.
048328987
claims
1. A system for variably delaying a reactor trip resulting from a low water condition in a steam generator, comprising: means for determining whether a low water level condition exists in the steam generator; means for determining the power output level of the reactor; means for determining the length of a variable time delay in response to said steam generator low water level condition and said power output level; and means for tripping the reactor in response to said variable delay. determining whether a low water level condition exists in the steam generator; determining the power output level of the reactor; determining the length of a variable time delay in response to said steam generator low water level condition and said power output level; and tripping the reactor in response to said variable delay. 2. The system of claim 1 further comprising means for actuating the auxiliary feedwater system in response to said variable delay. 3. The system of claim 1 wherein said means for determining said low water level condition include means for determining the number of steam generators in which a low water level condition has occurred. 4. The system of claim 1 wherein said means for determining said power output level include means for comparing the thermal power output level of the reactor to predefined thermal power output levels. 5. The system of claim 4 wherein said predefined power output levels correspond to ten percent of Rated Thermal Power and fifty percent of Rated Thermal Power. 6. The system of claim 1 wherein said means for determining the length of said variable delay include a plurality of timers activated upon the detection of said low water level condition to produce output signals at various times and a plurality of logic gates which select one of said output signals based upon said low water level condition and said power output level. 7. The system of claim 6 wherein said plurality of timers correspond to time delays of five seconds, thirty seconds, one hundred fifty seconds and two hundred eighty seconds. 8. The system of claim 6 wherein said plurality of logic gates include a plurality of AND gates equal in number to said plurality of timers and a plurality of bistables and NOT gates each equal in number to predefined power output levels for producing signals indicative of the thermal power output of the reactor and wherein said AND gates are responsive to said output signals of said timers and said signals produced by said bistables and NOT gates to select one of said output signals. 9. The system of claim 7 wherein said logic gates are configured so that the reactor trip is delayed by five seconds if the power level exceeds ten percent of Rated Thermal Power and a low water condition exists in more than one steam generator, by thirty seconds if the power level exceeds fifty percent of Rated Thermal Power and a low water condition exists in only one steam generator, by one hundred fifty seconds if the power level is between ten percent and fifty percent of Rated Thermal Power and a low water condition exists in only one steam generator and by two hundred eighty seconds if the power level is less than ten percent of Rated Thermal Power and a low water condition exists in at least one steam generator. 10. The system of claim 1 wherein said means for determining the length of said variable delay include a microprocessor responsive to said low water level condition and said power output level. 11. The system of claim 10 wherein said microprocessor is programmed such that said variable delay is calculated as a function of said low water level condition and said power output level. 12. The system of claim 10 additionally comprising a table of time delays based upon said low water level condition and said power output level and wherein said microprocessor is programmed such that said variable delay is determined by referencing said table. 13. The system of claim 1 wherein said means for determining the length of said variable time delay determine said variable delay in accordance with the graph of FIG. 5. 14. A method for variably delaying a reactor trip resulting from a low water condition in a steam generator, comprising the steps of: 15. The method of claim 14 further comprising the step of actuating the auxiliary feedwater system in response to said variable delay. 16. The method of claim 14 wherein the step of determining said low water level condition includes the step of determining the number of steam generators in which a low water level condition has occurred. 17. The method of claim 14 wherein the step of determining said power output level includes the step of comparing the power output level of the reactor to predefined power output levels. 18. The method of claim 14 wherein the step of determining the length of said variable delay includes the step of determining a delay of five seconds if the power level exceeds ten percent of Rated Thermal Power and a low water condition exists in more than one steam generator, of thirty seconds if the power level exceeds fifty percent of Rated Thermal Power and a low water condition exists in only one steam generator, of one hundred fifty seconds if the power level is between ten percent and fifty percent of Rated Thermal Power and a low water condition exists in only one steam generator and of two hundred eighty seconds if the power level is less than ten percent of Rated Thermal Power and a low water condition exists in at least one steam generator.
abstract
A fuel bundle for a nuclear reactor core is provided. The fuel bundle may include a plurality of rods comprised of nuclear fuel rods and/or isotope production rods. Each rod includes a plurality of interconnected rod segments, wherein at least two of the rod segments of at least one rod have different outside diameters. The fuel bundle may additionally include a plurality of rod spacer grids securely retained between axially adjacent, interconnected rod segments. The rod spacer grids interconnected between axially adjacent rod segments form an array of substantially equally spaced rods. The fuel bundle may further include an elongate tubular channel in which the arrayed rods are housed.
051704188
claims
1. An X-ray exposure apparatus, comprising: an exposure chamber to be coupled with a synchrotron radiation device through a beam line for receiving synchrotron radiation applied through a window material provided on the beam line to execute an exposure process in said exposure chamber; pressure detecting means for detecting pressure in said exposure chamber; a first cutoff valve provided in a portion of the beam line between the window material and the synchrotron radiation device; a bypass having a communication valve for communicating a portion of the beam line between the window material and said cutoff valve with a portion between the window material and said exposure chamber; vacuum evacuating means for vacuum evacuating a portion of the beam line between the window material and said cutoff valve; a pump valve provided in a conduit for coupling said vacuum evacuating means with the beam line; a controller responsive to pressure detected by said pressure detecting means, wherein, when in the exposure process the detected pressure represents a steady state lower than a predetermined pressure, said controller operates to open said first cutoff valve and said pump valve and to close said communication valve, and wherein, when in the exposure process the detected pressure is higher than the predetermined pressure, said controller operates to close said cutoff valve and said pump valve and thereafter to open said communication valve; and a second cutoff valve disposed in a portion of the beam line between the window material and said exposure chamber, said controller responsive to pressure detected by said pressure detecting means, wherein, when in the exposure process the detected pressure represents a steady state lower than a predetermined pressure, said controller operates to open said second cutoff valve, and wherein, when in the exposure process the detected pressure is higher than the predetermined pressure, said controller operates to close said second cutoff valve. placing a substrate in the exposure system into which radiation from the radiation source can be guided along a beam line; detecting with a pressure detector pressure at an exposure system side of a blocking window provided along the beam line to separate a radiation source side from the exposure system side; changing a valve from its open position to its closed position when the pressure detected by the pressure detector is higher than a predetermined pressure, wherein the valve is disposed along the beam line and between the blocking window and the exposure system and wherein the predetermined pressure is between a set pressure at the exposure system side and a withstand pressure of the blocking window; and exposing the substrate with radiation passing through the blocking window when the pressure detected by the pressure detector is not higher than the predetermined pressure. an exposure chamber to be coupled with a synchrotron radiation device through a beam line for receiving synchrotron radiation applied through a window material provided on the beam line to execute an exposure process in said exposure chamber; pressure detecting means for detecting pressure in said exposure chamber; a cutoff valve provided in a portion of the beam line between the window material and said exposure chamber; and a controller responsive to pressure detected by said pressure detecting means, wherein, when in the exposure process the detected pressure represents a steady state lower than a predetermined pressure, said controller operates to open said cutoff valve and, when in the exposure process the detected pressure is higher than the predetermined pressure, said controller operates to close said cutoff valve. detecting an inside pressure of the exposure chamber; comparing the detected pressure to a predetermined pressure; exposing the semiconductor substrate to the synchrotron radiation beam when the inside pressure of the exposed chamber is not higher than a predetermined level; and isolating the window material from the exposure chamber when the inside pressure of the exposure chamber is higher than a predetermined level. an exposure system; a beam line for guiding synchrotron radiation from the radiation source to said exposure system; a blocking window disposed in the beam line for separating a radiation source side from an exposure system side, wherein the radiation can pass through said blocking window; a first openable and closable valve disposed along the beam line and between the radiation source and said blocking window; a bypass line for providing a bypass between the radiation source side and said exposure system side, wherein a first end of said bypass line is coupled to a portion of said beam line which is between said first valve and said blocking window; a second openable and closable valve provided along said bypass line; a vacuum pump coupled to a portion of the beam line which is between said first valve and said blocking window; and a third openable and closable valve disposed along a vacuum line of said vacuum pump. an exposure system; a beam line for guiding synchrotron radiation from the radiation source to said exposure system; a blocking window disposed in the beam line for separating a radiation source side from an exposure system side, wherein the radiation can pass through said blocking window; a first openable and closable valve disposed along the beam line and between the radiation source and said blocking window; a bypass line for providing a bypass between the radiation source side and said exposure system side, wherein a first end of said bypass line is coupled to a portion of said beam line which is between said first valve and said blocking window; a second openable and closable valve provided along said bypass line; and a pressure detector for detecting pressure at the exposure system side, wherein said first valve is changed from the open position to the closed position and said second valve is changed from the closed position to the open position when the pressure detected by said pressure detector is higher than a predetermined pressure, with the predetermined pressure being a pressure between a set pressure at the exposure system side and a withstand pressure of said blocking window. an exposure system; a beam line for guiding synchrotron radiation from the radiation source to said exposure system; a blocking window disposed in the beam line for separating a radiation source side from an exposure system side, wherein the radiation can pass through said blocking window; and an openable and closable valve disposed along the beam line and between said blocking window and said exposure system. placing a substrate in the exposure system into which radiation from the radiation source can be guided along a beam line; detecting with a pressure detector pressure at an exposure system side of a blocking window provided along the beam line to separate a radiation source side from the exposure system side; changing a first valve from the open position to the closed position when the pressure detected by the pressure detector is higher than a predetermined pressure, wherein the first valve is disposed along the beam line and between the radiation source and the blocking window and wherein the predetermined pressure is between a set pressure at the exposure system side and a withstand pressure of the blocking window; changing a second valve from the closed position to the open position when the pressure detected by the pressure detector is higher than the predetermined pressure, wherein the second valve is disposed along a bypass line connected between the radiation source side and the exposure system side and wherein a first end of the bypass line is coupled to a portion of the beam line which is between the first valve and the blocking window; and exposing the substrate with radiation passing through the blocking window when the pressure detected by the pressure detector is not higher than the predetermined pressure. 2. A semiconductor device manufacturing method for use with a synchrotron radiation source and an exposure system, comprising the steps of: 3. An X-ray exposure apparatus, comprising: 4. A semiconductor processing method usable with an exposure apparatus having an exposure chamber coupled with a synchrotron radiation source through a beam line for exposing a semiconductor substrate placed in the exposure chamber to a synchrotron radiation beam from the radiation source, passing through a window material provided on the beam line, comprising the steps of: 5. An exposure apparatus for use with a synchrotron radiation source, comprising: 6. An apparatus according to claim 5, further comprising a pressure detector for detecting pressure at the exposure system side, wherein said first and third valves are changed from the open position to the closed position and said second valve is changed from the closed position to the open position when the pressure detected by said pressure detector is higher than a predetermined pressure. 7. An apparatus according to claim 6, wherein said second valve is changed from the closed position to the open position after the first and third valves are changed from the open positions to the closed positions. 8. An apparatus according to claim 5, further comprising a fourth openable and closable valve disposed along said beam line and between said blocking window and said exposure system, wherein a second end of said bypass line is coupled to a portion of said beam line which is between said blocking window and said fourth valve. 9. An apparatus according to claim 8, further comprising a pressure detector for detecting pressure at the exposure system side, wherein each of said first, third and fourth valves is changed from the open position to the closed position while said second valve is changed from the closed position to the open position when the pressure detected by said pressure detector is higher than a predetermined pressure. 10. An exposure apparatus for use with a synchrotron radiation source, comprising: 11. An apparatus according to claim 10, further comprising a third openable and closable valve disposed along said beam line and between said blocking window and said exposure system, wherein a second end of said bypass line is coupled to a portion of said beam line which is between said blocking window and said third valve. 12. An apparatus according to claim 11, wherein said third valve is changed from the open position to the closed position when the pressure detected by said pressure detector is higher than a predetermined pressure. 13. An exposure apparatus for use with a synchrotron radiation source, comprising: 14. An apparatus according to claim 13, further comprising a pressure detector for detecting pressure at the exposure system side, wherein said valve is changed from the open position to the closed position when the pressure detected by said pressure detector is higher than a predetermined pressure. 15. A semiconductor device manufacturing method for use with a synchrotron radiation source and an exposure system, comprising the steps of: 16. A method according to claim 15, further comprising the step of changing a third valve from the open position to the closed position when the pressure detected by the pressure detector is higher than the predetermined pressure, wherein the third valve is disposed along the beam line and between the blocking window and the exposure system. 17. A method according to claim 16, wherein a second end of the bypass line is coupled to a portion of the beam line which is between the blocking window and the third valve.
044420285
summary
BACKGROUND OF THE INVENTION The invention relates generally to the treatment of radioactive solutions and, more particularly to a method for incorporating radioactive phosphoric acid solutions in concrete. Operations with nuclear facilities may generate radioactive phosphoric acid solutions. For example, the primary cooling system in the N-reactor at Hanford, Wash. is decontaminated by circulating 6% phosphoric acid through the coolant piping. In a typical year 500,000 gallons of phosphoric acid are produced. It has been proposed to neutralize this waste with NaOH and incorporate it into concrete. This approach suffers the disadvantage of forming a soluble Na.sub.2 HPO.sub.4 species and only achieving a waste loading of from 5% to 15%. It is accordingly a general object of the invention to provide a method for incorporating a radioactive phosphoric acid waste into concrete in which the phosphoric acid is first converted into an insoluble compound. Another object of the invention is to provide a method for incorporating radioactive phosphoric acid waste into concrete in a manner achieving a high waste loading. Other objects, advantages and novel features of the invention will be apparent to those of ordinary skill in the art upon examination of the following detailed description of a preferred embodiment of the invention and the accompanying drawing. SUMMARY OF THE INVENTION A method is provided for incorporating radioactive phosphoric acid waste into concrete. Insoluble hydroxyapatite is precipitated from the solution by reaction with Ca(OH).sub.2. The resulting precipitate is mixed with portland cement to form concrete.
summary
abstract
The output of a nuclear reactor is increased by a predetermined magnitude, and the neutron beam is measured as time-series data. The temperature of the moderator in the reactor is acquired as time-series data. Time-series data on the reactivity is acquired from the time-series data on the neutron beam by the reverse dynamic characteristic method with respect to a one-point reactor kinetics equation. Time-series data on the fuel temperature of a predetermined average acquired by using the time-series data on the reactor output and a predetermined dynamic characteristic model is acquired. The reactivity feedback contribution component is determined by using the time-series data on the reactivity and the applied reactivity. The Doppler reactivity coefficient is determined by using the time-series data on the average temperature of the moderator in the reactor, the time-series data on the fuel temperature of the predetermined average, the isothermal temperature reactivity coefficient, and the reactivity feedback contribution component.
046876251
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 3 shows one embodiment of the invention, in which a main steam line 2 extends through a containment vessel 3 of a nuclear reactor. Usually, welds of the main steam line 2, including butt welded joints between pipe and pipe and between pipe and shut-off valve, have a higher possibility of crack growth in comparision with the parent metal because of defects in weld produced on welding, thermal influences exerted on welding and the difference in mechanical properties between the welded metal and the parent metal. Thus, steam leaks have tended to occur mainly in these welded portions. Therefore, in this embodiment, a plurality of isolating vessels 7 are provided to cover welded portions of the main steam pipe 2 which is located between an outer wall surface of the containment vessel 3 and a portion including an outer main steam shut-off valve 5 provided at the outside of the containment vessel 3 to isolate them from the atmosphere. The provision of the isolating vessel 7 enables a steam leak through the weld of the main steam pipe 2 to be confined in the isolating vessel 7, thereby preventing the steam from spreading to the atmosphere. The isolating vessel 7 may be equipped with a steam leak detectable transducer 8 such as a moisture detector. The provision of a steam detectable transducer enables a steam leak to be detected quickly and permits the plant to be shut down earlier than would be the case if no transducer were provided, thereby increasing safety and reliability. FIG. 4 shows another embodiment of the invention, in which the entire outer surface of a portion of the main steam pipe 2 which is located between the outer wall surface of the containment vessel 3 and a portion including the outer main steam shut-off valve 5 is covered with one isolating vessel 7 which is equipped with a steam detectable transducer 8. A space defined between the isolating vessel 7 and the main steam pipe 2 may be communicated with the interior of the containment vessel 3. By this arrangement, leaked steam can be confined in the isolating vessel 7 and is prevented from spreading to the atmosphere in the same way as the aforementioned embodiment even if leaks occur at other portions than the abovementioned welded portions of the main steam pipe 2 which is located between the outer wall surface of the containment vessel 3 and the portion including the outer main steam shut-off valves 5. In a containment vessel of the type in which more than two main steam pipes 2 of a plurality of systems are located not so far apart from each other, portions of the main steam pipes 2 which are located between the outer wall surface of the containment vessel 3 and portions including the respective outer main steam shut-off valves 5 may be covered with one isolating vessel 7. In this case, the interior of the isolating vessel 7 may be divided into sections according to the respective main steam pipes 2, and each section may be provided with a steam leak transducer 8. FIG. 5 shows another embodiment of the invention in which an isolating member 7 including a bellows structure having flexibility covers the entire outer surface of a portion of the main steam pipe 2 which is located between the outer wall surface of the containment vessel 3 and the portion including the outer main steam shut-off valve 5. In normal operations, the main steam pipe 2 rises in temperature to about 270.degree. C. Therefore, if the isolating vessel 7 were formed only of rigid material and firmly fixed to the main steam pipe 2, inordinately high thermal stresses might occur in the main steam pipe 2. To avoid this trouble, the embodiment shown in FIG. 5 provides the isolating vessel 7 with a bellows construction. The invention is not limited to this specific form of isolating vessel 7 and the isolating vessel 7 may be formed entirely of a bellows structure. The embodiments of the invention shown and described hereinabove provide at least one isolating vessel 7 to cover the portion of the main steam pipe 2 located outside the containment vessel 3. Thus, even if a steam leak occurs in any portions of the main steam pipe 2 which are located between the outer wall surface of the containment vessel 3 and the portion including the outer main steam shut-off valve 5, the radioactive steam is prevented from spreading to the atmosphere. This eliminates the need to mount the inner main steam shut-off valve 4 usually provided at the inside of the containment vessel of the prior art, thereby offering the following advantages: The main steam shut-off valves which are usually about 4 m in length are mounted obliquely to the main steam pipe. Thus, each main steam shut-off valve is dimensioned such that it extends about 3 m both vertically and horizontally. If the inner main steam shut-off valve can be omitted, then the diameter of the containment vessel at its bottom can be reduced from the range between 25 and 29 m in the prior art to the range between about 20 and 24 m, thereby enabling a compact size and a light weight to be obtained in a containment vessel. This advantage will be further described by referring to the ABWR shown in FIG. 6. The ABWR comprises the isolating vessel 7 mounted to the portion of the main steam pipe 2 which is located between the outer wall surface of the containment vessel 3 and the portion including the outer main steam shut-off valve 5, and the inner main steam shutoff valve 4 which has hitherto been required in a containment vessel of the prior art as shown in FIG. 2 is omitted. By providing the main steam pipe 2 with the isolating vessel 7 and eliminating the inner main steam shut-off valve 4, the diameter of the containment vessel 3 at its bottom can be reduced to a diameter smaller than the diameter of a containment vessel of the prior art having the inner main steam shut-off valve, thereby enabling the building cost to be greatly reduced. The invention provides a solution to the problem which the containment vessel of the prior art was faced with that when a steam leak occurs in the portion of the main steam pipe which is located between the outer wall surface of the containment vessel and the portion including the outer main steam shut-off valve, the steam leak would be released to the atmosphere before the inner main steam shut-off valve is closed. The invention is capable of avoiding the spread of radioactive materials to the atmos- phere. The elimination of the inner main steam shut-off valve enables the size of the containment vessel of a nuclear reactor to be reduced, thereby contributing to a reduction in building cost. Further, leaked steam is trapped in the isolating vessel, the detection of the leaked steam becomes fast and accurate by providing a steam leak detectable transducer and thus the invention can contribute to the emergency stop of the plant. While the invention has been shown and described by referring to a main steam pipe extending from the containment vessel of a nuclear reactor to outside through an aperture formed in the wall of the containment vessel, it is to be understood that the invention is not limited to the main steam pipe and can have application any pipes connected to the containment vessel. As described hereinabove, the piping of the containment vessel includes a multiplicity of pipes including a feedwater pipe, a main steam drain pipe, reactor core spray water pipes, a residual heat removing pipe, a drywell ventilating pipe, an off-gas pipe, etc., and the invention may have application in any one of these pipes.
040382028
abstract
Actinide metal microspheres are washed with an aqueous solution of a hydrophilic surfactant having an HLB value greater than 10 and up to about 14 to remove water soluble salts therefrom and any water immiscible organic liquids adhering to the microspheres according to the process disclosed. The microspheres are prepared by dispersing an aqueous phase of the appropriate actinide metal oxide into an immiscible organic liquid in the presence of ammonia or an ammonia releasing agent under solidification conditions to form the microspheres. Uranyl nitrate and anion-deficient uranyl nitrate solutions are preferred. Improved results are obtained when the organic liquid contains a complementary lipophilic surfactant having a HLB value of no more than about 10.
claims
1. A cylindrical gamma ray generator, comprising:a cylindrical RF-driven plasma ion source for producing a hydrogen ion containing plasma;a hydrogen gas source in fluid communication with said plasma ion source whereby hydrogen gas can be introduced into said ion source;a cylindrically-shaped radial ion extractor system, the system disposed coaxially about the ion source for extracting hydrogen ions radially from the ion source; anda cylindrical target disposed coaxially outside and spaced from the ion source to receive hydrogen ions extracted from the source by the cylindrical extractor system, said target comprising a LaB6 target material, which target material is capable of undergoing proton/gamma (p,y) reactions when irradiated by hydrogen ions having an energy below 675 keV emitted from said source to produce gamma rays, wherein said produced gamma rays have an energy level above 6 MeV. 2. The generator of claim 1, further comprising an RF antenna disposed within the ion source. 3. The generator of claim 2, further comprising water cooling within the RF antenna. 4. The generator of claim 1 wherein the cylindrically-shaped radial ion extractor system comprises a plurality of axially extending slots. 5. The generator of claim 1 further comprising a vacuum chamber disposed to contain the target. 6. The generator of claim 1, wherein the hydrogen ions have an energy of approximately 163 keV.
abstract
Methods of capturing and immobilizing radioactive nuclei with metal fluorite-based inorganic materials are described. For example, a method of capturing and immobilizing radioactive nuclei includes flowing a gas stream through an exhaust apparatus. The exhaust apparatus includes a metal fluorite-based inorganic material. The gas stream includes a radioactive species. The radioactive species is removed from the gas stream by adsorbing the radioactive species to the metal fluorite-based inorganic material of the exhaust apparatus.
claims
1. A wireless phone, comprising:a source that emits electromagnetic radiation; anda paramagnetic material in a quantity sufficient to counteract the electromagnetic radiation emitted by the source. 2. A wireless phone as recited in claim 1, wherein the wireless phone is a cellular phone configured for cellular communication. 3. A wireless phone as recited in claim 1, further comprising a casing configured to support components of the wireless phone, the casing including the paramagnetic material to counteract the electromagnetic radiation emitted by the components of the wireless phone. 4. A wireless phone as recited in claim 1, further comprising a layered product configured to include the paramagnetic material, the layered product comprising:an outer layer;one or more layers of the paramagnetic material;an adhesive layer configured to adhere to the wireless phone; anda bonding agent configured to bond the one or more layers of the paramagnetic material between the outer layer and the adhesive layer. 5. A wireless phone as recited in claim 4, wherein the layered product is a disk-shaped product. 6. A wireless phone as recited in claim 4, wherein the one or more layers of the paramagnetic material each include a base material to support the paramagnetic material. 7. A wireless phone as recited in claim 6, wherein the base material is an ink-based material. 8. A wireless phone as recited in claim 6, wherein the base material is a silica-based material. 9. A wireless phone as recited in claim 1, wherein the paramagnetic material counteracts harmful effects of the electromagnetic radiation. 10. A wireless phone as recited in claim 1, wherein the paramagnetic material transforms the electromagnetic radiation to counteract the electromagnetic radiation. 11. A wireless phone as recited in claim 10, wherein the paramagnetic material transforms the electromagnetic radiation to effectuate a desired frequency range of the electromagnetic radiation. 12. A wireless phone as recited in claim 10, wherein the paramagnetic material transforms the electromagnetic radiation to decrease an intensity of one or more frequencies of the electromagnetic radiation. 13. A wireless phone as recited in claim 1, wherein the paramagnetic material counteracts the electromagnetic radiation to effectuate a paramagnetic frequency transformation. 14. A wireless phone as recited in claim 1, wherein the paramagnetic material counteracts the electromagnetic radiation to effectuate a diamagnetic frequency transformation. 15. A wireless phone as recited in claim 1, wherein the source is an internal power supply that emits the electromagnetic radiation. 16. A wireless phone as recited in claim 1, wherein the source is an antenna system that emits the electromagnetic radiation. 17. A device comprising at least cellular communication functionality, the device comprising:a source that emits electromagnetic radiation;a paramagnetic material configured to transform the electromagnetic radiation emitted by the source. 18. A device as recited in claim 17, wherein the paramagnetic material is further configured to counteract harmful effects of the electromagnetic radiation. 19. A device as recited in claim 17, wherein the paramagnetic material is further configured to emit an electromagnetic field to counteract harmful effects of the electromagnetic radiation. 20. A device as recited in claim 17, wherein the paramagnetic material transforms the electromagnetic radiation to counteract the electromagnetic radiation. 21. A device as recited in claim 17, wherein the paramagnetic material transforms the electromagnetic radiation to effectuate a desired frequency range of the electromagnetic radiation. 22. A device as recited in claim 17, wherein the paramagnetic material transforms the electromagnetic radiation to decrease an intensity of one or more frequencies of the electromagnetic radiation. 23. A device as recited in claim 17, wherein the paramagnetic material transforms the electromagnetic radiation to effectuate a paramagnetic frequency transformation. 24. A device as recited in claim 17, wherein the paramagnetic material transforms the electromagnetic radiation to effectuate a diamagnetic frequency transformation. 25. A device as recited in claim 17, wherein the source is an internal power supply that emits the electromagnetic radiation. 26. A device as recited in claim 17, wherein the source is an antenna system that emits the electromagnetic radiation. 27. A device as recited in claim 17, further comprising a layered product configured to include the paramagnetic material, the layered product comprising:an outer layer;at least one layer of the paramagnetic material;an adhesive layer configured to adhere to the device; anda bonding agent configured to bond the at least one layer of paramagnetic material between the outer layer and the adhesive layer. 28. A device as recited in claim 27, wherein the layered product is a disk-shaped product. 29. A device as recited in claim 27, wherein the at least one of layer of the paramagnetic material includes a base material to support the paramagnetic material. 30. A device as recited in claim 29, wherein the base material is an ink-based material. 31. A wireless phone as recited in claim 29, wherein the base material is a silica-based material. 32. A method, comprising:locating paramagnetic material on a wireless phone in a quantity sufficient to counteract electromagnetic radiation emitted by the wireless phone; andtransforming the electromagnetic radiation with the paramagnetic material to alter an intensity of the electromagnetic radiation. 33. A method as recited in claim 32, wherein transforming the electromagnetic radiation with the paramagnetic material alters the intensity of one or more frequencies of the electromagnetic radiation. 34. A method as recited in claim 32, further comprising counteracting harmful effects of the electromagnetic radiation. 35. A method as recited in claim 32, wherein the paramagnetic material emits an electromagnetic field to transform the electromagnetic radiation. 36. A method as recited in claim 32, further comprising detecting the electromagnetic radiation emitted by an internal power supply of the wireless phone. 37. A method as recited in claim 32, further comprising detecting the electromagnetic radiation emitted by an antenna assembly of the wireless phone. 38. A method as recited in claim 32, further comprising:combining the paramagnetic material with a base material that supports the paramagnetic material to form at least one layer of the paramagnetic material; andbonding the at least one layer of the paramagnetic material with an adhesive layer that adheres the at least one layer of the paramagnetic material to the wireless phone. 39. A method as recited in claim 38, wherein the base material that supports the paramagnetic material is at least one of an ink-based material or a silica-based material. 40. A method as recited in claim 32, wherein the electromagnetic radiation is transformed to effectuate a desired frequency range of the electromagnetic radiation. 41. A method as recited in claim 32, wherein the electromagnetic radiation is transformed to effectuate a decrease in the intensity of one or more frequencies of the electromagnetic radiation. 42. A method as recited in claim 32, wherein the electromagnetic radiation is transformed to effectuate a paramagnetic frequency transformation. 43. A method as recited in claim 32, wherein the electromagnetic radiation is transformed to effectuate a diamagnetic frequency transformation.
abstract
A binderless storage phosphor screen comprises a vacuum deposited CsBr:Eu phosphor layer on a support, wherein the support includes a layer of amorphous carbon and optionally one or more auxilliary layers.
description
The present invention relates to radiation monitors and, more particularly, relates to a radiation monitor to be installed for performing monitoring, release control, and the like of radioactive materials. Radiation monitors are installed in a nuclear reactor facilities and the like for measuring radioactivity concentration of a radioactive rare gas in an exhaust gas. The exhaust gas is discharged from, for example, an exhaust stack that is a final discharge end of the facilities. The radiation monitor samples the exhaust gas of the exhaust stack and detects radiation released from the radioactive rare gas in a sampling gas. The radioactivity concentration is found by measuring a detection signal thereof (for example, see Patent Documents 1 to 5). “Guidelines for measurement of released radioactive materials in light water nuclear power reactor facilities” are defined as domestic guidelines relating to the radiation monitor. According to the domestic guidelines pertaining to this release control, a detection unit is purged by air defecated by filters or the like and then background of the radiation is measured. As for switching of flow paths of a sampling gas, ON/OFF switching of solenoid valves is performed in conjunction with a manipulation for selecting the flow paths for sampling and purge by switching. A state for selecting the flow paths is automatically indicated in order to prevent from forgetting manual switchback (see, for example, Patent Document 6). Normally, a flowmeter and pressure gauges are installed in gas flow paths of a radiation monitor of this kind. When abnormality of the gas flow paths is detected, a pump is automatically stopped and a system is automatically isolated by a solenoid valve (see, for example, see Patent Document 7). A pump automatic restart circuit is provided for a pump stop due to instantaneous interruption of a supplied AC power source. The pump automatic restart circuit outputs a pump start signal with a set time interval when the power source is restored in a limited case where the power source is instantaneously interrupted within a set allowed time (see, for example, see Patent Document 8). Furthermore, normally, a sampling solenoid valve for selecting flow paths in an open state uses one that is operated to be opened in non-excitation/closed in excitation. In instantaneous power failure automatic restart, reliability of instantaneous power failure automatic restart is improved by securing the open state of a sampling flow path (see, for example, Patent Document 9). [Patent Document 1] JP-A-S58-86873 [Patent Document 2] JP-A-H2-184294 [Patent Document 3] JP-A-H5-56682 [Patent Document 4] JP-A-2000-358377 [Patent Document 5] JP-A-2004-140896 [Patent Document 6] JP-A-2001-153956 [Patent Document 7] JP-A-S50-60284 [Patent Document 8] JP-A-H7-274376 [Patent Document 9] JP-A-2011-38823 The radiation monitor is thus configured, and a plurality of solenoid valves are provided for the purpose of switching the flow paths of the sampling and the purge and isolating a sampling unit when the pump is stopped. The sampling solenoid valve that is normally used in the open state uses one that is to be opened in non-excitation/closed in excitation; and thus, a change in flow path disappears when the power source is restored from the instantaneous power failure of the power source and pump automatic restart is ensured to improve reliability. However, in a flow of recent control digitization, it is shifted from a period in which a pump and a solenoid valve are controlled by only an AC control circuit that is operated by an AC power source to a period in which an AC control circuit is indirectly controlled by a DC control circuit that is operated by a DC power source, except for a contactor, a relay, and the like, which directly control a pump and a solenoid valve. For example, a flow path abnormality alarm signal which is for automatically stopping the pump by detecting abnormality of piping with, for example, a flowmeter and a pressure gauge is transmitted from a sequencer that is operated by the DC power source generated by being converted from the AC power source. The DC power source is equipped with a large capacity capacitor; and accordingly, transient response of a DC voltage of the DC power source in the case of instantaneous interruption of the AC power source and restoration of the power source are changed in a complicated manner depending on an instantaneous power failure time. A change with age of a solenoid valve operating time delicately influences on the complicated change and deviation from a formal sequential operation in instantaneous power failure automatic restart occurs. The present invention has been made to solve the above described problem, and an object of the present invention is to obtain a highly reliable radiation monitor in which, in restoration of a power source after an instantaneous power failure of a plant power source system, automatic restart of a radiation monitor is surely performed and measurement omission due to a failure of instantaneous power failure automatic restart is not caused. According to the present invention, there is provided a radiation monitor including: a gas flow path in which a sampling solenoid valve, a purge solenoid valve, a pump, and an exhaust solenoid valve, each being operated by an AC electric power, are provided, and a sampling gas suctioned from a sampling point is circulated; a flow sensor installed in the gas flow path; a pressure sensor installed in the gas flow path; an AC control section in which the AC electric power is supplied to the sampling solenoid valve, the purge solenoid valve, the pump, and the exhaust solenoid valve, and when a flow path abnormality signal is received, the sampling solenoid valve, the purge solenoid valve, and the exhaust solenoid valve are controlled to be closed and the pump is stopped; a DC control section which is operated by a DC electric power in which the AC electric power supplied from the AC control section is converted, and outputs the flow path abnormality signal in the case where a measured value of the flow sensor or a measured value of the pressure sensor is lower than a set value; a detection unit which detects radiation to be released from the sampling gas suctioned to the gas flow path, and outputs a detection signal; and a measurement unit which measures the detection signal outputted from the detection unit, and outputs radioactivity concentration. The AC control section outputs an AC power source instantaneous power failure detection signal to the DC control section when a decrease in AC voltage is detected; the DC control section measures a duration time of the AC power source instantaneous power failure detection signal when the AC power source instantaneous power failure detection signal is received from the AC control section, and outputs an instantaneous power failure restart signal to the AC control section if the AC voltage is restored within a time shorter than the set value; and the AC control section performs switching control from close to open of the sampling solenoid valve, the purge solenoid valve, and the exhaust solenoid valve, and restarts the pump after a constant time when the instantaneous power failure restart signal is received from the DC control section. In the radiation monitor according to the present invention, the control unit includes: the AC control section which directly controls sampling by the AC power source; and the DC control section which is operated by the DC voltage generated from the AC power source supplied from the AC control section and indirectly controls the sampling unit via the AC control section. The AC control section includes AC power source instantaneous power failure detection means which detects instantaneous stop (instantaneous power failure) within a time set in the AC power source and outputs the AC power source instantaneous power failure detection signal. The DC control section inputs parameters to judge the presence or absence of abnormality of the flow path, outputs the flow path abnormality alarm (signal) in flow path abnormality to stop the pump, and controls all the solenoid valves to be closed. Furthermore, if the AC power source instantaneous power failure detection signal is inputted, the DC control section blocks the output of the flow path abnormality alarm (signal) during a time set from the input to reset self-holding of the flow path abnormality alarm (signal), and outputs the instantaneous power failure restart signal that automatically restarts the pump to the AC control section. By such a configuration, there can be provided the highly reliable radiation monitor in which the pump can be surely automatically restarted without depending on a voltage state of the DC power source during instantaneous power failure of the AC power source and until restoration of the AC power source. Radiation monitors according to embodiments of the present invention will be described below with reference to drawings. Incidentally, the same reference numerals/characters are given to those identical or equivalent to constitutional portions in the respective drawings and the size and/or the scale size of the corresponding respective constitutional portions are respectively independent. For example, in the case of illustrating the same unchanged constitutional portions between sectional views in which a part of the configuration is changed, the size and/or the scale size of the same constitutional portions may be different. Furthermore, actually, there includes a further plurality of members in the configuration of the radiation monitor; however, for simplicity of explanation, only portions necessary for explanation are described and other portions are omitted. Hereinafter, Embodiment 1 of the present invention will be described with reference to drawings. A radiation monitor 100 shown in FIG. 1 is an exhaust stack gas monitor that is a representative of nuclear reactor facilities. The radiation monitor 100 includes a sampling unit 2, a control unit 3, a detection unit 4, and a measurement unit 5. An exhaust stack 1 is placed at a final discharge end of the nuclear reactor facilities and the like; and an exhaust gas containing a radioactive rare gas is discharged from the discharge end. The sampling unit 2 samples a sampling gas from the exhaust stack 1. The control unit 3 controls the sampling unit 2. The detection unit 4 is connected to the sampling unit 2. A gas flow path 29 in which the sampling gas suctioned from a sampling point is circulated is divided into a common piping portion 29a and branch piping portions 29b. A filter system 21 and a pump system 27 are installed in the branch piping portions 29b. A sampling solenoid valve 22, an exhaust solenoid valve 28, a flow sensor 25, and a pressure sensor 26 are installed in the common piping portion 29a. The detection unit 4 detects radiation of the radioactive rare gas released from gaseous radioactive materials in the sampling gas and outputs a detection signal to the measurement unit 5 in a state where environmental radiation is shielded. The measurement unit 5 measures the detection signal outputted from the detection unit 4 and outputs an engineering value (cpm, Bq/cm3 etc.) corresponding to radioactivity concentration. Incidentally, the radiation monitor 100 in the drawing is intended for the exhaust stack gas monitor whose sampling point is the exhaust stack. In the actual nuclear reactor facilities, other process to be monitored, such as a containment vessel and a ventilation/air conditioning duct are selected as the sampling point. The radiation monitor is installed in respective facilities; and the sampling point is not limited to the exhaust stack. The sampling gas to be sampled from the exhaust stack 1 is introduced to the sampling unit 2 having two filter systems. The sampling gas is introduced to the sampling solenoid valve 22 via either a filter system 21a or a filter system 21b, provided in parallel. Surrounding air of the exhaust stack 1 is introduced to a purge solenoid valve 24 via a purge filter 23. The control unit 3 performs switching control of the sampling solenoid valve 22 and the purge solenoid valve 24 by switching operation. The filter system 21a includes a filter inlet valve 211a, a sampling gas filter 212a, and a filter outlet valve 213a. The filter system 21b includes a filter inlet valve 211b, a sampling gas filter 212b, and a filter outlet valve 213b. The sampling gas filter 212a, the sampling gas filter 212b, and the purge filter 23 are attached with a filter element defined “Guidelines for measurement of released radioactive materials in light water nuclear power reactor facilities,” and 99% or more of a particulate substance equal to or more than 0.3 μm is removed. An outlet of the sampling solenoid valve 22 is connected to an outlet of the purge solenoid valve 24; and a subsequent common piping portion is connected to the flow sensor 25 and the pressure sensor 26. The sampling gas or the surrounding air suctioned from the purge filter 23 is introduced to the detection unit 4 after detecting a flow rate by the flow sensor 25 and detecting a pressure by the pressure sensor 26. The sampling gas discharged from the detection unit 4 or the surrounding air suctioned from the purge filter 23 is introduced to either the pump system 27a or the pump system 27b. The sampling gas discharged from the exhaust solenoid valve 28 is returned to the exhaust stack 1. The pump system 27a includes a pump inlet valve 271a, a pump 272a, and a pump outlet valve 273a. The pump system 27b includes a pump inlet valve 271b, a pump 272b, and a pump outlet valve 273b. Normally, the pump inlet valve 271a, the pump inlet valve 271b, the pump outlet valve 273a, and the pump outlet valve 273b are all opened; and then, switching operation of the pump 272a and the pump 272b are periodically performed by a pump selectin signal of the control unit 3. In the case of replacing the pumps, the replacement is performed after closing the pump inlet valve and the pump outlet valve of the pump system concerned. The sampling solenoid valve 22 and the exhaust solenoid valve 28 use one which operates so as to be opened at power source OFF (in non-excitation) and to be closed at power source ON (in excitation), that is, a solenoid valve with normally open specification. The purge solenoid valve 24 uses one which operates so as to be closed at power source OFF (in non-excitation) and to be opened at power source ON (in excitation), that is, a solenoid valve with normally closed specification. In the case of replacing the filter element during sampling operation, first, confirmation is made that the pressure of the sampling gas reaches the replacement standard; and after that, the filter inlet valve and the filter outlet valve of the filter system that is not used are opened from the closed states and then the filter element is replaced. Next, the filter inlet valve and the filter outlet valve of the filter system that is used are closed from the opened states and then the filter element is replaced. In the case where a transition of the pressure is stable, it may be managed by periodic replacement in place of managing by the pressure replacement standard. The pump 272a and the pump 272b are installed with suction and exhaust check valves; and a pump chamber is provided with a diaphragm or a metal bellows. The diaphragm or the metal bellows alternately repeats expansion and compression of the pump chamber by reciprocating movement. The check valves are linked to the pumps 272a, 272b; and therefore, a backward flow does not occur regardless of the operation or stop of the pumps in a state where the pump inlet valve 271a, the pump inlet valve 271b, the pump outlet valve 273a, and the pump outlet valve 273b are all opened. Therefore, it is possible to employ a control system that if a low flow rate alarm or a high vacuum alarm (to be described later) is transmitted, it is regarded as a pump malfunction and the pumps are automatically switched. Actually, the transmission of the low flow rate alarm or the high vacuum alarm is mostly caused by a factor other than the pump, for example, clogging of the filter. Furthermore, there is no emergency of automatic switching; and therefore, generally, the sampling unit is inspected and the cause is removed; and then, the pump is selected to be manually restarted. An AC electric power (or AC power source) is supplied to the sampling unit 2; and all the pumps and all the solenoid valves are operated by the AC electric power (or AC power source). The AC electric power (or AC power source) is supplied to the control unit 3 from the sampling unit 2. The AC electric power (or AC power source) is converted into a DC electric power (or DC power source) by an inverter (AC-DC power source apparatus) to operate a DC control section 31. The DC control section 31 operates an AC control section 32 via, for example, contacts. The AC control section 32 controls all the pumps and all the solenoid valves. Incidentally, all the solenoid valves may use one that is operated by a simple DC power source in which the AC electric power (or AC power source) is full-wave rectified. The simple DC power source includes a rectifier diode in the inside of a terminal box additionally provided for drawing the AC power source. FIG. 2 shows control logic of the control unit 3. FIG. 3 represents the relationship between a logic symbol and a function used in FIG. 2. A thin line shows a DC line and a thick line shows an AC line. There exist options of sampling and purge for selecting flow paths of the sampling unit 2. The control unit 3 normally selects the sampling and performs sampling operation of the sampling unit 2. In the sampling operation, the sampling solenoid valve 22 and the exhaust solenoid valve 28 become an opened state of power source OFF and the purge solenoid valve 24 becomes a closed state of power source OFF. Either solenoid valve samples the sampling gas in a state of power source OFF (non-excitation state), that is, in a state where a coil of the solenoid valve does not generate heat. As compared to a purge operation, the sampling operation takes a predominantly long time. The solenoid valve is used in non-excitation, thereby suppressing the solenoid valve from being deteriorated. In the sampling operation, there maintains a state with a lowest risk with respect to instantaneous power failure restart in which the AC power source is restored from instantaneous interruption of the AC power source and is automatically returned to an original operation state. A change in flow path is suppressed and a state which is easy to restart is maintained. Whereas, in the temporary purge operation, the flow path is selected for the purge and the sampling solenoid valve 22 is a closed state of power source ON. The purge solenoid valve 24 is switched to an open state of power source ON for measuring background. Incidentally, the purge operation is a temporary manipulation with human's intervention; and therefore, even when instantaneous interruption of the power source occurs at this time, the aforementioned risk does not need to be eliminated because of restartable situations by human being. In the drawing, logic A surrounded by a dashed-dotted line shows control logic pertaining to an instantaneous power failure restart signal g which is outputted by an instantaneous power failure restart control block 322. In the drawing, logic B surrounded by a dashed-dotted line shows control logic pertaining to a low flow rate alarm signal b1, a low pressure alarm signal (or alarm) b2, and a flow path abnormality signal (or alarm) b3, which are outputted by a flow path abnormality diagnosis block 311. The flow path abnormality signal (or alarm) b3 is expressed by OR of the low flow rate alarm signal b1 and the low pressure alarm signal b2. In the drawing, logic C surrounded by a dashed-dotted line shows control logic pertaining to start/stop of the pumps and open/close of the solenoid valves, which are outputted by a pump/solenoid valve DC control block 312. Upon receiving the instantaneous power failure restart signal g, the flow path abnormality diagnosis block 311 operates so as to respectively interrupt an input of a low flow rate state and an input of a low pressure state and also respectively reset self-holding of the low flow rate alarm signal b1 and the low pressure alarm signal b2. By this operation, “a condition in which a flow path abnormality alarm (signal) is not inputted” serving as a start condition is established; and the pump is automatically restarted by the input of the instantaneous power failure restart signal g. The flow path abnormality diagnosis block 311 and the pump/solenoid valve DC control block 312 are composed of a logic circuit, a relay circuit, a sequencer, and the like, which are operated by a DC voltage. The AC power source becomes instantaneous OFF in power failure and becomes instantaneous ON in power recovery; whereas, the DC power source slowly decreases and slowly restores. The logic circuit, the relay circuit, the sequencer, and the like of the DC control section 31 remain indeterminate in operation due to variations in transient response of the DC voltage and component response in power failure and in power recovery; and therefore, a time width set to the instantaneous power failure restart signal g is provided in consideration of such circumstances. In the logic C, the pump/solenoid valve DC control block 312 outputs a pump start signal c2 with a delay of a set time (for example, 1 sec) after a pump start signal c1 is outputted. The pump start signal c2 controls control logic of a pump/solenoid valve AC control block 321 to reverse a related solenoid valve from close to open; and then, disturbance of a sampling gas flow occurred at start is suppressed by starting the pump, for example, after 1 sec. In a pump stop except for an AC instantaneous power failure, a solenoid valve close signal c3 is outputted after a set time (for example, after 10 sec) after the pump start signal c1 disappears. The solenoid valve close signal c3 controls the control logic of the pump/solenoid valve AC control block 321 to stop the pump; a related solenoid valve is reversed from open to close after the pressure of the flow path is restored to atmospheric pressure; and thus, a load caused by the residual pressure of the flow path at pump start can be reduced and the generated disturbance of the sampling gas flow is suppressed. FIG. 4 is a diagram representing the function of the control unit 3. The DC control section 31 includes a DC power source 310, the flow path abnormality diagnosis block 311, and the pump/solenoid valve DC control block 312. The DC control section 31 measures a flow rate (measured value) by using a flow rate signal detected by the flow sensor 25 as an input and measures a pressure (measured value) by using a pressure signal detected by the pressure sensor 26 as an input. The flow path abnormality diagnosis block 311 transmits the low flow rate alarm (signal) in the case where the flow rate becomes a state equal to or less than a set value (low flow rate level) and continues for a set time or more under the condition during pump operation. Similarly, the flow path abnormality diagnosis block 311 transmits the low pressure alarm (signal) in the case where the pressure becomes a vacuum state equal to or less than a set value (low pressure level) and continues for a set time or more. Further, the flow path abnormality diagnosis block 311 outputs the flow path abnormality alarm (signal) in the case of satisfying OR of both alarms (signals). The pump/solenoid valve DC control block 312 starts the pump by manual manipulation and self-maintains the pump start signal under the condition that the flow path abnormality alarm (signal) is not inputted. Furthermore, the pump is stopped and the solenoid valve is closed to isolate the flow path by inputting a pump stop signal or the flow path abnormality alarm (signal) by manual manipulation. The AC control section 32 includes an AC power source 320, the pump/solenoid valve AC control block 321, and the instantaneous power failure restart control block 322. The pump/solenoid valve AC control block 321 converts a DC control signal outputted from the pump/solenoid valve DC control block 312 into an AC control signal to directly control start/stop of the pump and also directly control open/close operation of the solenoid valve. When instantaneous interruption occurs in the AC power source from a pump operation state, and in the case where an AC instantaneous interruption time thereof is within a set time, the instantaneous power failure restart control block 322 outputs an AC power source instantaneous power failure detection signal with a set time width. The pump/solenoid valve DC control block 312 outputs the pump start signal (instantaneous power failure restart signal) by using the AC power source instantaneous power failure detection signal as an input. Then, the pump/solenoid valve DC control block 312 self-maintains the pump start signal to automatically restore to the pump operation state just before the instantaneous interruption of the AC power source under the condition that the flow path abnormality alarm is not inputted. Incidentally, the time width of the instantaneous power failure restart signal is set in consideration of variation in operating time of a control device and the solenoid valve and variation enlargement due to a change with age. FIG. 5 shows a timing chart. In the case where there occurs an instantaneous power failure in the AC power source 320, a voltage of the AC power source is instantaneously interrupted as per reference character a1 and is instantaneously restored to 100% as per reference character a2 to reference character a5 by the timing of restoration of the AC power source, respectively. Whereas, a voltage of the DC power source 310 of the DC control section 31 slowly decreases, for example, for approximately 5 sec or so as per reference character dl to reference character d4 depending on a discharge state of a large capacity capacitor in which the DC power source includes in the inside thereof. The DC power source slowly restores as per reference character d5 to reference character d8 in synchronization with the timing of restoration of the AC power source, respectively. A restore voltage d and a release voltage c are set in the DC power source. The low flow rate alarm signal is normally in an OFF (Low) state. In a state where a decreased DC voltage is equal to or more than the release voltage c of the control device, the flow path abnormality diagnosis block 311 starts a detection of a duration time of a low flow rate state at a timing e1 at which the AC power source is interrupted. The flow path abnormality diagnosis block 311 according to this embodiment includes control logics of the input interruption (block) of the low flow rate state and the self-holding reset of the low flow rate alarm signal, to operate upon receiving the instantaneous power failure restart signal g. In the case where there is not the control logic concerned, a low flow rate alarm e3 is transmitted to fail instantaneous power failure restart at a timing e2 at which the decreased DC voltage is equal to or more than the release voltage c of the control device and the low flow rate state exceeds a set duration time (for example, 3 sec). In this embodiment, the control logic concerned is provided; and thus, although the low flow rate alarm signal is temporarily outputted, a signal g1 (instantaneous power failure restart signal) is outputted when the AC power source is restored within a set time; the start conditions are established by the operation of the input interruption of the low flow rate state and the self-holding reset of the low flow rate alarm signal in the logic B surrounded by the dashed-dotted line; and the pump is automatically restarted after instantaneous power failure. In the case of reference character d6 to reference character d8 at which the DC voltage is restored after the DC voltage becomes equal to or less than the release voltage c, the pump is started and the flow rate is also restored; and therefore, the low flow rate alarm signal is not outputted. Incidentally, the duration time of the low flow rate state as the condition of the transmission of the low flow rate alarm is previously verified and is determined with a margin on the basis of a capacity of the pump and a volume of the flow path. Furthermore, the low flow rate alarm signal is not outputted at the conditions that the decreased DC voltage is equal to or more than the release voltage c and the low flow rate state does not exceed the set duration time; and therefore, a consideration is made to suppress the above-mentioned temporary low flow rate alarm signal by elongating the duration time of the low flow rate state than an upper limit value of an instantaneous power failure time condition, and a consideration is also made to restrict the duration time from the viewpoint of flow path protection. Similarly, the low pressure alarm signal is normally in an OFF state. The flow path abnormality diagnosis block 311 according to this embodiment includes control logics of the input interruption of the low pressure state and the self-holding reset of the low pressure alarm signal to operate upon receiving the instantaneous power failure restart signal g. In the flow path abnormality diagnosis block 311, if the pressure of the sampling gas is vibrated to occur a decrease in pressure in synchronization with the timing of the pump start of reference character f1 in the state where the decreased DC voltage is equal to or more than the release voltage c, a detection of the duration time of the low pressure state is started. If the decreased DC voltage is equal to or more than the release voltage c and the low pressure state exceeds the set duration time (for example, 3 sec), the low pressure alarm is transmitted to fail instantaneous power failure automatic restart as per reference character f2 when there is not the control logic concerned. According to this embodiment, the control logic concerned is provided; and thus, the low pressure alarm is not transmitted and the pump is automatically restarted after instantaneous power failure. The sampling solenoid valve 22 and the exhaust solenoid valve 28 are in an open state at power source ON. By a power failure of the AC power source, the sampling solenoid valve 22 and the exhaust solenoid valve 28 are instantaneously changed from open to close as per reference character h1 and reference character i1, respectively. At this time, the pump is instantaneously stopped as per reference character j1. Upon restoration of the AC power source, when signals g1 to g3 (instantaneous power failure restart signal) are respectively outputted, the sampling solenoid valve 22 is changed in state from close to open as per reference character h2 to reference character h5, respectively. Similarly, the exhaust solenoid valve 28 is changed in state from close to open as per reference character i2 to reference character i5, respectively. Similarly, the pump 272 is changed in state from stop to operation as per reference character j2 to reference character j5, respectively, with a delay of a set time after the open of the exhaust solenoid valve 28 (or the sampling solenoid valve 22). It is preferable that, for example, a thermal flow sensor composed of a heater and a temperature sensor is applied as the flow sensor 25; and its flow rate signal is inputted to the sequencer or the like to measure a flow rate. The thermal flow sensor detects the flow rate from the relationship between the flow rate and a gas contact temperature of the temperature sensor. Furthermore, the flow rate may be measured by using an area type flowmeter with a reed switch and the low flow rate alarm signal may be outputted by contacts of the reed switch. In this regard, however, there exists a reed switch whose dead band is 20 to 30% of a full scale. If the low flow rate alarm is once transmitted by a large pulsation of the sampling gas, an alarm state cannot be automatically reset in a state where the filter is clogged and the flow rate is decreased from an initial value even when the pump is restarted to restore the flow rate. It becomes a failure factor of instantaneous power failure automatic restart; and accordingly, the pulsation of the sampling gas needs to be damped by providing an orifice or the like just before/behind the flowmeter with the reed switch. As described above, even when there occurs an instantaneous power failure of the AC power source due to an accident or the like of a plant power source system, and if its power failure time is within a predetermined time, the radiation monitor according to Embodiment 1 has a function to make the pump automatically restart when the power source is restored. The instantaneous power failure restart control block 322 that is operated by the AC power source detects the instantaneous power failure of the AC power source and outputs the instantaneous power failure restart signal having a set time width if the instantaneous power failure time is within the set time. The flow path abnormality diagnosis block 311 interrupts (blocks) an input of a flow rate abnormality state and resets self-holding of the low flow rate alarm by the instantaneous power failure restart signal; and thus, even when hunting of flow rate and pressure occurs by disturbance of the flow of the sampling gas in instantaneous power failure restart, the flow path abnormality diagnosis block 311 establishes the start conditions so as not to obstruct instantaneous power failure restart and the pump/solenoid valve AC control block 321 is controlled to restart the pump and to restore to a driving state just before the instantaneous power failure. Furthermore, the pump/solenoid valve DC control block 312 continues a state where the sampling solenoid valve 22 and the exhaust solenoid valve 28 are opened at power source OFF in any of just before the instantaneous power failure, during the instantaneous power failure, and in restoring the power source by the operation of the control logic pertaining to a pump start delay and the control logic pertaining to a solenoid valve close delay. Large disturbance is not made to occur in the flow of the sampling gas in the instantaneous power failure restart; and therefore, there can be supplied the radiation monitor which is highly reliable from the viewpoint of continuing the sampling with priority in the instantaneous power failure restart. There applied the solenoid valve which operates to be opened at power source OFF in the normal sampling operation. If insulation of the coil is deteriorated by self-heating or if an incoming foreign substance sticks in the inside of the solenoid valve, the stuck substance changes in quality and has viscosity under a high temperature due to heat generation of the coil; and accordingly, an operational failure of the solenoid valve is brought about. A factor in which such an operational failure of the solenoid valve is brought about is essentially eliminated; and therefore, a failure rate of the solenoid valve is dramatically decreased. Furthermore, sampling operation can be continued also with respect to unexpected coil disconnection of the solenoid valve. Reliable measurement management with no miss can be performed also with respect to a temporary release of radioactive gaseous waste in an accident in nuclear reactor facilities (breakage in radioactive gaseous waste treatment facilities, a fall of fuel assembly, and the like); and therefore, an advantageous effect is exhibited that reliability of the radiation monitor is considerably improved from the view point of release control of radioactive materials. The pump start can be smoothly achieved by the control logic pertaining to the pump start delay and the control logic pertaining to the solenoid valve close delay; and therefore, an advantageous effect is exhibited that a pump load at start is reduced and thus reliability can be improved. In the logic C surrounded by the dashed-dotted line (see FIG. 2) according to Embodiment 1, the solenoid valve of the flow path selected by the pump start signal c1 of the pump/solenoid valve DC control block 312 is operated from close to open and then the pump is started with the time delay of the set time. FIG. 6 shows control logic of a control unit 3 according to Embodiment 2. The control logic according to this embodiment is provided with logic D surrounded by a dashed-dotted line (pump start delay means). When an AC power source is supplied and a condition in which a pump start signal c1 is outputted is established, as in Embodiment 1, a pump is started with a delay with respect to a solenoid valve of a flow path selected by the pump start signal c1. In the radiation monitor according to Embodiment 1, when the instantaneous power failure time of the AC power source is short, the AC power source is restored from a state where the pump/solenoid valve DC control block 312 continuously outputs the pump start signal c1. Whereas, a radiation monitor according to this embodiment is provided with the logic D; and thus, the pump is started after the solenoid valve is opened including even the case where an instantaneous power failure time of the AC power source is short. Therefore, reliability of instantaneous power failure automatic restart is further improved with respect to the simultaneous occurrence of an open of the solenoid valve and a start of the pump. Furthermore, in the case of using an area type flowmeter with a reed switch, the inner diameter of an orifice to be installed for dumping can be broadened; and therefore, an advantageous effect is exhibited that a load of the pump becomes lighter. A solenoid valve is incused with an arrow on a main body thereof, the arrow representing a flow direction. The solenoid valve is generally mounted in a flow path so that the arrow corresponds to the flow direction of a sampling gas. In Embodiment 3, as shown in FIG. 7, an exhaust solenoid valve 28 is mounted in a flow path so that the direction of an arrow 28a incused on a main body of the solenoid valve is opposite to the flow direction of a sampling gas, the arrow 28a showing a standard mounting direction. Therefore, the direction (pointed tip) of the arrow 28a points to the upstream side of the gas flow path. The exhaust solenoid valve 28 strengthens a closing action by the structure of a check valve in the solenoid valve when a positive pressure from the exhaust stack side is applied in a closed state of power source ON; on the contrary, the structure of the check valve acts such that the solenoid valve is reversed from the closed state of power source ON to an opened state of power source OFF and, when a pump is started, an internal valve is pushed up by its positive pressure to expedite a reverse operation from close to open. In the exhaust solenoid valve 28, a valve seat changes in quality to have adherence and an incoming foreign substance sticks to the valve seat or a plunger head or changes in quality to have adherence; and thus, there occurs a delay with age in the open operation in instantaneous power failure automatic restart. According to an installation method of the exhaust solenoid valve according to this embodiment, actualization of a latent inhibitory factor of instantaneous power failure automatic restart is suppressed by the furtherance action of the open operation and reliability of the instantaneous power failure automatic restart can be further improved. FIG. 8 shows the configuration of a radiation monitor according to Embodiment 4. A pump 272a and a pump 272b are installed in parallel. In place of the pump outlet valve for each pump (pump outlet valve 273a and pump outlet valve 273b) and the common exhaust solenoid valve (exhaust solenoid valve 28) in Embodiment 1, an exhaust solenoid valve (or pump outlet solenoid valve) for each outlet of a pump 274a, 274b is mounted. The pump outlet solenoid valve 274a (first exhaust solenoid valve) operates so as to be opened at power source OFF; and the pump outlet solenoid valve 274b (second exhaust solenoid valve) operates so as to be opened at power source ON. FIG. 9 shows logic of control operation in a sampling solenoid valve 22, a purge solenoid valve 24, the pump outlet solenoid valve 274a, and the pump outlet solenoid valve 274b. A pump selection signal and a pump start signal c1 are inputted to the control logic of the pump outlet solenoid valve 274a and the pump outlet solenoid valve 274b. The pump outlet solenoid valve 274a and the pump outlet solenoid valve 274b are opened in conjunction with a start of the selected pump. FIG. 10 represents a section of the pump outlet solenoid valve 274a and the pump outlet solenoid valve 274b. The pump outlet solenoid valve 274 strengthens a closing action by the structure of a check valve in the solenoid valve when a positive pressure is applied from the exhaust stack side in a closed state of power source OFF; on the contrary, the structure of the check valve acts such that the solenoid valve is reversed from the closed state of power source OFF to an opened state of power source ON and when the pump is started, an internal valve is pushed up by its positive pressure to expedite a reverse operation from close to open. As in Embodiment 3, actualization of a latent inhibitory factor of instantaneous power failure automatic restart is suppressed and reliability of the instantaneous power failure automatic restart can be further improved; and the solenoid valve that becomes a high temperature of approximately 100° C. in excitation is cooled by a flow of a sampling gas and the life of the solenoid valve can be prolonged. Furthermore, as compared to Embodiment 1, a configuration becomes simple and a reduction in cost can be achieved. Incidentally, the present invention can freely combine the respective embodiments and appropriately change and/or omit the respective embodiments, within the scope of the present invention. 1 Exhaust stack; 2 Sampling unit; 21a, 21b Filter system; 211a, 211b Filter inlet valve; 212a, 212b Sampling gas filter; 213a, 213b Filter outlet valve; 22 Sampling solenoid valve; 23 Purge filter; 24 Purge solenoid valve; 25 Flow sensor; 26 Pressure sensor; 27a, 27b Pump system; 271a, 271b Pump inlet valve; 272a, 272b Pump; 273a, 273b Pump outlet valve; 274a, 274b Pump outlet solenoid valve; 28 Exhaust solenoid valve; 29 Gas flow path; 3 Control unit; 31 DC control section; 311 Flow path abnormality diagnosis block; 312 Pump/solenoid valve DC control block; 32 AC control section; 321 Pump/solenoid valve AC control block; 322 Instantaneous power failure restart control block; 4 Detection unit; 5 Measurement unit; 100 Radiation monitor
047088460
abstract
This invention is directed to a fuel assembly for boiling water reactors incorporating an advanced type of "water rod."
abstract
A control module arrangement for a compressed air maintenance unit constructed like a battery and a compressed air maintenance unit, which has several maintenance modules in a row one after the other, wherein the control module arrangement has a bus interface for communicating with the maintenance modules via an internal bus of the maintenance unit. The control module arrangement has a diagnostic device for communicating with an input/output device of the maintenance unit, wherein the input/output device has a connector for connecting at least one diagnostic sensor separate from the maintenance unit and/or at least one pneumatic compressed air influencing actuator separate from the maintenance unit, wherein the control module arrangement can control the one or more compressed air influencing actuators via the input/output device and/or can receive diagnostic messages from the one or more separate diagnostic sensors.
claims
1. In an arrangement for the generation of EUV radiation based on a gas discharge plasma in which a cathode and an anode are arranged in a cylindrically symmetric manner and a pre-ionized work gas is supplied to the cathode end, comprising:an insulation areas being exclusively provided as a suitably shaped annular vacuum gap that is formed and sized depending on the product of gas pressure (p) and interelectrode distance (d) of the cathode and anode between surfaces that face one another outside a desired discharge region, in which plasma is generated for insulating the cathode and anode from one another for reliable suppression of electron arcing. 2. The arrangement according to claim 1, wherein a device for the pre-ionization of the work gas is provided inside the centrally arranged cathode. 3. The arrangement according to claim 2, wherein the anode is a ring electrode enclosing at least the cathode end with a close interelectrode distance (d) and forming a discharge chamber. 4. The arrangement according to claim 3, wherein a pre-ionization electrode with a projecting tubular insulator is arranged in a centrally symmetric manner inside the cathode and opens into a cavity of the cathode for pre-ionization of the work gas, wherein a surface sliding discharge can be generated at the insulator by a pre-ionization pulse between the pre-ionization electrode and the cathode so that the work gas which is ionized in this way flows out of the cavity via at least one through-channel at the cathode end into the discharge chamber, where it is converted into dense, hot plasma by a main discharge pulse. 5. The arrangement according to claim 4, wherein a through-channel is arranged coaxially and centrally. 6. The arrangement according to claim 4, wherein a plurality of uniformly distributed through-channels are directed along an outer conical surface concentrically through a common point on the axis of symmetry to an inner surface of the anode. 7. The arrangement according to claim 6, wherein the through-channels degenerate to form an annular gap. 8. The arrangement according to claim 4, wherein the cathode is provided at its end with a rounded electrode collar which projects into the interior of the anode that circles the discharge chamber, wherein the vacuum insulation areas located between the anode and cathode are protected against debris particles from the plasma and against electrode consumption by the electrode collar. 9. The arrangement according to claim 8, wherein the cathode end inside the electrode collar has a concave curvature and is the location where the dense, hot plasma is formed. 10. The arrangement according to claim 9, wherein a pocket hole is incorporated in the center of the concave curvature of the cathode. 11. The arrangement according to claim 4, wherein the cathode has a small cavity and long through-channels, wherein the through-channels are arranged coaxially and are shaped in such a way that, at the cathode end in the discharge chamber, primary electrically conducting ionization channels are directed through a common point on the axis of symmetry of the discharge chamber to a surface of the anode. 12. The arrangement according to claim 4, wherein the cathode has a large cavity and short through-channels, wherein the cavity extends into the vicinity of a concave cathode end, and the through-channels are arranged in such a way that primary electrically conducting ionization channels are directed from the ionized work gas flowing into the discharge chamber, through a common point on the axis of symmetry of the discharge chamber, to a surface of the anode. 13. The arrangement according to claim 4, wherein the surface discharge provided for the pre-ionization of the work gas is provided at the inner side of the insulator, and the pre-ionization electrode is shorter than the tubular insulator and is arranged with a central gas inlet inside the tubular insulator. 14. The arrangement according to claim 4, wherein the surface discharge used for the pre-ionization of the work gas is provided on the outer side of the insulator, and the pre-ionization electrode projecting into the cavity of the cathode is arranged with a central gas inlet and a tubular insulator located on the outer side. 15. The arrangement according to claim 14, wherein the cavity of the cathode is expanded in width and, in the shape of a spherical hood, is provided with short through-channels over a concave cathode end, wherein the through-channels are directed through a common point to the inner surface of the anode. 16. The arrangement according to claim 14, wherein the cavity of the cathode is shaped so as to taper conically toward the cathode end and is provided directly with the gas inlet and has a circular opening at the concave cathode end, wherein the pre-ionization electrode is inserted coaxially into this opening so that an annular gap is left open relative to the discharge chamber through which the work gas is directed in primary electrically conducting ionization channels in the shape of an outer cone surface through a common point on the axis of symmetry of the discharge chamber to an inner surface of the anode. 17. The arrangement according to claim 16, wherein the pre-ionization electrode has a pocket hole at its surface facing the discharge chamber in the axis of symmetry and has its own cooling channels. 18. The arrangement according to claim 14, wherein the cavity of the cathode tapers conically toward the cathode end and has a circular opening at the concave cathode end, the pre-ionization electrode being snugly inserted therein with inner and outer insulators, wherein the pre-ionization electrode has a plurality of gas inlets which are directed to the surface of the anode as through-channels through the inner and outer insulators via a common point on the axis of symmetry. 19. The arrangement according to claim 14, wherein an auxiliary electrode which is insulated from the cathode is inserted into the cavity of the cathode, wherein the auxiliary electrode has the cavity provided for the pre-ionization of the work gas, and the pre-ionization electrode with outer insulator is arranged so as to project into the cavity, and in that at least one corresponding through-channel is provided in the cathode and auxiliary electrode for the exit of the pre-ionized work gas into the discharge chamber. 20. The arrangement according to claim 19, wherein a plurality of through-channels are arranged in the auxiliary electrode and the cathode along an outer conical surface in order to form primary ionization channels from the cavity into the discharge chamber, wherein the through-channels are directed to an inner surface of the anode through a common point on the axis of symmetry of the discharge chamber. 21. The arrangement according to claim 19, wherein the auxiliary electrode is insulated from the cathode end by another cavity in which a voltage pulse for accelerating the ionized work gas can be applied additionally between the auxiliary electrode and the cathode. 22. The arrangement according to claim 1, wherein means for generating a magnetic field ({right arrow over (B)};{right arrow over (B)}1,{right arrow over (B)}2) are provided in order to increase the dielectric strength of the vacuum insulation, particularly with larger interelectrode distances (d) in the vacuum insulation space, wherein the flux lines of the magnetic field are oriented orthogonal to those of the electric field between the anode and cathode. 23. The arrangement according to claim 22, wherein concentric magnet rings are arranged on the inner side and outer side in the vacuum insulation space, the magnetic field being formed in radial direction therebetween, wherein a body is arranged toward the transition area in order to prevent inhomogeneities in the electric field between the anode and cathode. 24. The arrangement according to claim 22, wherein concentric magnet rings are arranged on the inner side and the outer side in the vacuum insulation space, around which are formed two opposed, circularly extending magnetic fields ({right arrow over (B)}1,{right arrow over (B)}2), wherein a body is arranged toward the transition area to prevent inhomogeneities in the electric field between the anode and cathode in the transition area. 25. The arrangement according to claim 22, wherein concentric magnet rings comprising a plurality of individual permanent magnets are arranged for generating the magnetic fields ({right arrow over (B)};{right arrow over (B)}1,{right arrow over (B)}2). 26. The arrangement according to claim 25, wherein the concentric magnet rings comprise a plurality of individual NdFeB magnets. 27. The arrangement according to claim 22, wherein concentric magnet rings comprising a plurality of individual electromagnets are arranged for generating the magnetic fields. 28. The arrangement according to claim 1, wherein a pre-ionization unit has through-channels to a gap-shaped transition area between the vacuum insulation space and discharge chamber, wherein the work gas that is pre-ionized in this way is introduced into the discharge chamber through the transition area of the vacuum insulation between the cathode and anode and is contracted by the main current pulse to form the hot, dense plasma. 29. The arrangement according to claim 1, wherein the gas inlet is arranged in an outer vacuum insulation space with a large interelectrode distance (d) between the cathode and anode, and the gas pressure (p) and interelectrode distance (d) are adjusted in such a way that the product of gas pressure (p) and interelectrode distance (d) for a work gas that is used exceeds a defined value in order to achieve a spontaneous ignition of the work gas in the annular vacuum insulation space. 30. The arrangement according to claim 29, wherein grooves or similar structures are incorporated in the outer vacuum insulation space in at least one of the oppositely located electrode surfaces of the cathode and anode to increase the interelectrode distance for the purpose of a local increase in the product of gas pressure (p) and interelectrode distance (d) and to initiate the spontaneous ignition in a plurality of primary ionization channels. 31. The arrangement according to claim 29, wherein the electrodes for the plasma-generating gas discharge, cathode and anode, are outfitted with cooling channels for cooling. 32. The arrangement according to claim 31, wherein additional auxiliary electrodes provided for pre-ionization of the work gas are provided with cooling channels. 33. The arrangement according to claim 21, wherein deionized water is used as coolant. 34. The arrangement according to claim 32,erein deionized water is used as coolant. 35. arrangement according to claim 1, wherein xenon, lithium vapor or tin vapor, or gaseous tin compounds are used as work gas.
claims
1. An electron beam apparatus comprising:means for applying an electron beam to a sample;means for macro-projecting electrons, which obtained information of the surface of said sample with application of said electron beam to said sample, to form an image on a detector; andmeans for synthesizing as an image said electrons made to form an image on the detector,wherein the shape of the irradiation area in which said electron beam is applied to said sample is approximately symmetric with respect to two axes orthogonal to the optical axis of said electron beam,the illuminance of said electron beam in said irradiation area is uniform,said means for applying an electron beam and said means for forming an image are an optical system comprised of an electrostatic lens,said means for synthesizing comprises means for multiplying said electrons, means for converting said electrons multiplied by said multiplying means into light, and a TDI sensor for converting light from said means for converting electrons into light into electric signals for images,the voltage of said electrostatic lens is adjusted to determine a pixel size on the surface of said sample for obtaining a desired magnification of macro-projection based on the pixel size of said TDI sensor,said means for synthesizing is provided with a vacuum column having a feed-through flange, andsaid electrical signals for images are supplied through said feed-through flange to a TDI camera disposed outside of the vacuum column. 2. An electron beam apparatus according to claim 1, further comprising:means for guiding electrons, which obtained information of the surface of said sample with application of the electron beam to said sample, to a detector; andmeans for synthesizing said electrons guided to the detector as an image,wherein the number of incident electrons required for obtaining an image of maximum luminance is 1000/pixel. 3. The electron beam apparatus according to claim 2, wherein the line frequency of said detector is 300 kHz to 1500 kHz, and said TDI sensor has a pixel number of 2048 to 4096, a tap number of 32 to 128, and a sensitivity of 1000 DN/(nJ/cm2) to 40000 DN/(nJ/cm2). 4. The electron beam apparatus according to claim 1, wherein said electrons are minor electrons reflected near the surface of said sample. 5. The electron beam apparatus according to claim 1, wherein the pixel size of said TDI sensor is equal to or smaller than a size that is twice as large as the size of an inspection object so that the inspection object existing on said sample can be found. 6. The electron beam apparatus according to claim 1, wherein the pixel size of said TDI sensor is a pixel size equal to or smaller than a value obtained by magnifying a design rule by a factor. 7. The electron beam apparatus according to claim 6, wherein said design rule shows a half pitch of wiring in the case where said sample is a memory, and shows a gate length in the case where said sample is a logic. 8. An electron beam apparatus comprising:means for applying an electron beam to a sample;means for macro-projecting electrons, which obtained information of the surface of said sample with application of said electron beam to said sample, to form an image on a detector; andmeans for synthesizing as an image said electrons made to form an image on the detector,wherein the illuminance of said electron beam in said irradiation area is uniform,said means for forming an image is an optical system comprised of an electrostatic lens,means for separating said electron beam from said electrons is a deflector using an electric field and a magnetic field,the center of the image formed by macro-projection on said detector and the center of said electrostatic lens are on a common axis,in a section between said deflector and said sample, said electron beam has said common axis as an optical axis, and said optical axis of said electron beam is approximately perpendicular to said sample,said means for synthesizing comprises means for converting light generated by said electrons to electrical signals for images and is provided within a vacuum column having a feed-through flange, andsaid electrical signals for images are supplied through said feed-through flange to a TDI camera disposed outside of the vacuum column. 9. The electron beam apparatus according to claim 8, wherein said electrons are mirror electrons reflected near the surface of said sample. 10. The electron beam apparatus according to claim 8, wherein said optical system comprises two pairs of objective lenses, two pairs of intermediate lenses and two pair of projection lenses, and reduces at least one of chromatic aberration, a spherical aberration and a coma aberration generated in relation to image formation. 11. The electron beam apparatus according to claim 8, wherein said electrons are guided to said detector without being deflected by said deflector. 12. The electron bean apparatus according to claim 8, wherein said electrons are made to form an image at the center of said deflector by said objective lens. 13. The electron beam apparatus according to claim 8, wherein energy when said electron bean, is applied to said sample is controlled.
claims
1. An X-ray projection-exposure apparatus, comprising: an X-ray source that produces an X-ray illumination beam; an X-ray illumination-optical system that receives the illumination beam from the X-ray source and directs the illumination beam onto a pattern-defining mask, wherein X-ray light reflected from an illuminated patterned area on the mask is a patterned beam; a mask stage for holding the mask; an X-ray projection-optical system that receives and directs the patterned beam so as to form an image on a resist-coated wafer of the illuminated patterned area, the projection-optical system comprising a respective optical column having a center axis, a radius (R) relative to the center axis, and an exposure-image field that is situated off-axis relative to the center axis; a wafer stage for holding the wafer; and a mark-position-detection system for detecting a position of a mark defined on either the wafer or wafer stage, the mark-position-detection system comprising a respective optical column having a radius (r) and a detection center that is displaced from the center axis of the projection-optical system by a distance greater than (R+r). 2. The X-ray projection-exposure apparatus of claim 1 , wherein the mark-position-detection system irradiates, on the mark, detection light that is visible, infrared, or ultraviolet and detects detection light that is reflected, scattered, or diffracted from the mark. claim 1 3. The X-ray projection-exposure apparatus of claim 1 , wherein the mark-position-detection system comprises (i) an optical system having a focal position, and (ii) a device for changing the focal position in response to a change in refractive index between the optical system and the mark. claim 1 4. An X-ray projection-exposure apparatus, comprising: an X-ray source that produces an X-ray illumination beam; an X-ray illumination-optical system that receives the illumination beam from the X-ray source and directs the illumination beam onto a pattern-defining mask, wherein X-ray light reflected from an illuminated patterned area on the mask is a patterned beam; a mask stage for holding the mask; an X-ray projection-optical system that receives and directs the patterned beam so as to form an image on a resist-coated wafer of the illuminated patterned area, the projection-optical system comprising a respective optical column having a center axis, a radius (R) relative to the center axis, and an exposure-image field having a center that is situated off-axis relative to the center axis on an image plane; a wafer stage for holding the wafer; and a mark-position-detection system for detecting a position of a mark defined on either the wafer or wafer stage, the mark-position-detection system comprising a respective optical column having a radius (r) and a detection center, wherein with respect to an x-y coordinate system, defined on the image plane by x- and y-axes having an origin at a point of intersection of the center axis with the image plane, the center of the exposure-image field is situated in a region (x less than 0), and the detection center is situated in a region (xxe2x89xa60) and is displaced from the center axis of the projection-optical system by a distance greater than (R+r). 5. The X-ray projection-exposure apparatus of claim 4 , wherein the detection center is situated either on the x-axis or on a line, parallel to the y-axis, passing through the center of the exposure-image field. claim 4 6. The X-ray projection-exposure apparatus of claim 4 , wherein the mark-position-detection system irradiates, on the mark, detection light that is visible, infrared, or ultraviolet and detects detection light that is reflected, scattered, or diffracted from the mark. claim 4 7. The X-ray projection-exposure apparatus of claim 4 , wherein the mark-position-detection system comprises (i) an optical system having a focal position, and (ii) a device for changing the focal position in response to a change in refractive index between the optical system and the mark. claim 4 8. A method for performing X-ray projection-exposure of a pattern, defined by a mask held on a mask stage, onto a wafer held on a wafer stage, the method comprising: irradiating a beam of X-rays from an X-ray source onto a patterned region of the mask to form a patterned beam carrying an image of the irradiated region of the mask; using an X-ray projection-optical system having a center axis and an image plane, and of which a respective optical column has a radius (R), projecting the image onto an exposure-image field in the image plane on a resist-coated wafer such that the exposure-image field is displaced laterally from the center axis; using a mark-position-detection system of which a respective optical column has a radius (r), detecting a position of a mark, defined either on the wafer or on the wafer stage, at a detection center of the mark-position-detection system, the detection center being displaced from the center axis by a distance greater than (R+r); and in response to a detection signal produced by the mark-position-detection system, driving the wafer stage so as to form a projection image of the pattern at a desired location on the resist-coated wafer. 9. The method of claim 8 , wherein, with respect to an x-y coordinate system defined on the image plane by x- and y-axes having an origin at a point of intersection of the center axis with the image plane, situating the center of the exposure-image field in a region (x less than 0) and situating the detection center in a region (xxe2x89xa60). claim 8 10. The method of claim 9 , further comprising: claim 9 measuring a distance between the origin of the x-y coordinate system and the center of the exposure-image field; and driving the wafer stage a distance determined from the measured distance. 11. The method of claim 9 , wherein the detection center is situated either on the x-axis or on a line, parallel to the y-axis, passing through the center of the exposure-image field. claim 9
058752234
abstract
A spacer for retaining and positioning elongated elements at one or a plurality of levels in a nuclear reactor fuel assembly comprises a grid structure of joined-together sleeve cells. A coolant is adapted to flow upwards through the fuel assembly. The majority of the sleeve cells are provided with an upstream edge with a waveform. The edge is waveformed in such a way that the upwardly flowing coolant first encounters a peak of the wave which is disposed between the joints of the sleeves and thereafter a valley of the wave which is disposed at the joints of the sleeves. The peaks are arranged closer to a center of the sleeve cell than the valleys. Between the peaks and valleys, oblique edges are formed.
051436914
description
Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is seen a boiling water nuclear reactor having a pressure vessel Pp, in which a reactor core with vertically oriented fuel assemblies BE is disposed. A steam outlet line DA leads to a steam turbine DT, which drives a generator G. Water condensed in a condenser C is delivered through a feed water pump P to a water input line WE of the pressure vessel. Unevaporated water in the fuel assemblies is also recirculated through a water cycle or circuit WC and a coolant pump WP. The fuel assemblies BE located in the pressure vessel contain vertically disposed rods ST shown in FIG. 2, which are held at the bottom in a base or base part Ft and at the top in a cap or head part K and are laterally surrounded by a water case, box or duct WK. The cap part K has outlet openings O for a water/steam mixture, which are connected through other non-illustrated components, such as for drying the steam, in a closed cycle or circuit with the steam turbine DT. Corresponding inlet openings on the base part cannot be seen. The fuel rods are fixed in the case by spacers AH, which extend transversely between the fuel rods. While FIG. 2 shows only one spacer, normally from 5 to 7 such spacers are disposed in succession in the case, at approximately equal intervals. A water channel or duct CAN for non-boiling water preferably extends longitudinally relative to the case and is connected to the cycle or circuit of the pumps P and WP through corresponding inlet openings in the base Ft and a corresponding outlet opening O' in the cap K. In the cross section through the water case WK shown in FIG. 3, the fuel assemblies are disposed in the meshes or mesh openings of a regular, rectangular grid that has 9.times.9 positions for the fuel rods ST. However, instead of a fuel rod, the center of the fuel assembly has a water channel or duct, which is a so-called "water rod" WS and in this instance is formed of a tubular inner wall in the case. In FIG. 4, the water case WK, for which a polygonal cross section has advantageously been selected, also has a square cross section. In this instance, however, a plurality of inner walls have been provided with corresponding water rods WS, WS'. In this case, only 9.times.9-5 positions for the fuel rods ST remain. A water channel CAN having the square cross section already shown in FIG. 2 has proved to be particularly advantageous. In FIG. 5, 9.times.9-9 fuel rods ST can be accommodated with the water channel CAN. FIG. 6 shows a different preferred embodiment, in which opposed walls of the case are joined to one another by inner walls, that are each parallel to case walls if a polygonal cross section is used. In the quadratic form of FIG. 6, the result is a cross-shaped structure of reinforcing inner walls VW. Such reinforcing inner walls VW allow the fuel assembly to have a high feed pressure for the water, with an increased flow speed which can thus lead to increased steam production, despite relatively thin case walls WK. In order to compensate for pressure differences in the various quadrants of the case, perforations or other openings may be provided in the reinforcing walls VW, which extend longitudinally over practically the entire length of the case. In the cross section of FIG. 7 as well, inner walls are provided in the interior of the case, but some of them form a water rod WS", which in this instance is relatively large, while some are constructed as reinforcing walls VW', which join the opposed case walls together through the water rod. In contrast to FIGS. 3-5, the water channel formed by the water rod WS" is not disposed strictly centrally within the case but rather is shifted somewhat to the side. FIG. 8 shows a central water channel CAN formed by some of the inner walls, which is joined to the case walls through another group of inner walls that serve as reinforcing walls VW', as in FIG. 7. FIG. 9 shows a longitudinal section of a wall of the fuel assembly case WK. An arrow Ss indicates a flow direction in which the water in the lower portion and a mixture of water vapor and water droplets in the upper portion of the fuel assembly flow along the lateral surface of the wall of the case WK facing toward the fuel rods. No evaporation takes place at the wall of the case WK, which protrudes out of the cooler lower portion of the fuel assembly into the upper, steam-carrying space of the fuel assembly and is cooled by the non-boiling water outside the fuel assembly. Instead, water creeps upward in the form of a film F. The spacer AH has a rib or web shown in FIG. 9 with a long side having an edge AK that is constructed as a flow tab or baffle and protrudes into the steam flow in such a way that droplets TR contained in the flow are diverted from their horizontal flow direction and spun into the direction of the fuel rods. The result is a partial separation of a droplet flow Tr from the water vapor flowing in the direction of an arrow Dp and more liquid water being supplied to the fuel rods. This separation action is increased if the spacers AH, or the edges AK of the ribs thereof which are formed into flow tabs or baffles, are preceded by flow trippers, as seen in the flow direction. As seen in FIG. 10, the profile on the inside of the case walls extends rectilinearly in an alignment Kk--Kk parallel to the flow direction, upstream and downstream of the flow trippers, as seen in the flow direction. The flow tripper of the prior art shown in FIG. 1? is constructed as a groove N which, as seen in succession in the flow direction, firstly includes a ramp or sloped surface machined into the case wall having a ramp alignment Rr, then enlarges in cross section, and finally ends in an edge Nk perpendicular to the flow direction. In order to ensure that the liquid film will in fact detach and be entrained as droplets by the coolant flow Ss, the loped surface with the alignment Rr must be sufficiently wide. Otherwise, the coolant flow in the region of the flow tripper would hardly be diverted at all from its normal longitudinal direction. In the least favorable case, the grooves would fill with liquid alone, and in the most favorable case, although droplets would form, they would soon be deposited on the wall again in the form of a film, since a speed component oriented into the interior of the case, or in other words aimed at the fuel rods, would hardly be imparted to them. Accordingly, in order to attain the improved cooling output sought, the grooves must be sufficiently wide and deep. However, although this is entirely desirable with a view toward widening the flow cross section and lessening the pressure drop for the coolant liquid, it is highly undesirable for the mechanical strength of the case. According to the invention, as shown in FIG. 11, the profile on the inner wall of the case is curved inward into the interior of the case in the vicinity of the flow tripper, thereby reducing the inner cross section of the case that is available for the coolant flow. However, the "front sloped surface" (that is, the side of the inwardly curved profile of the inner surface of the case that faces into the liquid flow) is constructed as an impact surface Pf that is perpendicular to the liquid flow. Even if this impact surface Pf that extends perpendicular to the longitudinal direction is relatively narrow, the coolant flow at that location causes detachment or breaking away of the film F, and the resultant droplets TR are given an increased speed component in the direction of an arrow Tr' at the impact surface Pf. While the steam is diverted in the direction of an arrow Dp' at the sloped surface of the profile facing away from the coolant flow, the droplets TR continue to be spun into the interior of the case, resulting in effective separation of steam and droplets at the flow tripper. Flow trippers of this kind are provided above all in the upper portion of the fuel assembly, where steam production occurs, or in other words approximately in the vicinity of the upper third of the fuel rods. It is unnecessary for the sloped surface that faces away to be as flat as is shown in FIG. 11. FIG. 12 shows that the sloped surface, which is constructed as the impact surface Pf, can extend around the entire inner cross section of the fuel assembly case WK. A remote, "rear" sloped surface Pb, at which the temporarily narrowed flow cross section widens again, may belly outward. It is merely advantageous for the transition between the two sloped surfaces to form an impact edge Pk that is rounded as little as possible and instead is sharp (for instance at a right angle or acute angle). This promotes detachment or separation of the flow and reinforces the perpendicular flow component Tr' of the droplets. The details of the construction of the flow tripper can be varied to meet the needs of economical manufacture. For instance, the fuel assembly case of FIG. 12 may be manufactured with trippers extending transversely to the alignment in the form of corresponding inwardly oriented bulges of a semi-finished part, and the impact surface Pf having the impact edge Pk can be made by subsequent mechanical retouching. It is also possible, for instance, to first make a case in which wall parts that are intended for the flow trippers have essentially constant thickness. The bulges are made by bending these wall parts, with the impact surfaces being made in them subsequently by removing the excess wall material from the sloped surfaces B facing into the coolant flow, as shown in FIG. 13. In a case having a square cross section and rounded corners, bumps (or dimples) DP created by bending may extend over the entire square cross section, which has proved to be advantageous. However, depending on the manufacturing process, the region of the rounded corners may be spared instead. The parts of the wall carrying the bumps have practically a constant thickness d in FIG. 13, which is reduced only on the side facing into the flow by the incorporation of the impact surface. This reduced wall thickness is virtually no impairment in terms of mechanical strength, i.e., in terms of accommodating problematic bulging of the case in response to a pressure difference between the interior and exterior of the case, because firstly even a slight removal of material causes turbulence in the liquid film and secondly the wall at these points already has increased mechanical strength because of the inwardly oriented bending. In the configuration of FIG. 14, additional wall material has been applied to the corresponding wall parts having the constant thickness d. This is most simply accomplished by welding on the additional wall material. In this case, the additional wall material itself is formed of welding material. Accordingly, in FIG. 14, transversely extending weld seams SN are applied to the inside of the case. The disturbing or undesirable sloped surfaces B are removed by mechanical machining, and the corresponding impact surfaces Pf are formed. In FIG. 15, reinforcing metal sheets WB are welded to the wall parts having a constant thickness as additional wall material, and the bulges are formed by a thickening of the reinforcing sheets. As shown in FIG. 16, ribs SG can also be welded perpendicularly to the wall, in other words perpendicularly to the alignment, as additional wall material. The additionally applied wall material also reinforces the case which has a wall thickness that can therefore be selected to be relatively small. In order to lend sufficient rigidity to the entire case despite such a wall thickness, the reinforcing sheets VW and VW' shown in FIG. 6-8 may be provided. In order to improve the neutron flow, the water and channels mentioned in conjunction with FIGS. 3-5 may also be advantageous. In this regard, inner walls that extend between the fuel rods and are parallel to the case wall may also be provided with impact surfaces extending perpendicularly to the flow direction. These additional impact surfaces on the inner walls may be constructed as shown in FIGS. 13-15. In the case of impact surfaces that protrude into the interior of the case and would reduce the flow cross section, if difficulties should arise because of an increased pressure drop and/or an increase in the space available, then flow trippers of the type shown in FIG. 10 may be provided for these inner surfaces. In each case, the flow trippers are at their most effective if they are disposed upstream of corresponding spacers, as seen in the flow direction and as seen in FIG. 11.