patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
062326139
abstract
An angular pumped and emitting capillary(APEC) discharge light source having a blocking electrode installed on the axis of the capillary just beyond the end of the capillary bore. Thus, the emitting region occurs in an angular fashion between the end of the capillary and the blocking electrode. The blocking electrode prevents debris generated within the capillary from being expelled onto collecting optics for the discharge source. A second version shapes the blocking electrode into a trap so that emitted debris will be collected within the trap. Alternatively, the trap can be a collector separate and apart from the electrodes of the light source. The capillary bore and electrode configuration allow for emissions to be enhanced by placing the electrode in front of the outlet to the capillary bore. A still another version has a discharge source without a capillary bore where gas flows through a first electrode to a space in front of a second electrode to generate a discharge therebetween so that debris is blocked and collected by the second electrode.
059600497
abstract
The operator of a nuclear steam supply system manually selects a lineup of either one, two, or three main feedwater pumps for normal reactor operation to generate power. This selection sets or resets a status latch for each pump, representative of intended pump operation. A system (RPCS) for implementing a step reduction in the power output of the reactor, includes an associated logic circuit which combines signals indicative of tripping of one or more pumps, with the pump status latches, to achieve the following outcomes: (a) with one pump selected, a trip of this one pump does not produce an RPCS trip demand signal (because the reactor will be tripped); (b) with two pumps selected, (i) a trip of one pump will produce an RPCS trip demand signal, whereas (ii) a trip of these two pumps will not produce an RPCS trip demand signal; (c) with three pumps selected, (i) a trip of one pump will not produce an RPCS trip demand signal (because the transient can be handled by the basic control system), (ii) a trip of two pumps will produce an RPCS trip demand signal, and (iii) a trip of three pumps will not produce an RPCS trip demand signal.
summary
summary
053393465
description
DEFINITIONS Plasma Source--A thermally-produced plasma for yielding x-ray radiation--generally pumped by a high power pulsed laser. PA0 Illumination Radiation--The delineating radiation as incident on and producing an PA0 Illumination Field on the mask, characterized by intensity, direction, divergence and spectral width. PA0 Divergence--As used by itself, the term refers to mask divergence, i.e., the largest angle about the axis of the cone of radiation as incident on the mask. In projection, the axis is generally a few degrees off normal incidence as required for reflection masking. The magnitude of divergence required in projection is that needed to reduce ringing at feature edges to the extent necessary for desired resolution and contrast. In full-field exposure, divergence should be similar at every illumination point. In scanning, some non-uniformity in the scanning direction may be averaged out. PA0 Condenser--Optical system for collecting radiation from the plasma source, for processing the radiation to produce a ringfield illumination field, and for illuminating the mask. PA0 Collecting Optics (or Collector)--The Optics within the condenser responsible for collecting the plasma-derived radiation. The collector has a focus. PA0 Processing Optics--Optics, in addition to the collecting optics, within the condenser for processing collected radiation for delivery to the mask. PA0 Imaging Optics--Optics following the condenser responsible for delivering mask-modulated radiation to the wafer, i.e. the camera optics. PA0 Camera Pupil--Real or virtual aperture which defines the position through which illumination radiation must enter the camera, of angular size defining the diffraction limit of the camera. Its physical size is that of an image of the real limiting aperture of the camera. PA0 Lens--The term is used in this description to define any optical element which causes x-ray radiation to converge or diverge. "Lenses", in x-ray systems, are generally reflecting--are sometimes referred to as "mirrors". contemplated lenses may be multi-faceted or may be non-faceted, i.e. continuous--e.g., of ellipsoidal or other curvature. The convergence or divergence is a result of action analogous to that of a transmission optical lens. PA0 Facet--Individual segment of a lens--either a separate element, or part of a monolithic structure, which, generally in concert with other facets, is responsible for convergence or divergence of the radiation. Individual facets may be planar or curved. PA0 Scatter Plate--Optical element for increasing divergence. Divergence may be in one or two dimensions. PA0 General--There is a continuing effort directed to development of x-ray plasma sources for pattern delineation at feature sizes .ltoreq.0.25 .mu.m. See, W. T. Silfvast, M. C. Richardson, H. Binder, A. Hanzo, V. Yanovsky, F. Jin, and J. Thorpe, "Laser-Produced Plasmas for Soft-X-ray Projection Lithography" J. Vac. Sci. Tech. B 106, Nov./Dec. 1992, pp. 3126-3133. By its nature, emission from a plasma source is omnidirectional. Device fabrication of this invention depends upon a condenser designed to capture this omnidirectional emission and to shape it to produce a high-aspect ratio illumination field for ringfield projection lithography. PA0 The Drawing--Detailed design and processing information is discussed in conjunction with the figures. DETAILED DESCRIPTION In addition to shaping, directing, and tailoring divergence, the condenser must filter the natural plasma spectrum of perhaps .lambda.=50 .ANG.-400 .ANG. to yield the favored ringfield wavelength range within a spectrum of .lambda.=100 .ANG.-200 .ANG. (at this time the preferred spectral range is .lambda.=125 .ANG.-140 .ANG.). Use of this "soft x-ray projection lithography" (SXPL) takes advantage of ability to make high reflectivity normal incidence mirrors. It also expedites use of glancing mirror optics, in permitting larger angles of incidence than those required for the "hard x-ray" used in proximity printing. Filtration, to yield the desired x-ray spectrum, will likely use multi-layer reflectors (MLR) operating on the Distributed Bragg Reflector (DBR) principle. In FIG. 1a YAG or excimer laser 11 emits a beam 12 which is focused by lens 13 to heat a spot 14 on target material 15, thereby yielding plasma ball 16 which emits x-rays 17. Radiation is emitted over an entire 180.degree. half sphere. FIG. 2 is a perspective view of a state-of-the-art system for using a plasma source for powering a projection camera. Plasma source 21 emits a half sphere of x-ray radiation shown as rays 22. An MLR 23 focuses radiation at focus 24 on mask 25. The illumination field is an image of the source. As depicted, the illumination field is a spot 24 incident on mask 25, where the radiation is either transmitted or reflected to be introduced into camera 26 by partially filling entrance pupil 27. For the proper pupil fill shown only a relatively small cone of radiation is collected, and radiation outside this cone is wasted. If the size of the condenser is increased in order to collect the relatively large angle radiation represented rays 28, the camera pupil is greatly overfilled as shown by field 29, produced by the condenser-emitted rays shown as broken lines. Energy is wasted and the image is degraded. Neither arrangement produces a ringfield illumination field. FIGS. 3 and 4 show an illustrative system for effectively producing the high-aspect ratio illumination field for ringfield projection. FIG. 3, an "elevational" view illustrates processing to deliver the short dimension of the high-aspect-ratio field. Plasma 31, produced by laser beam 30 emits x-rays 32 over a wide angle, near-half sphere, which is captured by lens facets 33. While not seen in this view, most or all of facets 33 are members of paired complementary facets, common to all collectors used in the inventive processing. An aperture can be placed in the beam path, either at position 91 or 92 in FIG. 3, (.about.93 or 94 in FIG. 4). The aperture can block part of the beam to produce greater uniformity in intensity on the mask, if needed. If ellipsoidal, the first focus may conveniently correspond with the source, and the second focus may correspond with the image. The curved facet surface may be of "Lopez" form. The Lopez mirror is explicity designed to produce a single focus for many-angled emission. Its use for the individual facets of the multi-faceted collector lens may be justified. Each of the facets may be viewed as having an effective height comparable to that of mirror 23 in FIG. 2 to produce a field dimension in the scanning direction which is the same as that of a spot 24. Each of the facets focuses an image of source 31 as image 34 on lens 35. Lens 35 is a many-faceted mirror which directs reflected radiation and overlaps individual beams at processing optic 36. Mirror 37, likely a single, continuous surface, concave lens, is a redirecting optic. The several images are brought to a common focus at or near mask 38 and are directed to enter camera pupil 39. Companion FIG. 4 shows illumination of the transverse (or long dimension) of the high-aspect-ratio ringfield. Laser plasma radiation from source 40 is collected by the array of ellipsoidal mirrors, here shown as paired facets 41a-41b. The collector focuses an image of the source to a series of spots on processing lens (mirror array) 42, with individual spots made incident on individual facets of lens 42. The facets of lens 42 are oriented such that the center ray of the reflected beam strikes the center of the processing lens 43 which is again a multi-faceted array in this example, but may be a continuous curved surface such as a cylindrical mirror or an ellipsoidal or toroidal mirror. The distance between the processing lens 42 and processing lens 43 is such that the processed radiation emitted by lens 42 covers the length of lens 43. Lens 43 in conjunction with lens 37 of FIG. 3, together, shape the beam to produce the proper arc shape illumination field 44 as incident on mask 45. The same optics introduce the convergence shown upon reflection from mask 45 to produce the desired fill of entrance pupil 46. The multi-faceted lens 50 shown in FIG. 5 is illustrative of optics serving the function of lens 42 of FIG. 4. Lens 50 is constituted of 8 facets 51 oriented such that incoming cones of radiation 52 are reflected as cones 54 toward the processing optics. The aspect-ratio of facets 51 may approximate that of the desired illumination field--image dimension 53 corresponding with the long dimension of facet 51. In FIG. 6a, collector facets 60 are arranged to have a quadrupole distribution, to focus into two series of spots on multi-faceted lens 61 (e.g. corresponding with lens 42 of FIG. 4). In FIG. 6b, it is seen that facets 61 are split along their length so that each consists of two facets 62, oriented at different angles to result in radiation being reflected in two slightly different directions 63 in the vertical or scanning direction. Viewed from FIG. 6c, radiation upon reaching the pupil is split into four separate multi-image fields 64, 65, 66 and 67. FIGS. 7a-7d show various collector lens designs, always including paired complementary facets. Discrete compensating facets separate lens functions and facilitate design. Members of pairs, always producing equal and opposite intensity gradients, to, together, produce a composite image which is evenly illuminated in the compensating direction, are independently directed to suit the camera optics. Illumination in the compensating direction is at least .+-.15%. Careful facet placement results in .+-.5% or even .+-.1% or better. In FIG. 7a, the lens array 70 is constituted primarily of paired facets 71a-71b. Facets 71c, positioned at the extremities of the emission sphere, are not paired. Pairing, here to, is preferred in principle, but any intensity gradients may be small enough to be ignored. In general, single-faceted mirrors over the final 65.degree.-75.degree. of emission in this direction are tolerable. (Consistent with usual practice, angles are measured relative to the optic axis.) As in all arrangements, members of paired facets are symmetrically placed about source 75. In FIG. 7b, collector lens 72 is constituted of five pairs of facets 72a-72b. This arrangement may result in a somewhat smaller aspect-ratio slit than the 7-tier arrangement of FIG. 7a. The variations of FIGS. 7c and 7d are trivial. For the four-tier arrangement of facets 73a-73b, the horizontal center line of spot source 75 is naturally located at the intercept of two pairs. It is of little importance that source-to-facet spacing is different in the two dimensions--it is desirable only that each dimension spacing by symmetrical. Collector lens 74 is a three-tier array of paired facets 74a-74b. Illustrative facet aspect-ratio may be suited to a similarly shaped illumination field. FIG. 7e is an elevational (or side) view of the arrangement of FIG. 7b, showing stacked facets 72a. The facet arrangements shown are based on minimal facet count. The inventive requirements may be met by higher count arrangements. As an example, lateral faceting may involve four rather than two facets, providing that inner and outer pairs produce the required evenly-illuminated composite field image. Should there be reason to do it, it is required only that the entire lateral set produce such a field image (so that neither the inner nor the outer pair is completely self-compensating). FIGS. 8a and 8b are plan and vertical views, respectively, showing a three-lens condenser system used as the basis for Example 1. Collector includes five paired facets of the arrangement shown in FIG. 7b. The facets are MLRs, consisting e.g. of 40 paired Mo-Si layers. The radiation passes through a window 88 that keeps dirt produced by the plasma from damaging the mirrors of the camera 86. The first processing lens 81, a grazing incidence multi-faceted mirror, directs the radiation to processing lens 82 which combines the functions of vertical processing lens 37 of FIG. 3, and horizontal processing lens 43 of FIG. 4. Processed radiation as leaving lens 82 produces arc-shaped illumination field 83 on mask 84, which in turn, creates an image of the arc on wafer 87 via reflection into camera pupil 85 of camera 86. Device Fabrication--Basic device fabrication is not otherwise altered. Reference may be made to a number of texts, e.g. Simon Sze, VLSI Technology, McGraw Hill, 1983. The following examples 1 and 2 are directed to the critical window level in MOS VLSI device fabrication. Example 1--Fabrication of a 256 mbit, 0.1 .mu.m design rule MOS device is illustrated by fabrication at the window level as follows: The apparatus of FIGS. 8a and 8b is provided with a plasma source of 500 watt emission consisting of a 500 watt YAG laser-pumped tin source. The collector lens of the arrangement of lens 80 consists of eight 35 mm.times.90 mm multi-layered facets, each containing 40 pairs of successive Mo-Si layers, to result in focused beams of .lambda.=135.+-.3 .ANG. of total power 2.5 watts. As received by wafer 87, the ringfield scanning line is of dimensions. 1 mm in the scanning direction and 25 mm as measured along the chord of the arcuate line. Example 2--The x-rays projection camera is of the family designed by Jewell. (See U.S. patent application Ser. No. 07/732,559, filed Jul. 16, 1991.) It has a numerical aperture of 0.1 and is capable of printing 0.1 micron lines. In the first applications it will be used only for the critical levels, e.g. the gate and the contact windows. Other printers, e.g., deep UV, will print other levels. In later models, when the numerical aperture has been increased to 0.2, it will print critical levels with 0.05 micron features and also many other levels--the other levels will have 0.1-0.15 micron features that are too small to be printed even by Deep UV. Suppose 1 watt strikes the mask of the gate level. Due to losses in the mirrors of the camera, a thin silicon window (0.3 .mu.m thick) between the wafer and the camera, only 75 .mu.m (or less, depending on how much of the mask is reflective) arrive on the wafer. The wafer has patterning from previous levels. Immediately before the lithography, the wafer was coated with a very thin oxide layer, a polysilicon conductor and on top, a thick oxide layer. An x-ray resist covers the whole wafer. The wafer is placed under the x-ray projector, aligned, and exposed. If the resist has a sensitivity of 15 mj/cm.sup.2, 5 cm.sup.2 will be exposed each second. The resist is developed, and, where there is no resist, the top oxide and polysilicon layers are removed by dry etching, leaving the very thin oxide layer on the bottom intact. Later an ion beam implants dopants through the thin oxide into the silicon, forming conductive layers that act as source and drain regions. The region of silicon under the polysilicon gate remains resistive, and will conduct only when a voltage is applied to the gate.
abstract
A modular nuclear reactor comprises a plurality of sections arranged in a pattern and a side reflector material surrounding the plurality of sections. Each section includes a tank comprising a front plate, a back plate, side plates, a top plate, and a bottom plate. A plurality of grid plates are located within the tank. Each grid plate comprises a plurality of apertures and is vertically separated from an adjacent grid plate. The tank further includes a plurality of fuel elements extending through each grid plate. A plurality of heat pipes extend through each grid plate, the top plate, and an upper reflector. Methods of forming the modular nuclear reactor are also disclosed.
claims
1. An optical axis adjusting mechanism for an X-ray lens to be implemented in an X-ray analytical instrument, comprising:an exit side adjusting mechanism for adjusting an exit side focal point of the X-ray lens to focus on an X-ray detector; andan entrance side adjusting mechanism for adjusting an entrance side focal point of the X-ray lens to focus on an analytical point of a sample,wherein the entrance side adjusting mechanism is disposed at a greater distance from the X-ray lens than a distance between the exit side adjusting mechanism and the X-ray lens. 2. The optical axis adjusting mechanism for an X-ray lens according to claim 1, whereinthe exit side adjusting mechanism includes a mechanism capable of translating the X-ray lens in parallel with two directions perpendicular to the optical axis of the X-ray lens. 3. The optical axis adjusting mechanism for an X-ray lens according to claim 2, whereinthe exit side adjusting mechanism includes a detachable section configured to allow at least a portion operated by an operator to be detached. 4. The optical axis adjusting mechanism for an X-ray lens according to claim 1, whereinthe exit side adjusting mechanism includes a mechanism capable of rotationally moving the X-ray lens around two axes passing through the entrance side focal point of the X-ray lens and perpendicular to the optical axis of the X-ray lens. 5. The optical axis adjusting mechanism for an X-ray lens according to claim 3, whereinthe exit side adjusting mechanism includes a detachable section configured to allow at least a portion operated by an operator to be detached. 6. The optical axis adjusting mechanism for an X-ray lens according to claim 1, whereinthe X-ray lens includes a holding mechanism for keeping the X-ray lens in a position adjusted by the exit side adjusting mechanism. 7. The optical axis adjusting mechanism for an X-ray lens according to claim 1, whereinthe X-ray detector is a superconducting X-ray detector mounted on a refrigerator,the entrance side adjusting mechanism is disposed adjacent to the refrigerator, andthe exit side adjusting mechanism is movable integrally with the refrigerator. 8. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism includes a mechanism capable of translating the refrigerator in parallel with two directions traversing the optical axis of the X-ray lens. 9. The optical axis adjusting mechanism for an X-ray lens according to claim 8, whereinthe two directions are substantially perpendicular to the optical axis of the X-ray lens. 10. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism includes a mechanism capable of translating the refrigerator in parallel with a horizontal direction perpendicular to the optical axis of the X-ray lens. 11. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism includes a mechanism capable of rotationally moving the refrigerator around each of two axes positioned differently from the optical axis of the X-ray lens and passing through one of the refrigerator and an area adjacent to the refrigerator. 12. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism includes:a mechanism capable of rotationally moving the refrigerator around a rotational axis positioned differently from the optical axis of the X-ray lens and passing through one of the refrigerator and an area adjacent to the refrigerator; andthe rotational axis of the mechanism rotationally moving the refrigerator is substantially perpendicular to the ground. 13. The optical axis adjusting mechanism for an X-ray lens according to claim 12, whereinthe entrance side adjusting mechanism moves the entrance side focal point of the X-ray lens approximately parallel to a direction substantially perpendicular to the optical axis of the X-ray lens by the rotational movement around the rotational axis. 14. The optical axis adjusting mechanism for an X-ray lens according to claim 12, whereinthe entrance side adjusting mechanism includes a mechanism capable of moving the entrance side focal point of the X-ray lens integrally with the refrigerator in a horizontal direction. 15. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism includes:a mechanism capable of translating the entrance side focal point of the X-ray lens integrally with the refrigerator in parallel with a direction traversing the optical axis of the X-ray lens; anda mechanism capable of rotationally moving the entrance side focal point of the X-ray lens integrally with the refrigerator around an axis positioned differently from the optical axis of the X-ray lens. 16. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism is capable of adjusting the entrance side focal point of the X-ray lens, while firmly connecting a stage mounting the entrance side adjusting mechanism thereon with an analytical vessel containing the sample, an excitation source and a detector, and then inserting the X-ray lens in the analytical vessel. 17. The optical axis adjusting mechanism for an X-ray lens according to claim 7, whereinthe entrance side adjusting mechanism is capable of adjusting the entrance side focal point of the X-ray lens, while connecting the refrigerator with a scanning electron microscope via a bellows, and firmly connecting a stage mounting the entrance side adjusting mechanism thereon to the scanning electron microscope, and then inserting the X-ray lens in a vacuum vessel of the scanning electron microscope. 18. An X-ray analytical instrument comprising the optical axis adjusting mechanism according to claim 1. 19. A method of adjusting an optical axis of an X-ray lens to be implemented in an X-ray analytical instrument, comprising:disposing an entrance side adjusting mechanism for adjusting an entrance side focal point of the X-ray lens to focus on an analytical point of a sample at a greater distance from the X-ray lens than a distance between an exit side adjusting mechanism for adjusting an exit side focal point of the X-ray lens to focus on an X-ray detector and the X-ray lens;adjusting the exit side focal point of the X-ray lens to focus on the X-ray detector by the exit side adjusting mechanism; andadjusting the entrance side focal point of the X-ray lens to focus on the analytical point of the sample by the entrance side adjusting mechanism.
summary
042499950
abstract
In a fast reactor constituted by an open-topped main vessel containing liquid metal coolant and an inner vessel mounted within the main vessel, a transverse skew wall forming an inner vessel extension is associated with a baffle which extends above the skew wall. A space formed between the baffle and the skew wall and containing a practically static volume constitutes a thermal shield between the hot liquid metal located within the inner vessel above the baffle and the cold liquid metal located between the inner vessel and the main vessel beneath the skew wall.
summary
description
Calibration devices for optical scanners and methods for their use are provided. The subject devices are characterized by having a polymeric coating with at least one fluorescent agent, where the devices have minimal local and global nonuniformities. The subject device may also include one or more photobleached regions. In using the subject devices, a surface is illuminated with at least one light source, fluorescence data is obtained from the surface and the optical system is calibrated based upon the obtained fluorescence data. The subject invention finds use in a variety of optical scanners, including biopolymeric array optical scanners. Also provided are kits for use in verifying and calibrating optical scanners. Before the present invention is described, it is to be understood that this invention is not limited to particular embodiments described, as such may, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting, since the scope of the present invention will be limited only by the appended claims. Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limit of that range and any other stated or intervening value in that stated range is encompassed within the invention. The upper and lower limits of these smaller ranges may independently be included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either both of those included limits are also included in the invention. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Although any methods and materials similar or equivalent to those described herein can also be used in the practice or testing of the present invention, the preferred methods and materials are now described. All publications mentioned herein are incorporated herein by reference to disclose and describe the methods and/or materials in connection with which the publications are cited. It must be noted that as used herein and in the appended claims, the singular forms xe2x80x9caxe2x80x9d, xe2x80x9candxe2x80x9d, and xe2x80x9cthexe2x80x9d include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to xe2x80x9ca laserxe2x80x9d includes a plurality of such lasers and reference to xe2x80x9cthe arrayxe2x80x9d includes reference to one or more arrays and equivalents thereof known to those skilled in the art, and so forth. The publications discussed herein are provided solely for their disclosure prior to the filing date of the present application. Nothing herein is to be construed as an admission that the present invention is not entitled to antedate such publication by virtue of prior invention. Further, the dates of publication provided may be different from the actual publication dates which may need to be independently confirmed. As summarized above, calibration devices are provided for use in calibrating optical scanners, particularly biopolymeric array optical scanners (herein referred to as xe2x80x9coptical scannersxe2x80x9d) and more particularly biopolymeric array optical detectors, lenses, stages and mirrors. In further describing the subject invention, a review of optical scanners suitable for use with the subject invention will be presented first to provide a proper foundation for the invention, followed by a description of the subject calibration devices and methods of using the subject calibration devices to calibrate an optical scanner and scan an array. Optical Scanners A variety of optical scanners are known in the art, and particularly optical scanners for scanning arrays are known in the art. By array is meant a collection of separate probes or binding agents each arranged in a spatially defined and a physically addressable manner. In other words, a substrate having a plurality of probes or binding agents stably attached to, i.e., immobilized on, its surface, where the probes or binding agents may be spatially located across the surface of the substrate in any of a number of different patterns. xe2x80x9cProbesxe2x80x9d or xe2x80x9cbinding agentxe2x80x9d as used herein refers to any agent or biopolymer that is a member of a specific binding pair, where such agents include: polypeptides, e.g. proteins or fragments thereof; nucleic acids, e.g. oligonucleotides, polynucleotides, and the like, as well as other biomolecules, e.g., polysaccharides, etc. Typically, such array optical scanners include a light source for irradiating light upon the array surface and a light detector for subsequently measuring detectable light from the array surface, e.g., fluorescence emission, etc. Representative biopolymeric array optical scanners include, but are not limited to, those disclosed in U.S. Pat. Nos. 5,585,639 and 6,258,593, the disclosures of which are herein incorporated by reference and commercially available optical scanners such as the Microarray Scanner, model number G2565AA, manufactured by Agilent Technologies, Inc., Palo Alto, Calif., for example. As described above, the optical scanners suitable for use with the present invention generally include at least one light source for generating at least one coherent light beam at a particular wavelength, a scanning means for scanning the beam over a substrate surface such as an array surface and a light detector for detecting a light produced from the sample regions on the substrate surface, e.g., fluorescence. The at least one light source is typically a source of light that is capable of irradiating or illuminating the substrate surface, e.g., array surface, with a light that is in the portion of the electromagnetic spectrum to which a photomultiplier tube of the optical scanner is sensitive. Usually, the light is in the ultraviolet, visible or infrared regions, but may include other wavelengths as well if appropriate. Oftentimes, the substrate surface is illuminated by light over a range of wavelengths, where the wavelengths correspond to the fluorescence excitation wavelengths of one or more fluorescent agents, as will be described below, which are bound to the probes or binding agents associated with the surface of the substrate. Where visible light is used, typically a wavelength from about 400 to 700 nm, usually from about 500 to 640 nm and more usually from about 550 to 590 nm is used to illuminate the array surface. Oftentimes, at least two sources of light or two wavelengths are used to illuminate the surface of the substrate. For example, a dual laser scanner may be used, where such a dual laser scanner may include a first laser capable of emitting light in the wavelength from about 570 to 490 nm and a second laser capable of emitting light in the wavelength from about 780 to 620 nm. Any convenient light source may be employed, where suitable light sources include, light emitting diodes, laser diodes, filtered lamps, and the like, where laser light sources are of particular interest and include dye lasers, titanium sapphire lasers, Nd:YAG lasers, argon lasers and any other suitable lasers. More particularly, SHG-YAG lasers and HeNe lasers are typically used as light sources in array optical scanners. The light source(s) oftentimes also includes a scan lens system for focusing the illuminating light to a desired size illumination area on the array, such as described in Smith, W. J., Modern Lens Design, McGraw Hill, p. 413. The light source usually generates a light beam with a width that ranges from about 1 to 200 microns at the focus, usually about 2 to 20 microns and more usually about 5 to 8 microns at the focus. Usually a scanning means is associated with the light source to scan or raster the light beam in one or more directions over a substrate surface. A suitable scanning means includes, but is not limited to, a mirror, e.g., a scanner mirror as is known in the art, under the control of a motor, such as a galvo-scanner motor also commonly known in the art. The scanning means is usually capable of moving the light beam over a surface having a length from about 4 to 200 mm, usually from about 2 to 150 mm and more usually from about 4 to 125 mm and a width from about 4 to 200 mm, usually from about 4 to 120 mm and more usually from about 4 to 80 mm, for example a 25 mm by 75 mm array or a 22 mm by 22 mm array, as are known in the art. The scan time for a two color, simultaneous scan of a 25 mm by 75 mm array surface usually ranges from about 4 minutes to about 18 minutes, usually from about 6 minutes to about 12 minutes and more usually from about 6 minutes to about 8 minutes. The optics of the scanner also includes a suitable detector that is capable of detecting light, e.g., fluorescently emitted light, from the substrate, usually in the visible wavelength range, as described above. Any convenient detector may be used, where suitable detectors include, but are not limited to, photodiodes, photomultipliers, photodetectors, phototransistors and the like. An imaging lens system may be associated with the detector, where such a system is designed to image light emitted from the substrate surface, in response to the light source, in an imaging plane alignable with the detector. The imaging system may also include a filter for selectively blocking illumination beam light reflected from the substrate surface. A microprocessor, operatively connected at least to the scanner motor, controls the movement and position of the mirror and the detector to receive digitized or analog detector signals related to light emission levels measured by the detector. In a typical scanning operation, the one or more illumination beams are scanned across the array surface, oftentimes simultaneously, exciting fluorescent light emission in each region of each scanned linear array where fluorescently labeled analyte is bound. The emitted light is imaged onto the detector and the intensity of such light emission is measured. The measured intensity associated with each region of the array is recorded and stored with the associated region. After an array has been completely scanned, an output map may be generated, typically automatically by the scanner, which shows the light intensity associated with each region in the array. The output may also include the identity of the molecular species at which fluorescence signal was observed or analyte sequencing information. Calibration Devices As noted above, the invention provides devices used for calibrating an optical scanner, such as a biopolymeric array scanner as described above. More particularly, the invention provides devices used to calibrate the optical system""s scale factor (i.e., the sensitivity of the system""s optical detector), focus position (i.e., the distance between the system""s stage and lens(es), dynamic focus (i.e., the rate of speed the stage travels), the scanner mirror and to verify the system""s jitter. In general, the subject calibration devices include a substrate and a polymeric layer thereon, usually a single polymeric layer, but in certain embodiments is a plurality of layers, where the polymeric layer includes one or more fluorescent agents. The subject calibration devices may also include at least one region in the polymeric layer that is absent the fluorescent agent and in certain embodiments, the at least one region absent fluorescent agent is photobleached, as will be described in greater detail below. A variety of substrates, upon which the polymer layer is deposited, may be used with the invention, and the size and shape of the substrate and substrate surfaces, and the substrate material, will necessarily vary according to the particular optical scanner with which it is to be used. Substrates may be flexible or rigid. By flexible is meant that the support is capable of being bent, folded or similarly manipulated without breakage. Examples of solid materials which are flexible solid supports with respect to the present invention include membranes, flexible plastic films, and the like. By rigid is meant that the support does not readily bend, i.e. the support is inflexible. Both flexible and rigid substrates must provide physical support and structure for biopolymeric array fabrication thereon. The substrates may take a variety of configurations ranging from simple to complex. Thus, the substrate may have an overall slide or plate configuration, such as a rectangular, square or disc configuration. In many embodiments of the subject invention, the substrate will have a rectangular cross-sectional shape, having a length of from about 4 mm to 200 mm, usually from about 4 to 150 mm and more usually from about 4 to 125 mm and a width of from about 4 mm to 200 mm, usually from about 4 mm to 120 mm and more usually from about 4 mm to 80 mm, and a thickness of from about 0.01 mm to 5.0 mm, usually from about 0.1 mm to 2 mm and more usually from about 0.2 to 1 mm. The above dimensions are, of course, exemplary only and may vary as required. The substrates may be fabricated from a variety of materials. In many situations, a suitable substrate material will be transparent to visible and/or UV and/or infrared light. For flexible substrates, materials of interest include, for example, nylon, nitrocellulose, polypropylene, polyester films, such as polyethylene terephthalate, polymethyl methacrylate or other acrylics, polyvinyl chloride or other vinyl resin, and the like. Various plasticizers and modifiers may be used with polymeric substrate materials to achieve selected flexibility characteristics. For rigid substrates, specific materials of interest include: silicon; glass; rigid plastics, e.g. polytetrafluoroethylene, polypropylene, polystyrene, polycarbonate, and blends thereof, and the like; metals, e.g. gold, platinum, and the like; etc. As described above, at least one polymeric layer, usually a single polymeric layer such as a thin monolayer (or in certain embodiments a plurality of such layers), having at least one fluorescent agent or moiety (i.e., in those embodiments having more than one layer each layer has at least one fluorescent agent) is layered or coated on a surface of the substrate, typically deposited over substantially the entire area of a surface of the substrate. (The terms xe2x80x9cfilmxe2x80x9d and xe2x80x9ccoatingxe2x80x9d herein mean a layer of polymeric material positioned in association with a surface. The term xe2x80x9clayerxe2x80x9d thus encompasses both xe2x80x9ccoatingxe2x80x9d and xe2x80x9cfilmxe2x80x9d.) A variety of polymers may be used, where such a polymer will typically be rigid, thermally stable, photo non-reactive, non-fluorescent, chemically resistant and substantially transparent across the wavelength region of interest. Representative materials suitable for use include, but are not limited to, acrylates such as polyacrylates, polymethyl-methacrylate, polyacrylamide, polyacrylic acid, epoxides such as polyglycidoxyether polyethylene oxide, polyprolyleneoxide, urethanes such as various polyurethanes, and may also include polycarbonates, polyolefins, polyetherketones, polyesters, polystyrenes, polyethylstyrene, polysiloxanes, and the like, and copolymers thereof. The polymer coating has a substantially uniform thickness, i.e., the thickness of the polymer layer does not vary significantly across its area, but rather is substantially constant. By significantly is meant that the deviation in the thickness across the area of the polymer layer is usually less than about 0.05% to about 20% and more usually less than about 0.1% to about 10%. More particularly, the thickness of the polymer layer usually ranges from about 0.25 microns to about 10 microns, usually from about 0.40 microns to about 7 microns and more usually from about 0.40 microns to about 1 micron with a deviation of less than about 0.05% to about 20% and more usually with a deviation of less than about 0.1% to about 10%. It will be apparent that using a confocal optical system enables use of such minimal polymer layer thicknesses. The polymer coating may be formed by any convenient method, including, but not limited to, draw coating, roller coating, electrocoating, dip coating, spin coating, spray coating, or any other suitable coating technique wherein a solution or suspension of the polymer is deposited on the substrate surface, where spin coating is of particular interest. Oftentimes, deposition of the polymer layer will be followed by drying via vacuum, forced air oven, convection oven, or other drying technique. As mentioned above, a feature of the polymer layer (i.e., each polymer layer if more than one layer used) is the presence of at least one fluorescent agent or moiety, where in many embodiments at least two fluorescent agents or more are used, for example three, four or more fluorescent agents may be employed. By fluorescent agent is meant any dye, pigment or the like capable of emitting radiation or fluorescence in response to radiation excitation thereof. Typically, the radiation or light absorbed and emitted from the fluorescent agent, i.e., the response radiation, (the wavelength of the response radiation) is chosen to be in the portion of the electromagnetic spectrum to which a photomultiplier tube of the optical scanner is sensitive. Usually, the light absorbed and emitted from the fluorescent agent is in the ultraviolet, visible or infrared regions, but may include other wavelengths as well if appropriate. The particular fluorescent agent(s) used may vary depending on a variety of factors, where such factors include the particular optical scanner used, the probe or binding agent bound to the scanned substrate surface such as a biopolymer array, the excitation and/or response wavelength, and the like. The fluorophoric moieties or fluorophores of the fluorescent agents, may be cyclic, or polycyclic, particularly polycyclic, aromatic compounds having at least two rings, usually at least three rings and not more than six rings, more usually not more than five rings, where at least two of the rings are fused and in certain embodiments at least three of the rings are fused, where usually not more than four of the rings are fused. The aromatic compounds may be carbocyclic or heterocyclic, particularly having from one to three, more usually one to two nitrogen atoms as heteroannular atoms. Other heteroannular atoms may include oxygen and sulfur (chalcogen). The rings may be substituted by a wide variety of substituents, which substituents may include alkyl groups of from one to six carbon atoms, usually from one to two carbon atoms, oxy, which includes hydroxy, alkoxy and carboxy ester, generally of from one to four carbon atoms, amino, including mono- and disubstituted amino, particularly mono- and dialkyl amino, of from 0 to 8, usually 0 to 6 carbon atoms, thio, particularly alkylthio from 1 to 4, usually 1 to 2 carbon atoms, sulfonate, including alkylsulfonate and sulfonic acid, cyano, non-oxo-carbonyl, such as carboxy and derivatives thereof, particularly carboxamide or carboxyalkyl, of from 1 to 8 or 1 to 6 carbon atoms, usually 2 to 6 carbon atoms and more usually 2 to 4 carbon atoms, oxo-carbonyl or acyl, generally from 1 to 4 carbon atoms, halo, particularly of atomic number 9 to 35, etc. Specific fluorescent agents of interest include at least one of, but are not limited to: xanthene dyes, e.g. fluorescein and rhodamine dyes, such as fluorescein isothiocyanate (FITC), 2-[ethylamino)-3-(ethylimino)-2-7-dimethyl-3H-xanthen-9-yl] benzoic acid ethyl ester monohydrochloride (R6G)(emits a response radiation in the wavelength that ranges from about 500 to 560 nm), 1, 1, 3, 3, 3xe2x80x2, 3xe2x80x2-Hexamethylindodicarbocyanine iodide (HIDC) (emits a response radiation in the wavelength that ranged from about 600 to 660 nm), 6-carboxyfluorescein (commonly known by the abbreviations FAM and F),6-carboxy-2=,4=,7=,4,7-hexachlorofluorescein (HEX), 6-carboxy-4xe2x80x2, 5xe2x80x2-dichloro-2xe2x80x2, 7xe2x80x2-dimethoxyfluorescein (JOE or J), N,N,Nxe2x80x2,Nxe2x80x2-tetramethyl-6-carboxyrhodamine (TAMRA or T), 6-carboxy-X-rhodamine (ROX or R), 5-carboxyrhodamine-6G (R6G5 or G5), 6-carboxyrhodamine-6G (R6G6 or G6), and rhodamine 110; cyanine dyes, e.g. Cy3, Cy5 and Cy7 dyes; coumarins, e.g umbelliferone; benzimide dyes, e.g. Hoechst 33258; phenanthridine dyes, e.g. Texas Red; ethidium dyes; acridine dyes; carbazole dyes; phenoxazine dyes; porphyrin dyes; polymethine dyes, e.g. cyanine dyes such as Cy3 (emits a response radiation in the wavelength that ranges from about 540 to 580 nm), Cy5 (emits a response radiation in the wavelength that ranges from about 640 to 680 nm), etc; BODIPY dyes and quinoline dyes. Specific fluorophores of interest include: Pyrene, Coumarin, Diethylaminocoumarin, FAM, Fluorescein Chlorotriazinyl, Fluorescein, R110, Eosin, JOE, R6G, HIDC, Tetramethylrhodamine, TAMRA, Lissamine, ROX, Napthofluorescein, Texas Red, Napthofluorescein, Cy3, and Cy5, etc. Where at least two or more are agents are used, any combination of suitable agents may be used, where particular combinations of interest include R6G, i.e., 2-[ethylamino)-3-(ethylimino)-2-7-dimethyl-3H-xanthen-9-yl] benzoic acid ethyl ester monohydrochloride and HIDC, i.e., 1, 1, 3, 3, 3xe2x80x2, 3xe2x80x2-Hexamethylindodicarbocyanine iodide; Cy3 (Indocarbocyanine) and Cy5 (Indodicarbocyanine); and other suitable combinations, where combinations of green and red dyes are of particular interest. A feature of the at least one fluorescent agent is that it is distributed substantially uniformly throughout the polymer. In other words, the at least one fluorescent agent is homogenously dispersed throughout the polymer such that the concentration of the fluorescent agent(s) is substantially constant throughout the polymer layer. For example, the at least one fluorescent agent is distributed throughout the polymer such that the ratio of % fluorescent agent to % polymer for any given area is substantially the same for all areas of the polymer layer. It will be apparent that if more than one fluorescent layer is used, all fluorescent agents employed will be distributed substantially uniformly throughout the polymer. Specifically, any variation in fluorescent agent distribution that is present typically does not exceed from about 1 ppm to 5000 ppm, usually does not exceed from about 100 ppm to 800 ppm and more usually does not exceed from about 150 ppm to 180 ppm, where such variation is determined by determining dye concentration prior to coating using fluorescent or absorption measurements employing typical laboratory instruments (e.g., Fluorimeter or UV/N spectrometer). The concentration of the fluorescent agent (i.e., the concentration of each fluorescent agent if there is more than one) may vary depending on the particular scanning detector to be calibrated, the type and/or number of fluorescent agents used, etc. However, typically, the final concentration of fluorescent agent will range from about 1 ppm to 5000 ppm, usually from about 100 to 500 ppm and more usually from about 150 to 200 ppm. It will be apparent that the fluorescent agent""s concentration is variable, depending on the final thickness of the polymeric coating, where such concentration is determined to provide approximately the same number of fluorescent molecule per unit area regardless of the coating thickness, e.g., a 50 micron film will have a 100 fold more fluorescent molecules than a film having a thickness of 0.5 microns. However, each fluorescent molecule""s concentration will be dependent on its efficiency, i.e., a dye with high quantum efficiency may have a lower concentration than a fluorescent molecule with a lower efficiency. In other words, the subject calibration devices have a consistent intensity in all wavelength ranges, rather than a consistent number of fluorophores. Another feature of the subject calibration devices is that the local and global fluorescence variations are minimal, i.e., the local and global nonuniformities are minimal. By local variations or nonuniformities is meant that the light emitted from each pixel in a certain area or region, (pixel size ranges from about 2 to 15 microns) i.e., the number of photons detected in each pixel of the calibration device is substantially the same or constant, where it will be obvious that the exact local and global variation or nonuniformity requirement of the intensities of light emitted may vary depending on a variety of factors such as the specific device to be calibrated and the like. In general, the local and global nonuniformities are minimized to a degree sufficient to enable calibration, as described below, of the particular optical scanner employing the subject device. In regards to local nonuniformities, in certain embodiments of the subject device the difference or deviation between the response radiation or light emitted from each pixel in a certain area of the subject device is typically less than about 5%, usually less than about 2.5% and more usually less than about 1%. Usually, the local nonuniformity is based upon a local area having about 5 to 10 pixels, usually about 7 to 9 pixels, where each pixel ranges in size from about 2 to 15 microns, usually from about 4 to 12 microns and usually from about 5 to 10 microns. As such, the response radiation or number of photons emitted from a first pixel is substantially the same as the number of photons emitted from each of five to ten substantially adjacent pixels. In other words, the quantity of light emitted from between about five to ten substantially adjacent pixels will have minimal variation or nonuniformty, i.e., the variation is typically be less than about 5%, usually less than about 2.5% and more usually less about 1%. The global variation or nonuniformity is similarly minimal. By global variation or nonuniformity is meant a statistically relevant value (mean, median, etc.) corresponding to all or substantially all of the individual local variations of the entire calibration device. As noted above, the exact global nonuniformity requirement may vary depending on a variety of factors. In certain embodiments, the global nonuniformity is typically less than about 5%, usually less than about 3.5% and more usually less than about 2.5%. In other words, the quantity of light emitted from each local area will be substantially the same as or similar to the quantity of light emitted from each other local area, i.e., the variation or nonuniformity is typically less than about 5%, usually less than about 3.5% and more usually less than about 2.5%. As described above, the calibration devices of the subject invention may also include at least at least one region in the polymeric layer that is absent fluorescent agent. By absent is meant that there is less than about 5% of the molar amount of fluorescent agent in active form (i.e., the molar amount of fluorescent agent that fluoresces), usually less than about 2% the molar amount of fluorescent agent in active form. The at least one region absent fluorescent agent may thus include photobleached regions and/or background regions, as will be described below. As mentioned, the subject devices may include one or more photobleached region or feature. In other words, a photobleached region is typically a region made of a material that includes a bleached fluorescent agent(s), where such bleaching reduces or attenuates the fluorescence of the fluorescent agent(s) by at least about 40% to 60%. For example, the at least one photobleached region or feature will usually be made of the same polymer material and fluorescent agent(s) used to produce the polymeric calibration layer, i.e., the fluorescently dispersed polymer layer described above. Generally, the calibration device will include a plurality of such photobleached regions or features positioned in predetermined locations on the surface of the device. For example, on a calibration device having a width of about 25 mm and a length of about 75 mm, about 1 to 5000 photobleached regions may be positioned in various locations, more typically about 200 to 750 photobleached regions may be positioned in various locations. Usually, a photobleached region has a size substantially equal to the size of about 1 to 3 pixels in at least one dimension on the device. More specifically, where the photobleached regions or features are rectangular, typically the length ranges from about 175 to 225 microns, usually from about 190 to 210 microns and the width typically ranges from about 5 to 15 microns, usually about 7 to 9 microns and, for an example having about 1000 features on a 25 mm by 75 mm calibration device, about 250 to 270 of these features are positioned horizontally and about 670 to 690 of these features are positioned vertically. The subject devices may also include one or more background areas or features, as mentioned above. The one or more background area is an area or region that is outside of the calibration area, i.e., an area that does not include fluorescent agents (whether photobleached or not), i.e., that is absent fluorescent agent. Usually, a background region will be a region of the calibration device off of the surface of the device, i.e., not on the surface of the device, e.g., one or more edges of the substrate of the calibration device, negative space such as air space, and the like. As summarized above, the subject invention also provides methods for calibrating an optical scanner. More specifically, methods are provided for calibrating an optical system associated with an optical scanner and in particular a biopolymeric array optical scanner. In general, a surface is illuminated with at least one light source, e.g., the surface of the calibration device described above. In other words, the polymeric layer having at least one fluorescent agent or moiety dispersed therethrough and having minimal local and global nonuniformities is illuminated with at least one light source and fluorescent data from the surface is obtained. Oftentimes, the calibration device is positioned on the support stage or the like such that the substrate side of the device (as distinguished from the polymeric coated side) is faced up. In other words, light is directed first through the substrate side of a subject calibration device. An optical system is then confirmed (in other words no adjustments are made) or the system is adjusted or calibrated based upon this obtained fluorescence data. By adjusted or calibrated is meant that one or more of the following is confirmed and/or adjusted: (1) scale factor (i.e., the sensitivity of the optical detector is adjusted), (2) the focus position (i.e., the distance between the stage and one or more lenses of the system is adjusted), (3) the dynamic focus (i.e., the rate of speed the stage travels is adjusted), (4) the scanner mirror (i.e., the synchronicity of the light beams is adjusted), and (5) the jitter, where each of these will be discussed in greater detail below. Thus, the first step in all of the subject methods for calibrating or adjusting certain optical components of an optical scanning system is to illuminate a surface with at least one light source, and more particularly irradiate a surface with a source of excitation radiation, where the surface includes at least one fluorescent agent dispersed therethrough and which has minimal local and global nonuniformities. In other words, a surface, such as the fluorescently-infused polymer layer of the calibration device having minimal local and global nonuniformities, as described above, is irradiated with one or more light beams having specific wavelengths, where the one or more light beams are used to excite the one or more fluorescent agents associated with the surface being illuminated. It will be understood that unless otherwise noted, the surface scanned according to the methods described below is a non-photobleached area. That is, for the detector, lens, stage and mirror calibration methods, the area scanned does not include photobleached regions, i.e., either the calibration device does not include photobleached regions or such photobleached areas are not scanned or the data therefrom is not used in the subject methods to calibrate the optical detector, lens, stage and mirror. However, as will be described below, for the subject methods relating to jitter verification, the area(s) scanned are photobleached areas. As mentioned above, in many embodiments, the light is directed through the substrate side of the calibration device first, i.e., light is directed through the substrate and then to the polymeric layer. More specifically, a calibration device is provided having a polymer coating having at least one fluorescent agent therein and minimal local and global fluorescence variations, i.e., the local and global nonuniformities are minimal, such as a calibration device described above. As noted above, by local variations or nonuniformities is meant that the light emitted from each pixel in a certain area or region, (pixel size ranges from about 2 to 15 microns) i.e., the number of photons detected in each pixel of the calibration device is substantially the same or constant. More specifically, the difference or deviation between the response radiation or light emitted of pixels of the subject device is typically less than about 5%, usually less than about 2.5% and more usually less than about 1%. Usually, the local variation is based upon a local area having about 5 to 10 pixels, usually about 7 to 9 pixels, where each pixel ranges in size from about 2 to 15 microns, usually from about 4 to 12 microns and usually from about 5 to 10 microns. As such, the response radiation or number of photons emitted from a first pixel is substantially the same as the number of photons emitted from each of five to ten substantially adjacent pixels. In other words, the quantity of light emitted from between about five to ten substantially adjacent pixels will have minimal variation, i.e., the variation is typically be less than about 5%, usually less than about 2.5% and more usually less about 1%. The global variation or nonuniformity is similarly minimal. As described above, by global variation or nonuniformity is meant a statistically relevant value (mean, median, etc.) corresponding to all or substantially all of the individual local variations of the entire calibration device. Typically, the global variation is less than about 5%, usually less than about 3.5% and more usually less than about 2.5%. In other words, the quantity of light emitted from each local area will be substantially the same as or similar to the quantity of light emitted from each other local area, i.e., the variation is typically less than about 5%, usually less than about 3.5% and more usually less than about 2.5%. Each light source will typically produces a coherent light beam, e.g., the light source will typically be a laser light source, and the like. More typically, the light sources will include two laser light sources or produce two different beams of light (i.e., beams of light of two different wavelengths, e.g., a red laser light source and a green laser light source. Typically, each light beam having an excitation wavelength that is within the ultraviolet, visible or infrared spectrum illuminates the surface of the calibration device described above. In general, the at least one light beam illuminates the surface with light of a selected wavelength, where the selected wavelength is usually at or near the absorption maximum of the particular fluorescent agent being illuminated or excited. Illuminating or exciting a fluorescent agent at such a wavelength produces the maximum number of photons emitted at the emission wavelength. In certain embodiments of the subject methods, light beams from at least two light sources are used, where the light beams from the various light sources are of different wavelengths, each source usually corresponding to fluorescent excitations of the different fluorescent agents being illuminated and excited. In other words, the wavelengths of the light beams are at or near the absorption maximum of the fluorescent agents illuminated. For example, light from a first light source illuminates the surface with light in a wavelength ranging from about 500 to 560 nm corresponding to the fluorescence excitation of about 500 to 560 nm of a first fluorescent agent, e.g., of 2-[ethylamino)-3-(ethylimino)-2-7-dimethyl-3H-xanthen-9-yl] benzoic acid ethyl ester monohydrochloride, and light from a second light source illuminates the surface with light in a wavelength ranging from about 600 to 660 nm corresponding to the fluorescence excitation of about 600 to 660 nm of a second fluorescent agent, e.g., 1, 1, 3, 3, 3xe2x80x2, 3xe2x80x2-Hexamethylindodicarbocyanine iodide. Where more than one light source is used, the light sources may illuminate the surface at the same or different time, but usually the light sources will illuminate the surface simultaneously. A feature of the subject methods is that substantially the entire surface (excluding the photobleached regions, if present, as mentioned above) is illuminated by the at least one light source. By substantially entire surface is meant that almost the total surface area is illuminated, where such an illumination area may be as great as about 70% of the entire surface area, usually as great as about 75% of the entire area and more usually as great as about 80% of the entire area is illuminated. In other words, usually one or more light beams are swept or rastered across a substrate surface, as opposed to simply illuminating one discrete region. For example, in those embodiments using a calibration device as described above, e.g., a 25 mm by 75 mm calibration device, one or more light beams will usually scan or raster over an area having a width ranging from about 10 to 30 mm, usually about 15 to 25 mm and a length ranging from about 50 to 70 mm, usually from about 55 to 65 mm. In those embodiments where the calibration device has dimensions of about 22 mm by 22 mm, one or more light beams will usually scan or sweep over an area having a width ranging from about 10 to 20 mm, usually about 15 to 20 mm and a length ranging from about 10 to 20 mm, usually from about 15 to 20 mm, where such illumination usually occurs in a predefined pattern, oftentimes in a linear pattern. The surface may be illuminated by more than one light source at the same or different times. In other words, a surface or a region of the surface may first be illuminated by a first light source and then subsequently illuminated by a second light source. Usually, a two color, simultaneous illumination or scan of a 25 mm by 75 mm surface usually is performed in about 5 to 10 minutes and more usually in about 7 to 9 minutes. Once substantially the entire surface has been excited by one or more light sources, the next step is to detect fluorescence from the surface. More specifically, data are acquired from the surface, where such data corresponds to the light emitted, i.e., the intensity of light emitted, from the at least one fluorescent agent associated with the surface. Thus, one or more fluorescent agents are excited by the illumination from the one or more light beams, where each fluorescent agent emits light of a certain wavelength, at a certain intensity. The intensity of light emitted from each pixel is detected and measured by an optical detector such as a photomultiplier tube (PMT) or the like, where the PMT generates a current proportional to the number of photons that reach it. The PMT typically generates a current ranging from about 500 nanoamps to 50 microamps within its range of operation, more usually from about 1 microamp to 10 microamps. Output from the detector is used to calibrate the detector, or make certain other optical system adjustments, as will be described below, where the adjustments may be made manually or automatically, for example by an operatively associated microprocessor. As mentioned above, each of the methods described below can be utilized separately or in any combination during the scanner optimization process, however they may be interrelated, as will be apparent to one of skill in the art. 1. Scale Factor Calibration As described above, data from the above described scanning method may be used to calibrate the scale factor of the optical system, i.e., used to verify the sensitivity of the optical detector of the system and, if necessary, calibrate or adjust the sensitivity of the detector. As such, following the above described scan of the calibration device, an empirical calibration value is calculated based upon the intensity of the signal, where such a calibration value is defined as the number of photons in a pixel to fluorophores per square micron. Thus, current corresponding to the intensity of light emitted per pixel is converted to digital counts and such counts are used to determine a calibration value for the respective optical detector. This empirically derived calibration value and corresponding digital signal are then compared to a reference calibration value/signal function. In other words, the empirically derived calibration value/signal is compared to a predetermined or reference value that is a function dependent upon the particular fluorescent agent used, the type of optical detector employed, the area of the pixel, and the like. The optical system""s gain is then adjusted in response to this comparison. In other words, the gain is adjusted to more closely approximate the reference calibration value. The values obtained from a single calibration device may be used to calibrate a plurality of optical systems or scanners in parallel. More specifically, a detector such as a photomultiplier tube is used to detect the intensity of the light emitted from the one or more fluorescent agents, where such intensity is in the form of a voltage measurement. Such intensity is relayed to a microprocessor, i.e., a microprocessor operatively associated with the optical scanner containing the detector, where such a microprocessor is under the control of a software program and carries out all of the steps necessary to determine if the detector is within specification or if it needs adjustment. The microprocessor may also performs the steps necessary to adjust the detector. The detector is calibrated or adjusted by altering the voltage of the detector, where the voltage determines the sensitivity of the detector. In other words, an empirical calibration value is determined according to the method described above, i.e., the signal from the PMT operated at a known voltage is obtained, and this empirical value is compared to a reference or standard value. If the voltage relating to the empirical calibration value is different, i.e., substantially or significantly differs, from the reference or predetermined voltage, the sensitivity or voltage of the detector is altered to change the response of the detector. For example, a typical photomultiplier response is shown in FIG. 1. FIG. 1 shows an x-y graph having photomultiplier sensitivity values or response (defined as photons per fluorophores, where the photons counted are typically normalized to fluorescent molecules as opposed to area) on the y-axis and voltage on the x-axis. A typical plot is represented by a line having an increasingly positive or ascending slope. Thus, a mathematical function described by this calibration curve value and corresponding voltage can be derived and which allows extrapolation of the proper response for the calibrated detector. The photomultiplier voltage, i.e., sensitivity, is adjusted if the signal from the photomultiplier is different from the optimum signal. The calibration is complete for the detector when the relationship between the extrapolated value and the real values are within a certain range, for example less than a certain predetermined percentage, such as 1%, etc. 2. Focus Position Calibration In addition to, or independent of, the above described methods for calibrating the scale factor of the system, methods for calibrating or adjusting the one or more scanning stages (i.e., the distance between the scanning stage and an optical lens) of an optical scanner are also provided so as to adjust the focus position of the laser(s) relative to the surface of a scanned object, i.e., adjust the stage position to optimize the intensity of the light detected, where such intensity may not correspond to the maximum of the fluorescence signal collected. FIG. 3 illustrates the subject method, whereby a laser beam is illuminated or directed through a lens to a focus position. Thus, in certain embodiments of the subject methods, the focus position of the optical system is evaluated and adjusted, if necessary. In other words, the depth of the focus of the illuminated light is verified and/or adjusted to an optimal focus position such that at such an optimal position or distance, the intensity of light from one or more channels, as measured by the detector, will be optimized and have the qualities necessary for an optimum scan, e.g., minimal noise in an optimum two color scan. It will be apparent that the device, i.e., the calibration device, used to check and/or adjust the focal position must have minimal local and global nonuniformities so that the intensities detected and measured are a function of the focal position, and not the variation in the scanned device, i.e., the area scanned must be able to provide a consistent signal. Thus, after the provision of a calibration device having a polymer coating with at least one fluorescent agent associated therewith and local nonuniformities of less than about 5%, usually less than about 2.5% and more usually less about 1% and global nonuniformities of less than about 5%, usually less than about 3.5% and more usually less than about 2.5%, the calibration device is scanned with at least one light source, as described above, at various depths. That is, the light beam will scan the surface of the calibration device, where a number of different focal positions are used to scan the surface. As will be apparent, the scanned area must be of sufficient proportion to enable acquisition of consistent signal. More specifically, scanning a small, localized area over a significant period of time, i.e., an amount of time necessary to scan at various focus depths, may result in the fluorescence fading in a particular scanned area, thus yielding unreliable signals. As such, an area of the calibration device of about 5 mm to about 20 mm, usually about 10 mm to about 100 mm and more usually about 20 mm to about 60 mm is scanned by at least one light source, usually two light sources such as a red laser and a green laser, as described above, where such a scan typically takes from about 4 minutes to about 18 minutes, usually from about 6 minutes to about 12 minutes and more usually from about 6 minutes to about 8 minutes. After the area has been scanned at various depths, i.e., various focal positions, the focal position providing the optimum signal is selected, and the distance between the optical or focusing lens and the scanning stage is calibrated or adjusted to provide the optimum focal depth, where such a focal distance is then stored in the optical system""s memory, i.e., stored in a microprocessor operatively associated with the optical system, such that the optical system will scan subsequent devices at this focal distance. In other words, an optimal focus depth is determined based upon the above described scan and the position of the fluorescent coated surface relative to the scanning lenses of the optical system, i.e., the distance between the stage and lens(es), is then adjusted by adjusting the position of the scanning stage to correspond to this optimal configuration to provide the optimum scanning depth for subsequent scans, e.g., subsequent biopolymeric array scans. 3. Dynamic Focus Calibration Methods are also provided for verifying and/or calibrating or tuning the dynamic focus of the scanning light beams, i.e., adjusting the rate at which the optical stage travels, of an optical scanning device, where such a stage is configured to provide a platform or area onto which a scanned object such a biopolymeric array may be placed during a scanning procedure. The stage aligns the scanned object in a certain position to correspond with the scanning light beam(s). That is, in use, the stage is moved to align an optical system or scanning plane to correspond to an area of the scanned object to be scanned such as a certain linear array area on a substrate. Thus, it will be apparent that the focus of the system is dependant upon certain stage parameters associated with the optical stage such as the rate of movement of the stage, etc. For example, if the stage is moved too quickly or is out of alignment, the scan will be out of focus. As mentioned above, the first step in the subject methods for verifying and/or adjusting the rate of speed of a stage of an optical system is to provide a device having minimal local and global nonuniformities, as described above. After the provision of the above described calibration device, a series of horizontal scan lines or planes are scanned by at least one light source, typically two, as noted above. Next, the oscillation of the detected intensity image of these scanned horizontal planes is measured. More specifically, the oscillation over about 75 to 100 pixels, usually over about 90 to 110 pixels and more usually over about 95 to 105 pixels is measured. If the oscillation is less than about 0.15%, usually if it is less than about 0.1%, no adjustments to the rate of speed of the stage is made. If the oscillation is greater than about 0.15%, usually if it is greater than about 0.1%, the rate of speed of the stage is adjusted, i.e., the rate is increased or decreased so as to optimize the focus of the system, where such a rate of speed is then stored in the optical system""s memory, i.e., stored in a microprocessor operatively associated with the optical system, such that the optimum rate of movement of the stage will be fixed at this adjusted rate for subsequent scans. 4. Scanner Mirror Calibration Methods are also provided for verifying and calibrating one or more optical or scanner mirrors associated with the optical system, as described above, where such scanning mirrors are used to direct one or more light sources to a focus lens of the optical system, as described above, typically by pivoting the mirrors to position the light beam(s) to optimize the associated response. As mentioned above, in a two color scan, i.e., a scan using more than one light source or beam, e.g., a red laser and a green laser, typically the two lasers scan or raster a scan area simultaneously, or alternatively the surface is moved in a controlled manner with a motorized stage. Thus, the scanner mirrors, which dictate the alignment and positioning of the laser beams, must be synchronized to enable such a simultaneous scan, i.e., the scanning mirrors must direct the two lasers to substantially the same location at the substantially same time. FIG. 2 show exemplary response curves related to the alignment of laser light, by adjusting the alignment of the two beams, the focus depth for the two channels is optimized at a value that gives the lowest noise such as depicted in FIG. 2 as the region of overlap of the two channels. Synchronicity or calibration of the scanner mirrors according to the subject invention is thus accomplished by scanning a device having minimal local and global nonuniformities and evaluating the relationship between the intensity profiles or scan images of the different lasers and comparing the relationship of the scans to a predetermined relationship. In other words, where a green laser and a red laser are used for a simultaneous scan, an optimum scan, as it relates to the scanner mirrors, can be characterized by evaluating the relationship between the location of the green channel fluorescent peak and the red channel fluorescent peak. For example, the response at specific focus positions for a first laser and a second laser, such as a red and green laser, is evaluated and compared to a predetermined relationship. As FIG. 2 shows, the overlap between a first channel and a second channel, such as a red channel and a green channel, is optimized at a certain point or focus depth, so as to produce a scan with minimal noise. Thus, the beams or peak positions are adjusted by rotating or translating the mirror(s) in the laser beam path, where this alignment is a function of the focus position/response generated by the above described method, which is compared to a predetermined relationship and adjusted based upon any deviation from such a predetermined relationship. More specifically, if the lasers are not synchronized, i.e., out of alignment, the relationship of the channels differs from a predetermined relationship and are adjusted to approximate the predetermined relationship. Accordingly, a device having minimal local and global nonuniformities, i.e. a calibration device as described above, is provided and scanned with at least two light sources, typically having different wavelengths, e.g., two laser light sources such as a red laser and a green laser, where such methods for scanning such a device with two light sources is described above and will not be repeated here. After the calibration device is scanned by the two light sources, e.g., a red laser light source and a green laser light source, the intensity profiles for each color scan is evaluated. That is, the relationship between the two color scans is determined and compared to a predetermined relationship, where such a predetermined relationship is based upon a variety of factors such as the wavelengths of the two laser light sources (red and green as used herein), the time of the scan, the size of the area scanned, and the like. Specifically, the location of a first channel peak or maximum intensity, such as a green channel peak, is determined and the location of a second channel peak or maximum intensity, such as a red channel peak, is determined, where the relationship between the locations of the two peaks is evaluated and compared to a predetermined channel peak relationship. The change or deviation from the predetermined relationship is a manifestation of the lateral movement of the laser beam across the rigidly fixed focusing lens. If the relationship is substantially similar to the predetermined relationship, no adjustments to the scanning mirrors are made. If, however, the relationship substantially differs from the predetermined relationship, adjustments to one or more mirrors are made, i.e., the direction or pivotal motion of one or more mirrors is adjusted. If adjusted, the adjusted configuration of the one or more mirrors is fixed, at the adjusted configuration for subsequent scans. 5. Jitter Verification Also provided are methods for verifying the jitter of an optical scanner. More specifically, methods are provided for verifying the jitter of an optical system associated with an optical scanner and in particular a biopolymeric array optical scanner. By jitter is meant the time interval between each successive pulse in a pulse train. In other words, a pulse train of the above described light sources, i.e., laser light sources, should have minimal jitter between the pulses so that in a scan using more than one light source, i.e., more than one light beam for example a red laser and a green laser, the beams reach the scan surface simultaneously (i.e., the red and green channels are synchronized). In such a method, the jitter is typically verified or confirmed to be in a particular acceptable range, where such a range will not substantially interfere with the performance or imaging of the optical scanner. In other words, the jitter is usually not adjusted, but rather confirmed or verified to be suitable. The calibrations, alignments and focusing methods outlined above determine the associated jitter in the scanning instrument. In other words, jitter is a function at least of the alignment or calibration of the optical system""s other optical components (described above) and thus if jitter is found to be out of specification, one or more of the above described methods for calibrating the optical system is employed to make the appropriate adjustment(s) to the system. Following such adjustments, the jitter is again verified or confirmed. In this particular method for verifying the jitter of an optical system or rather the deviation in jitter between two channels, a device having a pattern of photobleached regions is provided, such as the calibration device described above having one or more photobleached regions. In other words, a calibration device having a polymer coating with one or more fluorescent agents bleached in a pattern, for example bleached from a first calibration device edge to a second calibration device edge, or the like, to produce one or more photobleached regions or features is employed to verify the jitter of an optical system. The calibration device is scanned by two light sources, e.g., a red laser and a green laser. More particularly, a pattern of photobleached areas is scanned simultaneously by a red laser and a green laser. As mentioned above, the mechanical stage directs or moves the calibration device into the appropriate focusing position to align the area to be scanned with the scanner light beams. Thus, once positioned, the photobleached area(s) are scanned and an intensity profile for each channel is produced. In other words, an intensity profile including the channel peaks or maximum wavelength intensity is produced for both the red and green channels. The relationship between the red channel peak and the green channel peak is analyzed, where such a relationship generated by the simultaneous scanning of photobleached areas is a function of the jitter of the optical system, i.e., is dependent on the amount of jitter in each pulse train. The relationship is compared to a predetermined value or standard to determine the amount of deviation of jitter in the optical system relative to the standard. In other words, the amount of jitter of the optical system is determined by scanning the photobleached patterns of the subject devices. The optical system is determined to be suitable for use, i.e., the scanning lasers are capable of scanning a device such as a biopolymeric array substantially simultaneously, if the jitter is less than a certain predetermined jitter value or standard. That is, the time between pulses in each pulse train are substantially synchronized such that a simultaneous scan using the above tested lasers, i.e., red and green lasers, is verified. As mentioned, if the jitter substantially deviates from the predetermined value, the system is calibrated using one or more of the above described methods for calibrating certain optical components of the scanner""s optical system, e.g., dynamic focus calibration, and the jitter is then again verified. Background Signal Subtraction The subject invention also includes background subtraction methods for subtracting a value from the emitted fluorescence values, where such subtracted value corresponds to background signal. By background signal is meant the amount of signal generated from one or more non-fluorescent areas. Background signal may be a function of the xe2x80x9cnoisexe2x80x9d of the optical scanner, the polymeric material, the substrate material, particular solutions, electronic noise, reflections or scattering from surface or particles, and the like. Thus, the background signal is determined, where the background signal is defined as signal generated from outside of the calibration area, i.e., does not include fluorescent agents (whether photobleached or not). Usually, a background region will be a region of the calibration device off of the surface, i.e., not on the surface, of a calibration device being scanned, e.g., one or more edges of the substrate of the calibration device, negative space such as air space, and the like. Accordingly, the signal from a background area is detected by an optical detector and is calculated, usually as a statistically relevant value. In certain embodiments, the background signal will be predetermined and stored in the memory of an optical system. Regardless of whether the background signal is determined or predetermined, the background signal is then subtracted from the value corresponding to the intensity of light emitted from the fluorescent calibration regions (photobleached and/or non-photobleached areas) on the calibration device. The final value represents a background corrected signal corresponding to the intensity of light per pixel due to the fluorescent agent. Also provided by the subject invention are methods for calibrating an optical scanner and subsequently using the calibrated scanner to scan an array, more specifically a biopolymeric array, e.g., a nucleic acid array. More specifically, in the subject methods, an optical scanner is calibrated, i.e., a detector, a lens, a stage and/or a mirror of an optical scanner is adjusted, an array is provided and a hybridization assay is performed with the array and one or more samples or agents of interest. The hybridized array is then optically scanned by the calibrated scanner, where such steps may be performed serially or simultaneously. Accordingly, an optical scanner, e.g., a biopolymeric array optical scanner, is calibrated. More specifically, one or more of the following is confirmed and/or adjusted: (1) scale factor (i.e., the sensitivity of the optical detector is adjusted), (2) the focus position (i.e., the distance between the stage and one or more lenses are adjusted), (3) the dynamic focus (i.e., the rate of speed the stage travels is adjusted), (4) the scanner mirror (i.e., the synchronicity of the light beams is adjusted), and (5) the jitter, as described above. In other words, generally, a calibration device is illuminated with at least one light source, e.g., the calibration described above, in other words the polymeric layer having at least one fluorescent agent or moiety dispersed therethrough and having minimal local and global nonuniformities, is illuminated with at least one light source, and fluorescent data from the calibration device is obtained, where such data may include subtracting background values therefrom, as mentioned above. In one embodiment, the scale factor of the optical system is calibrated, i.e., an optical detector is confirmed (in other words no adjustments are made) or the detector is adjusted or calibrated based upon this obtained fluorescence data. By adjusted or calibrated is meant that the sensitivity and/or resolution of the detector is altered depending on the obtained fluorescent data. In addition to, or in place of the above described detector sensitivity calibration, one or more other optical components of the system are confirmed and/or calibrated or adjusted. For example, the focus position, dynamic focus and scanner mirror may be confirmed and/or adjusted, where such methods are described above. In certain embodiments of the subject methods, the jitter of the optical scanner is verified or confirmed by scanning a pattern of photobleached regions or features, e.g., by scanning the photobleached regions of the above described calibration devices, where such methods are described above. As mentioned above, an array is provided and a hybridization assay is performed to bind certain analytes or agents of interest, i.e., labeled analytes or agents (fluorescently labeled), to the array, or more specifically to certain polymeric binding agents or probes which make up an array. The subject arrays include at least two distinct polymers that differ by monomeric sequence covalently attached to different and known locations on the substrate surface. Each distinct polymeric sequence of the array is typically present as a composition of multiple copies of the polymer on a substrate surface, e.g. as a spot on the surface of the substrate. The number of distinct polymeric sequences, and hence spots or similar structures, present on the array may vary, but is generally at least 2, usually at least 5 and more usually at least 10, where the number of different spots on the array may be as a high as 50, 100, 500, 1000, 10,000 or higher, depending on the intended use of the array. The spots of distinct polymers present on the array surface are generally present as a pattern, where the pattern may be in the form of organized rows and columns of spots, e.g. a grid of spots, across the substrate surface, a series of curvilinear rows across the substrate surface, e.g. a series of concentric circles or semi-circles of spots, and the like. The density of spots present on the array surface may vary, but will generally be at least about 10 and usually at least about 100 spots/cm2, where the density may be as high as 106 or higher, but will generally not exceed about 105 spots/cm2. In the broadest sense, the arrays of the subject invention are arrays of polymeric binding agents, where the polymeric binding agents may be any of: peptides, proteins, nucleic acids, polysaccharides, synthetic mimetics of such biopolymeric binding agents, etc. In many embodiments of interest, the arrays are arrays of nucleic acids, including oligonucleotides, polynucleotides, cDNAs, mRNAs, synthetic mimetics thereof, and the like. The biopolymeric arrays of the subject invention may be produced by a number of different methods, where such methods are known to those of skill in the art. The arrays scanned according to subject methods find use in a variety applications, where such applications are generally analyte detection applications, as mentioned above, in which the presence of a particular analyte in a given sample is detected at least qualitatively, if not quantitatively. Protocols or hybridization techniques for carrying out such assays are well known to those of skill in the art and need not be described in great detail here. Generally, the sample suspected of comprising the analyte of interest is contacted with an array produced according to the subject methods under conditions sufficient for the analyte to bind to its respective binding pair member that is present on the array. Thus, if the analyte of interest is present in the sample, it binds to the array at the site of its complementary binding member and a complex is formed on the array surface. The presence of this binding complex on the array surface is then detected, e.g. through use of a signal production system, e.g. fluorescent label present on the analyte, etc. The presence of the analyte in the sample is then deduced from the detection of binding complexes on the substrate surface. Specifically, in hybridization assays, a sample of target nucleic acids or the like is first prepared, where preparation may include labeling of the target nucleic acids with a label, e.g., a member of signal producing system. Following sample preparation, the sample is contacted with the array under hybridization conditions, whereby complexes are formed between target nucleic acids that are complementary to probe sequences attached to the array surface. The presence of hybridized complexes is then detected. Specific hybridization assays of interest which may be practiced using the subject arrays include: gene discovery assays, differential gene expression analysis assays; nucleic acid sequencing assays, and the like. Patents and patent applications describing methods of using arrays in various applications include: WO95/21265; WO96/31622; WO97/10365; WO 97/27317; EP 373 203; and EP 785 280; and U.S. Pat. Nos. 5,143,854; 5,288,644; 5,324,633; 5,432,049; 5,470,710; 5,492,806; 5,503,980; 5,510,270; 5,525,464; 5,547,839; 5,580,732; 5,661,028; 5,800,992; the disclosures of which are herein incorporated by reference. In gene expression analysis with microarrays, an array of xe2x80x9cprobexe2x80x9d nucleic acids is contacted with a nucleic acid sample of interest. Contact is carried out under hybridization conditions and unbound nucleic acid is then removed. The resultant pattern of hybridized nucleic acid provides information regarding the genetic profile of the sample tested. Gene expression analysis finds use in a variety of applications, including: the identification of novel expression of genes, the correlation of gene expression to a particular phenotype, screening for disease predisposition, identifying the effect of a particular agent on cellular gene expression, such as in toxicity testing; among other applications. Once the hybridization assay has been performed, the array is then interrogated, i.e., scanned, rastered or read by an optical means calibrated according to the subject invention, to detect, i.e., qualitate and/or quantify, labeled analyte or agent bound to the array. As such, the calibrated optical means (in other words at least one light source and a calibrated scanner) then scans or xe2x80x9creadsxe2x80x9d the hybridized array. Thus, a biopolymeric array is exposed to a sample (for example, a fluorescently labeled polynucleotide or protein containing sample) and the array is then read using an apparatus calibrated according to the subject invention. Reading of the array may be accomplished by illuminating the array and reading the location and intensity of resulting fluorescence at each feature of the array. For example, a scanner, and more particularly a scanner calibrated according to the subject invention, may be used for this purpose which is similar to the GENEARRAY scanner available from Agilent Technologies, Palo Alto, Calif. Other suitable apparatus and methods are described in U.S. patent applications: Ser. No. 09/846125 xe2x80x9cReading Multi-Featured Arraysxe2x80x9d by Dorsel et al.; and Ser. No. 09/430214 xe2x80x9cInterrogating Multi-Featured Arraysxe2x80x9d by Dorsel et al. These references are incorporated herein by reference. More specifically, the hybridization array is placed in or on a calibrated optical scanner, i.e., is positioned in operative association with the calibrated optical means described above. Typically, a plurality of such hybridized arrays may be positioned in operative association with the calibrated optical means, for example a plurality may be indexed in an indexing means such as a carousel or the like, whereby each array is moved into a scanning position or is scanned by the optical means, followed by the scanning or reading of another array, i.e., an array positioned in an adjacent position in the indexing means to the previous scanned array. Regardless of the number of scanned arrays, an array is illuminated with at least one light source and the light emitted by each of the fluorescent labels thereon is detected by the calibrated detector. Specifically, a signal or voltage related to the presence and/or quantity of light emitted by the fluorescent labels is detected. Patents describing methods of optically detecting fluorescently labeled arrays include, but are not limited to: U.S. Pat. Nos. 5,631,734 and 5,981,956, the disclosures of which are herein incorporated by reference. Thus, it will be apparent that using the calibrated optical system to scan an array will result in more accurate and precise array scans. Results from the reading may be raw results (such as fluorescence intensity readings for each feature in one or more color channels) or may be processed results such as obtained by rejecting a reading for a feature which is below a predetermined threshold and/or forming conclusions based on the pattern read from the array (such as whether or not a particular target sequence may have been present in the sample). The results of the reading (processed or not) may be forwarded (such as by communication) to a remote location if desired, and received there for further use (such as further processing). In certain embodiments, as mentioned above, the subject methods include a step of transmitting data from at least one of the detecting and deriving steps, as described above, to a remote location. By xe2x80x9cremote locationxe2x80x9d is meant a location other than the location at which the array is present and hybridization occur. For example, a remote location could be another location (e.g. office, lab, etc.) in the same city, another location in a different city, another location in a different state, another location in a different country, etc. The data may be transmitted to the remote location for further evaluation and/or use. Any convenient telecommunications means may be employed for transmitting the data, e.g., facsimile, modem, Internet, etc. When one item is indicated as being xe2x80x9cremotexe2x80x9d from another, this is referenced that the two items are at least in different buildings, and may be at least one mile, ten miles, or at least one hundred miles apart. xe2x80x9cCommunicatingxe2x80x9d information references transmitting the data representing that information as electrical signals over a suitable communication channel (for example, a private or public network). xe2x80x9cForwardingxe2x80x9d an item refers to any means of getting that item from one location to the next, whether by physically transporting that item or otherwise (where that is possible) and includes, at least in the case of data, physically transporting a medium carrying the data or communicating the data. Finally, kits for use in calibrating optical scanners are provided. The subject kits at least include one or more calibration devices of the subject invention. Typically, a plurality of subject calibration devices is included. The kits may further include an optical scanner. The subject kits may also include one or more arrays. The kits may further include one or more additional components necessary for carrying out an analyte detection assay, such as sample preparation reagents, buffers, labels, and the like. As such, the kits may include one or more containers such as vials or bottles, with each container containing a separate component for the assay, such as an array, and reagents for carrying out nucleic acid hybridization assays according to the invention. Thus, the kit will comprise in packaged combination, an array, wherein the array comprises hybridization probes that selectively hybridize to the detectably labeled target nucleotide sequence, where such arrays may include background probes that do not selectively hybridize to the target nucleotide sequence. The kit may also include a denaturation reagent for denaturing the analyte, hybridization buffers, wash solutions, enzyme substrates, negative and positive controls and written instructions for carrying out the assay. Finally, the kits may further include instructions for using the subject devices for calibrating an optical scanner. The instructions may be printed on a substrate, such as paper or plastic, etc. As such, the instructions may be present in the kits as a package insert, in the labeling of the container of the kit or components thereof (i.e., associated with the packaging or sub-packaging) etc. In other embodiments, the instructions are present as an electronic storage data file present on a suitable computer readable storage medium, e.g., CD-ROM, diskette, etc. The following example is put forth so as to provide those of ordinary skill in the art with a complete disclosure and description of how to make and use the present invention, and are not intended to limit the scope of what the inventors regard as their invention. Efforts have been made to ensure accuracy with respect to numbers used (e.g. amounts, temperature, etc.) but some experimental errors and deviations should be accounted for. Unless indicated otherwise, parts are parts by weight, molecular weight is weight average molecular weight, temperature is in degrees Centigrade, and pressure is at or near atmospheric. The following method describes an exemplary method of manufacturing the subject calibration devices. I. Preparation of Stock Dye Solutions Weigh out approximately 10(xc2x12) mg of Green dye (for example Rhodamine 6G.HCl) and place in a clean, dry vial. Dissolve R6G in 10 ml ethanol and agitate on a shaker, stir plate or ultrasonic bath until solution is clear and all dye dissolved. Repeat the process using Red dye (for example HIDCI). Dilute the stock solutions in ethanol to a known absorbance, i.e., about 300 xcexcL of prep solutions in 4.7 mL ethanol. Check the absorbances for these solutions to ensure they are about 0.161@530 nm for R6G and about 0.278@639 nm for HIDCI. II. Preparation of Polymer Solution Weigh out sufficient PMMA powder to produce a solution of about 1-20 wt % (depending on thickness of coating desired.) and place in a 100-150 ml glass bottle. Dissolve PMMA in 75 g of chlorobenzene by rotating jar overnight at 0.5 Hz (Cole-Parner Roto-Torque cat. #E-07637-00). (If the solution is not clear and particle free, heat to 50xc2x0 C. until it appears homogenous, and rotate for about 3 additional hours and allow to cool to room temperature.) (Solution viscosity determines the final thickness of the spin coated test chip. Any changes in molecular weight or concentration affects the solution viscosity and necessitates revalidating the spin coating procedure.) III. Preparation of Final PMMA/Dye Calibration Devices Add 10 xcexcL of prepared dye solution(s) to 100 ml of PMMA solution from above and stir on an orbital mixer for about 12-18 hours, allow any air bubbles to escape by letting the solution stand for 1 hour after removing from the stirrer (sonication or using reduced pressure can aid in degassing the solution if bubbles remain). Clean substrates for coating and store in PTFE or similar wafer container. (Proceed with spin coating using outlined procedure to produce polymeric coatings of the desired thickness.) IV. Spin Coating Verify the vacuum and inert gas supply to the spin coating instrument. Spin material onto glass wafers according to the following exemplary protocol: 1) one (1) second ramp-up to 500 rpm, 2) hold at 500 rpm for 10 seconds, 3) while holding at 500 rpm, pour PMMA/Dye solution for approximately 5-8 seconds, 4) three (3) second ramp to 1500 rpm, 5) hold at 1500 rpm for 60 seconds. After spin program is finished, bake the calibration devices at about 60xc2x0 C. for 60 seconds using contact mode on hot plate (or other suitable drying methods, as outlined above). Dice the devices or cut to a final size. V. Results The results of the above described process for spin coating calibration devices produce calibration devices have a polymer coating with two florescent agents therein and minimal local and global nonuniformities. It is evident from the above results and discussions that the above described invention provides a simple and efficient way of aligning and calibrating an optical scanner and more particularly a biopolymeric array optical scanner. The above described invention provides for a number of advantages, including producing a stable output at the frequency or wavelength of interest, minimal local and global nonuniformities, ease of manufacture and ease of use. As such, the subject invention represents a significant contribution to the art. All publications and patents cited in this specification are herein incorporated by reference as if each individual publication or patent were specifically and individually indicated to be incorporated by reference. The citation of any publication is for its disclosure prior to the filing date and should not be construed as an admission that the present invention is not entitled to antedate such publication by virtue of prior invention. Although the foregoing invention has been described in some detail by way of illustration and example for purposes of clarity of understanding, it is readily apparent to those of ordinary skill in the art in light of the teachings of this invention that certain changes and modifications may be made thereto without departing from the spirit or scope of the appended claims.
051606950
abstract
An apparatus and method of enhancing nuclear fusion reactions utilizes a plasma, made up of ions and electrons, contained within a region, and enhances the density of the plasma using a collision-diffusion compressional enhancement process. Ion acoustic waves generated within a central region of the system permit increased reflection and scattering of ions and thereby reduces their mean free path within the core region to permit greatly increased ions density sufficient to enhance nuclear fusion reactions within the core.
claims
1. A control rod drive mechanism (CRDM) comprising:a hollow lead screw;a lifting rod having an upper end disposed in the hollow lead screw;latches secured to the lead screw and configured to latch the upper end of the lifting rod to the lead screw;a latch engagement mechanism configured to close the latches onto the upper end of the lifting rod; anda latch holding mechanism configured to hold the latches closed; anda four-bar linkage including cam bars, the four-bar linkage configured to drive the cam bars inward to cam the latches closed responsive to operation of the latch engagement mechanism, the latch holding mechanism configured to hold the cam bars in the inward position to keep the latches closed;wherein the latch holding mechanism is separate from the latch engagement mechanism and the four-bar linkage is configured to bias the latches closed under force of gravity. 2. The CRDM of claim 1 wherein the latch engagement mechanism operates responsive to lowering the latches over the upper end of the lifting rod and is not effective to keep the latches closed when the latches are raised again after the latch engagement mechanism operates. 3. The CRDM of claim 2 wherein the latch holding mechanism is located at a top of the CRDM and is configured to engage the upper ends of the cam bars to hold the cam bars in the inward position. 4. The CRDM of claim 3 wherein the latch holding mechanism comprises a magnetic coupling including an electromagnet that when energized magnetically holds the cam bars in the inward position. 5. The CRDM of claim 3 wherein the latch holding mechanism comprises elements configured to move in a horizontal plane responsive to a holding force applied by an actuator to hold the cam bars in the inward position. 6. A nuclear reactor comprising:a pressurized water reactor (PWR) including:a pressure vessel;a reactor core disposed in the pressure vessel; anda CRDM as set forth in claim 1 disposed in the pressure vessel. 7. The nuclear reactor of claim 6 wherein the latch holding mechanism is magnetically operated. 8. The nuclear reactor of claim 6 comprising multiple CRDMs, wherein each CRDM has an independent latch holding mechanism. 9. The nuclear reactor of claim 8 wherein the latch holding mechanisms are magnetically operated. 10. The nuclear reactor of claim 9 wherein the latch holding mechanisms operate independent of one another. 11. A control rod drive mechanism (CRDM) including:a CRDM motor;a hollow lead screw translated under control of the CRDM motor;a lifting rod supporting at least one control rod;a latch configured to engage a portion of the lifting rod that is disposed in the hollow lead screw so that the lead screw and the lifting rod are non-rotatably secured to each other;a latch engagement mechanism configured to close the latch onto the lifting rod;a latch holding mechanism, separate from the latch engagement mechanism, configured to hold the latch in its closed position; anda four-bar linkage including cam bars, the four-bar linkage being configured to cam the latches closed responsive to operation of the latch engagement mechanism,wherein the four-bar linkage is configured to cam the latches closed responsive to operation of the latch engagement mechanism. 12. The CRDM of claim 11 wherein the latch holding mechanism is magnetically actuated. 13. A control rod drive mechanism (CRDM) comprising:a lead screw having an upper end;a lifting rod having an upper end;latches secured to the upper end of the lead screw and configured to latch the upper end of the lifting rod to the lead screw;a latch engagement mechanism configured to close the latches onto the upper end of the lifting rod;a latch holding mechanism configured to hold the latches closed; anda four-bar linkage including cam bars, the four-bar linkage configured to drive the earn bars inward to cam the latches closed responsive to operation of the latch engagement mechanism, the latch holding mechanism configured to hold the cam bars in the inward position to keep the latches closed,wherein the latch holding mechanism is separate from the latch engagement mechanism and the four-bar linkage is configured to bias the latches closed under force of gravity. 14. The CRDM of claim 13, wherein the lead screw is hollow and the upper end of the lifting rod is disposed in the lead screw. 15. The CRDM of claim 13 wherein the latch engagement mechanism operates responsive to lowering the latches over the upper end of the lifting rod and is not effective to keep the latches closed when the latches are raised again after the latch engagement mechanism operates.
048851221
description
DETAILED DESCRIPTION Referring now to FIGS. 3 and 4, one embodiment of the clamping apparatus of the present invention is shown in plan and cross sectional views respectively. The clamping apparatus, generally designated as 30, comprises two end body members 31. Each of the end body members has an unflanged end 31U and a flanged end 31F. In the closed or assembled position, the flanged ends 31F are held together by cap screw 35. In a preferred embodiment, one of the flanged ends 31F contains an unthreaded cap screw aperture therein while the other flanged end 31F contains a threaded cap screw aperture therein. The unflanged ends 31U of the end body members 31F are connected in such a manner so as to allow the radial spacing between the flanged ends 31F to be adjustable. In this way, the present invention provides a unitary clamp having an adjustable end which is adapted to be opened in a jaw like fashion. During the assembly procedure, this feature allows the clamp, which is assembled except for the insertion of the cap screw, to be moved to an open position in which the clamp is easily placed into position over flanges 10 and 11. In one embodiment of the present invention, the means for linking the end body members so as to permit the spacing between the flanged ends of the clamp to be adjustable comprises intermediate body members 32. Means, such as link plates 33, are provided for pivotally joining the intermediate body members 32 to one another and to the unflanged ends 31U of the end body members 31. Bosses 34 extend substantially axially from each end of the intermediate body members 32 and from the unflanged ends 31U of the end body members 31. Each boss extends into an aperture in link plate 33 in known fashion. In a preferred embodiment, each boss 34 has a holding means, such as a cotter pin for example, for holding the link plates on the bosses. It is preferred that the body members be joined by a pair of link plates 33 as shown in FIG. 4. It will be appreciated by those skilled in the art that means other than those described in detail above are available for linking the unflanged ends of said end body members. For example, it may be preferable in some applications to provide more than two intermediate body members. In other applications, it may be desirable to extend the arcuate span of the end body members and link the unflanged ends 31U directly together by a link plate. The operation of an apparatus according to one embodiment of the present invention may be usefully illustrated by describing the use of the clamping apparatus shown in FIGS. 3 and 4 in connection with the instrumentation port interface shown in FIG. 1. Due in part to the articulated nature of the clamping apparatus of the present invention, the clamp may be assembled around the instrument port interface shown in FIG. 1 with a minimum of effort. With cap screw 35 removed from the clamping apparatus 30, the flanged ends 31F of the clamp are easily separated in jaw like fashion. In particular, due to the provision of at least two pivotally joined body members 31, the flanged ends 31F are easily separated a sufficient distance to allow passage of the clamp 30 around flanges 10 and 11. The flanged ends 31F are then easily rejoined by cap screw 35. As revealed by FIGS. 3 and 4, the clamp 30 according to one embodiment of the present invention is generally ring-shape when in assembled form, the inner portion of said ring-shape clamp being adapted to engage the outer portions of flanges 10 and 11. As mentioned above, it is desirable for the clamping apparatus of the present invention to exert the proper axial seating pressure on the flange interface. As best revealed in FIGS. 1 and 4, this is achieved by providing the inwardly facing portions of clamp 30 with generally flat surfaces 36 and 37 which are non-perpendicular with respect to the axis 38 of the flanges 10 and 11. In assembled form, the surfaces 36 and 37 of clamp 30 are generally parallel with respect to surfaces 39 and 40 of flanges 10 and 11 respectively. When clamp 30 is assembled around flanges 10 and 11, the internal diameter of clamp 30 tends to decrease until cap screw 35 draws flanged ends 31F together. This reduction in the internal diameter of clamp 30 in turn tends to cause opposed axially pressure on flanges 10 and 11 as a result of the engagement of surface 36 with surface 39 and surface 37 with surface 40. In order to provide the most precise application of axial pressure, the inwardly facing portions of the clamp are preferably machined, cast, and/or forged to precisely engage the surfaces 39 and 40 of flanges 11 and 10. More particularly, the spacial relationship between the surfaces 36 and 37 of clamp 30 and surfaces 39 and 40 is controlled so that the proper axial pressure is exerted when the flanged ends 31F are in contact. As mentioned above, it is critical for instrument port interface clamps of the types disclosed herein to achieve and maintain the proper uniform contact and pressure on the interface. Such uniform contact and pressure will insure a properly seated gasket 12 and will prevent gasket over overcompression. In addition this uniform contact and pressure will aid in the maintenance of a proper seal during emergency conditions. These objectives are achieved, in part, by providing a datum surface on the flanged ends 31F of the end body members. According to the present invention, the clamp 30, for example, is machined, cast, and/or forged according to methods well known in the art to exert the proper contact and pressure upon the interface between flanges 10 and 11 when datum surfaces 31D are in contact. By "preloading" the clamp of the present invention in this way, the time required to position and assemble the clamp on the instrument port interface is minimized and the need for a space limiter is eliminated. That is, once the clamp is placed around the flanges in the manner described above, the proper clamp geometry will be achieved when the cap screw is torqued sufficiently to cause intimate contact between the datum surfaces of the flanged ends. This will properly seat and compress the gasket. Further torquing of the cap screw will not overcompress the gasket and is preferred as a means for preloading the clamp flanges so that the gasket will remain seated when the pressure within the flanges increases. In particular, the clamp of the present invention will achieve these objectives upon the application of only about 60 ft/lbs. torque to the cap screw. In a preferred embodiment of the present invention, the datum surfaces are simply the flat surfaces 31D of the flanged ends 31F. It will be appreciated by those skilled in the art, however, that the use of any particular configuration or shape of datum surface is within the scope of the present invention. For example, it may be desirable to provide datum surfaces with mating portions which provide axial alignment of the flanged ends 31F. Gasket 12 is properly seated by clamping apparatus of the present invention without the need for the heretofore used axial loading device. This advantage is achieved, in part, by providing body members 31 and 32 with an arcuate span which is substantially less than the arcuate span of the body members 13A, 13B, and 13C according to heretofore used clamping apparatus. It is preferred that the body members according to the present invention span an arc no greater than about 90.degree.. Applicant has found that such a reduction in arcuate span and a decrease in the clamp inner radius increases the contact area between the clamp 30 and the flanges 10 and 11. This increased contact aids in the seating of gasket 12 as the clamp 30 is assembled. In addition, the provision of an increased number of body members having reduced arcuate span aids in the seating of the gasket 12 as the clamp 30 is assembled. Providing a clamp according to the present invention eliminates the requirement of an axial loading device and hence simplifies the assembly procedure thereof and reduces the exposure of workers to potentially hazardous conditions. Referring now to FIG. 5, another embodiment of the clamping apparatus of the present invention is shown in cross sectional view with respect to another typical instrument port interface. In this configuration, tubular members or flanges 41 and 42 cooperate in a telescoping manner to seal the interface therebetween. In the context of a nuclear reactor vessel, flange 41 comprises the upper portion of flange 10 (FIG. 1) and member 42 is the cylindrical conduit seal and carries thermocouples which pass into the interior of member 41. As with the prior interface, a gasket 12 is provided to insure a proper seal between the flanges 41 and 42. In contrast to the interface shown in FIG. 1, proper seating of gasket 12 in FIG. 5 requires application of axial pressure to each flange which is directed away from the interfacing end thereof. That is, it is necessary for the clamping apparatus to exert an upward axially pressure on cylindrical conduit 42 with respect to flange 41. Clamping apparatus heretofore used to achieve this objective are described in copending application Ser. No. 925,861. According to one embodiment of the present invention, this objective is achieved by the cooperation of positioner clamp 50 and wedge clamp 60. Although clamps 50 and 60 may have any appropriate plan view construction, it is preferred to use an articulated construction as shown in FIG. 3. As shown in FIG. 5, however, the cross sectional configuration of clamps 50 and 60, and flanges 41 and 42 is substantially different from the cross sectional configuration of clamp 30 and flanges 10 and 11 as shown in FIGS. 1 and 4. In particular, flange 42 contains an annular groove 43 on its outer surface for receiving positioner clamp 50. Positioner clamp 50 contains an inwardly extending flange 51, at least a portion of which engages groove 43. Positioner clamp 50 also contains a lower surface 52 which is nonperpendicular with respect to the central axis 44 of flanges 41 and 42. In this way, the axial distance between any portion of surface 52 and the end of flanges 41 and 42 is functionally related to the radial distance of that portion from axis 44. In particular, the distance between surface 52 and the end of flange 42 decreases with decreasing surface radius. The upper end of flange 41 contains a generally flat surface 45 which is also generally nonperpendicular with respect to axis 44. The distance between surface 45 and the interfacing end of flange 41 also decreases with decreasing surface radius. As the term is used herein, the end of a flange refers to the furthest axial extent of the flange, For example, the end of flange 41 refers to the innermost radius of surface 45. Surface 45 and surface 52 cooperate to create a wedge like opening 70 for clamp 60. The inner surface of clamp 60 provides an engaging means adapted to cooperatively engage the wedge like opening 70. In particular, clamp 60 contains an upper surface 61 and a lower surface 62, each of which are also disposed at a nonperpendicular angle with respect to axis 44. It is preferred that surface 62 be in engagement with and generally parallel to surface 45 and that surface 61 be parallel to and in engagement with surface 52, as shown in FIG. 5. Both surfaces 61 and 62 slope towards the axial center of opening 70 as the radial distance from axis 44 decreases. Due in part to the articulated nature of clamp 60 (see FIG. 3), the internal diameter of clamp 60 is reduced as the flanged ends 31F are drawn together. Due to the relationship between the axial and radial distances of the surfaces described above, this reduction in the internal diameter of the clamp 60 in turn tends to exert an upward axially pressure on flange 42 with respect to flange 41. It will be appreciated by those skilled in the art that various modifications of the clamping system shown in FIG. 5 are possible and may be desirable. In one alternative embodiment, a two-piece split ring may be substituted for positioner 50. It may be desirable in other applications to eliminate positioner 50 entirely and simply form flange 42 with the appropriate outer configuration. It should also be noted, however, that in many situations, nuclear power systems in particular, it is not practical to replace or redesign flange 42 and hence in those applications the provision of a clamp such as 50 may be desirable. Alternatively, positioner 50 and 60 may be combined into a single clamp having an inner surface similar to the combination of the clamp/positioner arrangement. It will also be appreciated by those skilled in the art that while the clamping system shown in FIG. 5 provides sloping surfaces 45, 52, 61 and 62, the provision of only one of these surfaces is sufficient to achieve the objects of the clamping apparatus disclosed therein. For example, it is possible to provide surfaces 45, 52, and 61 in a perpendicular arrangement with respect to axis 44 while maintaining surface 62 in a sloped configuration. Due to the provision of this one sloped surface, assembly of clamp 60 between surfaces 42 and 45 will tend to exert upward axial pressure on flange 42. As indicated by the foregoing description, the clamping apparatus and systems of the present invention will quickly and efficiently seal instrument port interfaces, thus reducing the exposure of nuclear power plant workers to hazardous conditions while maintaining a high degree of protection against leakage. In particular, the present invention provides a clamping apparatus which, even for relatively large instrument ports, can be easily operated by one worker. In addition, the clamps can be quickly applied to the instrument port interface since only one cap screw is required to assembly the clamp on the interface. It will be appreciated by those skilled in the art that the form of the invention shown and described above is presented by way of illustration only. For example, the clamping apparatus has been described with respect to use on the generally tubular conduits associated with instrument port interfaces. The present clamping apparatus, however, is adaptable to other conduit configurations, such as square, rectangular or triangular, for example. In addition, the present clamping apparatus may be used in other applications, such as shipping and/or storage casks, for example. Various other changes may be made in the shape, size, etc. without departing from the spirit and scope of the invention as set forth below in the claims.
summary
051630788
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In accordance with the embodiments shown in the drawings, the present invention will be described in detail below. FIRST EMBODIMENT In this embodiment, where the multilayer film reflecting mirrors each comprised of Ni and Sc (the number of layers: 201, an X-ray wavelength: 39.8 .ANG.) are fabricated within the tolerance defined by Equation (8), the deteriorative state of the reflectance against the optimum design value of the film thickness is simulated by changing in turn the film thickness of each layer of Ni and Sc, the incident angle .phi. of X rays, and a deviation SD from the optimum design value of the film thickness. The simulation is performed by the following procedure. That is, it is assumed that, with respect to 100 multilayer film reflecting mirrors, the tolerance of the film thickness is generated at random within the deviation SD in the probability according to the normal distribution given by Equation (7), and the reflectances of individual reflecting mirrors and their generation frequency (which is hereinafter called merely the frequency) are thus obtained. By Equation (1), the reflectance is calculated for each layer in regard to the film thickness deviated from the optimum design value. Also, the complex indices of refraction of Ni and Sc in the case of the X-ray wavelength of 39.8 .ANG. are derived from the tables of atomic scattering factors by B. Henke [B. Henke, Atomic Data & Nuclear Data Tables 27, pp. 1-144 (1982)] and the literature [Sadao Aoki, Phys. Appl., Vol. 56, No. 3, pp. 16-18 (1981)], resulting in the following numerical data: EQU n(Ni)=0.9882-0.0041183i EQU n(Sc)=0.9975-0.0005738i EMBODIMENT 1-1 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of normal incidence, namely, .phi.=0.degree.. The film thickness of each Ni layer is 8.2 .ANG., that of each Sc layer 11.8 .ANG., and the deviation SD 0.8 .ANG.. FIG. 8 shows the relationship between the reflectance and the frequency in Embodiment 1-1. The design value of the reflectance is 24%, and according to FIG. 8, the mirrors exhibiting the reflectances of a half (12%) of the design value or more are 36 ones, which indicates that Equation (8) is effective. EMBODIMENT 1-2 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=30.degree.. The film thickness of each Ni layer is 8.8 .ANG., that of each Sc layer 14.4 .ANG., and the deviation SD 1.2 .ANG.. FIG. 9 shows the relationship between the reflectance and the frequency in Embodiment 1-2. The design value of the reflectance is 28%, and according to FIG. 9, the mirrors exhibiting the reflectances of a half (14%) of the design value or more are 30 ones. EMBODIMENT 1-3 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=60.degree.. The film thickness of each Ni layer is 13.4 .ANG., that of each Sc layer 27.1 .ANG., and the deviation SD 3.0 .ANG.. FIG. 10 shows the relationship between the reflectance and the frequency in Embodiment 1-3. The design value of the reflectance is 36%, and according to FIG. 10, the mirrors exhibiting the reflectances of a half (18%) of the design value or more are 42 ones. EMBODIMENT 1-4 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=75.degree.. The film thickness of each Ni layer is 26.3 .ANG., that of each Sc layer 55.8 .ANG., and the deviation SD 9.0 .ANG.. FIG. 11 shows the relationship between the reflectance and the frequency in Embodiment 1-4. The design value of the reflectance is 39%, and according to FIG. 11, the mirrors exhibiting the reflectance of a half (20%) of the design value or more are 52 ones. As mentioned above, according to the first embodiment, each deviation SD satisfies Equation (8) and hence the multilayer film reflecting mirror having a desired quality of reflection can be fabricated in the probability of 30% or more. SECOND EMBODIMENT In this embodiment, the states of the reflectances of the multilayer film reflecting mirrors each comprised of Ni and Sc (the number of layers: 101, the X-ray wavelength: 39.8 .ANG.) are simulated like the first embodiment. Also, the optical constants are the same as in the first embodiment. EMBODIMENT 2-1 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=0.degree.. The film thickness of each Ni layer is 8.6 .ANG., that of each Sc layer 11.4 .ANG., and the deviation SD 1.2 .ANG.. FIG. 12 shows the relationship between the reflectance and the frequency in Embodiment 2-1. The design value of the reflectance is 11%, and according to FIG. 12, the mirrors exhibiting the reflectances of a half (6%) of the design value or more are 30 ones, which indicates that Equation (8) is effective. EMBODIMENT 2-2 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=30.degree.. The film thickness of each Ni layer is 9.7 .ANG., that of each Sc layer 13.4 .ANG., and the deviation SD 1.5 .ANG.. FIG. 13 shows the relationship between the reflectance and the frequency in Embodiment 2-2. The design value of the reflectance is 14%, and according to FIG. 13, the mirrors exhibiting the reflectances of a half (7%) of the design value or more are 41 ones. EMBODIMENT 2-3 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=60.degree.. The film thickness of each Ni layer is 14.8 .ANG., that of each Sc layer 26.3 .ANG., and the deviation SD 3.0 .ANG.. FIG. 14 shows the relationship between the reflectance and the frequency in Embodiment 2-3. The design value of the reflectance is 34%, and according to FIG. 14, the mirrors exhibiting the reflectances of a half (17%) of the design value or more are 57 ones. EMBODIMENT 2-4 In this embodiment, the multilayer film reflecting mirrors are designed on the condition of .phi.=75.degree.. The film thickness of each Ni layer is 26.3 .ANG., that of each Sc layer 55.8 .ANG., and the deviation SD 7.5 .ANG.. FIG. 15 shows the relationship between the reflectance and the frequency in Embodiment 2-4. The design value of the reflectance is 39%, and according to FIG. 15, the mirrors exhibiting the reflectances of a half (20%) of the design value or more are 64 ones. THIRD EMBODIMENT In this embodiment, the states of the reflectances of the multilayer film reflecting mirrors each comprised of Re (rhenium) and Al (aluminum) (the number of layers: 41, the X-ray wavelength: 210 .ANG.) are simulated by the same procedure as in the above embodiments. The incident angle is set at .phi.=15.degree., the film thickness of each Re layer is 28.3 .ANG., and that of each Al layer is 80 .ANG.. Also, the optical constants of Re and Al are cited from the literature [Takeshi Namioka et al., "Developments of Light Sources and Optical systems for Soft X-ray Lithography", Report of Research by Scientific Research-Aid Fund for the 1985 Fiscal Year (Test Research (2)), pp. 1-36, 1986], resulting in the following numerical data: EQU n(Re)=0.65-0.12i EQU n(Al)=0.99-0.00458i FIG. 16 shows the relationship between the reflectance and the frequency in the third embodiment in which the deviation SD is 6.0 .ANG.. The design value of the reflectance is 64%, and according to FIG. 16, the mirrors exhibiting the reflectances of a half (32%) of the design value or more are 87 ones, which indicates that Equation (8) is effective.
description
The present invention relates to a method for determining the value of a parameter representative of the operability of a nuclear reactor. The invention is used, for example, in pressurised water reactors. In conventional manner, the core of such a reactor is charged with nuclear fuel assemblies. Each assembly comprises a bundle of nuclear fuel rods, the rods comprising a cladding which contains nuclear fuel pellets. It may be advantageous, particularly in countries such as France where 80% of electricity is produced by nuclear reactors, for the overall power supplied by the reactors to vary in order to adapt to the requirements of the electrical power network which they supply. In particular, it is desirable to be able to operate reactors with reduced overall power for a long period of time when the demand on the network is low, before returning if necessary to nominal overall power. Nonetheless, operating each reactor in this manner, which allows the capacities thereof to be better exploited, must not involve safety problems. One of the phenomena limiting the operability of nuclear rectors is in particular the phenomenon of Pellet/Cladding Interaction (PCI). When the reactor operates at the nominal overall power PN thereof, the nuclear fuel rods are, according to the term used in the art, processed. For a specific rod, the processing is characterised substantially by the radial clearance being closed between the pellets and the cladding, owing to the creep of the cladding and the swelling of the pellets. Although there is no risk of fracture of the cladding during permanent operation owing to the thermomechanical equilibrium in the cladding at relatively low stress levels, a risk does arise as soon as the power provided by the rod in question varies significantly. An increase of local power brings about an increase of the temperature in the rod. Given the difference of the mechanical characteristics (thermal expansion coefficient, Young's modulus) and the temperature difference between the pellet based on uranium oxide and the cladding which is conventionally of zirconium alloy, the pellet will expand more than the cladding and impose its deformation on the cladding. Furthermore, the presence in the space between the cladding and the pellet of corrosive fission products, such as iodine, creates corrosion conditions under stress. In this manner, the deformation imposed by the pellet on the cladding during a transient occurrence of overall power may bring about a fracture of the cladding. Such a fracture of the cladding is not admissible for safety reasons since it could result in fission products being released into the coolant system of the nuclear reactor. The patent application EP-1 556 870 describes a method which, using the phenomenon of PCI, allows the limit values of the operating parameters of a nuclear reactor to be determined. More precisely, the limit values determined are such that, in the event of an accidental transient occurrence of overall power which will become evident with an increase in the local power in the entire core, the phenomenon of PCI will not result in a fracture of the nuclear fuel rod cladding. This method thus allows the fields of use to be defined in which the nuclear reactor can operate in a safe manner, even in the event of an accidental transient occurrence of overall power. Alarms can also be introduced to verify that the limit values determined are not exceeded during the operation of the nuclear reactor. The PCI phenomenon is particularly disadvantageous with respect to a specific operating method of nuclear reactors. This is Extended Reduced Power Operation (ERPO). In France, extended reduced power operation is more precisely defined as being the permanent operation of the reactor, at an overall power PI less than or equal to, for example, approximately 92% of the nominal power PN thereof, for example, over a cumulative period of time of more than 8 hours in a given 24 hour period. Such a method of operation has the effect of de-processing the rods. During a reduction of the overall power, the power decreases locally. There is consequently a temperature reduction in the pellets and in the cladding of each rod, which brings about a reduction of the thermal expansions of these elements. Since each pellet has a greater thermal expansion coefficient than that of the associated cladding, it therefore retrocedes a greater absolute expansion. This is further amplified by the fact that, for a specific local power reduction, the temperature variation in each pellet is greater than that in the cladding. In this manner, during operation in ERPO mode, for the rods in which the contact between the cladding and the pellets is not established, the radial clearance increases. With regard to the rods in which the clearance was closed, the clearance re-opens. In the event of reopening of the clearance, there is creeping in terms of compression towards the inner side of the cladding owing to the effect of pressure. The stresses which appear in the cladding in the event of an accidental transient occurrence of power during operation in ERPO mode thus reach greater values than if the transient occurrence takes place when the reactor is operating at nominal overall power. The risks of a fracture owing to the PCI phenomenon are therefore increased when the reactor operates in ERPO mode. In order to allow nuclear reactor operators to evaluate the extent to which they are able to use ERPO mode, without compromising the integrity of the claddings of the rods, a parameter has been developed, the credit K. This parameter which is representative of the operability of the nuclear reactor is defined by the formula: K = K 0 - ∑ i ⁢ A i ⁢ T i + ∑ j ⁢ B j ⁢ T j where K0 is the initial value of the credit K; Ai is a deprocessing coefficient calculated from the laws of deprocessing; Ti is the duration of a phase i of use of the ERPO mode; Bj is a reprocessing coefficient calculated from the reprocessing laws; and Ti is the duration of a phase j of nominal overall power operation after a period of operation in ERPO mode. The operator is capable using this formula of calculating the development during a cycle of the value of the credit K in accordance with the successive phases of operation in ERPO mode and at nominal overall power. The lower the value of the credit K is, the less possibility there is for the operator to use ERPO mode. When the value of the credit K is 0, the operator can no longer function in ERPO mode and must only operate the reactor at nominal power or shut it down. In order to increase the value of the credit K, the operator may choose to operate the reactor at nominal overall power for a specific length of time. The establishment of this formula, and in particular that of the coefficients Ai and Bj which takes almost two years, requires very significant calculations which are carried out over a period of several months on processors operating in parallel. Taking into account the complexity involved in calculating the coefficients Ai and Bj, the determination of the value of the credit K is carried out in a generic manner for a specific reactor, fuel assembly and control which requires the introduction of a number of careful considerations. Although the use of the credit K allows safe operation to be ensured for the nuclear reactor, unfortunately it therefore leads to limited operability. An object of the invention is to solve this problem by providing a method which is for determining the value of a parameter representative of the operability of a nuclear reactor core and which allows the operability of the reactor to be increased whilst ensuring safe operation. To this end, the invention provides a method of determining the value of a parameter representative of the operability of a nuclear reactor, the core comprising nuclear fuel assemblies, each assembly comprising nuclear fuel rods in which nuclear fuel is enclosed in a cladding, the method involving the periodic implementation, during the same operating cycle of the reactor, of the following steps of: a) calculating, from measurements provided by sensors present in the reactor, the three-dimensional distribution of the local power in the core, b) simulating at least one accidental transient occurrence of power applied to the calculated three-dimensional distribution of local power, c) identifying, using thermomechanical calculations, at least one rod which is the most likely to be subject to a fracture of the cladding thereof during the simulated transient occurrence of power, and d) determining, using thermomechanical calculations on the rod identified, the value of the parameter which is representative of the operability of the reactor. According to specific embodiments, the method may comprise one or more of the following features, taken in isolation or according to any technically possible combination: step c) comprises a sub-step c1) of calculating the maximum value of a parameter which is representative of the stress state in the cladding (33) of each rod (24) during the simulated transient occurrence of power; in the sub-step c1), the contact pressure between the pellets and the cladding of the rod in question is calculated by means of correlation or interpolation from values previously calculated; steps a) to d) are carried out periodically with a time step of less than one month; steps a) to d) are carried out with a time step of less than one week; steps a) to d) are carried out with a time step of less than one day; the nuclear reactor is a pressurised water nuclear reactor; and it comprises a step e) of using the determined value in order to command and/or control the operation of the nuclear reactor. The invention further relates to a system for determining the value of a parameter representative of the operability, characterised in that it comprises means for implementing the steps of a method as defined above. According to one variant, the system comprises at least one processor and storage means in which there is stored at least one program for carrying out steps of the determination method implemented by the system. The invention further relates to a computer program which comprises instructions for implementing the steps of a method as defined above. The invention further relates to a medium which can be used in a processor and on which a program as defined above is recorded. FIG. 1 schematically illustrates a pressurised water nuclear reactor 1 which conventionally comprises: a core 2, a steam generator 3, a turbine 4 which is coupled to an electrical energy generator 5, and a condensor 6. The reactor 1 comprises a cooling system 8 which is provided with a pump 9 and in which the pressurised water flows along the path indicated by the arrows in FIG. 1. This water rises in particular through the core 2 in order to be reheated there, providing the cooling of the core 2. The cooling system 8 further comprises a pressuriser 10 which allows the water flowing in the cooling system 8 to be pressurised. The water of the cooling system 8 also supplies the steam generator 3 where it is cooled providing the evaporation of the water flowing in a secondary system 12. The steam produced by the generator 3 is channelled by the secondary system 12 towards the turbine 4 then towards the condenser 6 where this steam is condensed by means of indirect heat exchange with cooling water flowing in the condenser 6. The secondary system 12 comprises downstream of the condenser 6 a pump 13 and a reheating device 14. Also in conventional manner, the core 2 comprises nuclear fuel assemblies 16 which are charged in a vessel 18. A single assembly 16 is illustrated in FIG. 1 but the core 2 comprises, for example, 157 assemblies 16. The reactor 1 comprises control rod clusters 20 which are arranged in the vessel 18 above some of the assemblies 16. A single cluster 20 is illustrated in FIG. 1, but the core 2 may comprise, for example, approximately 60 clusters 20. The clusters 20 may be moved by mechanisms 22 for being inserted into the fuel assemblies 16 over which they are arranged. Conventionally, each control rod cluster 20 comprises rods which comprise one or more neutron-absorbing materials. In this manner, the vertical movement of each cluster 20 allows the reactivity of the reactor 1 to be regulated and allows variations in the overall power P provided by the core 2 from zero power up to nominal power PN, in accordance with the introduction of the clusters 20 in the assemblies 16. Some of these clusters 20 are intended to control the operation of the core 2, for example, in terms of power or temperature, and are referred to as control rod clusters. Others are intended only to shut down the reactor 1 and are referred to as shutdown clusters. The clusters 20 are assembled in groups in accordance with their type and destination. For example, for reactors of the type 900 MWe, these groups are referred to as groups G1, G2, N1, N2, R, SA, SB, SC, SD . . . . The reactor 1 also comprises a given number of sensors for measuring the effective values of operating parameters of the reactor, in particular a thermoelectric couple 21A for measuring the mean temperature of the water of the cooling system at the outlet of the vessel 18 and a thermoelectric couple 21B for measuring the mean temperature of the water of the cooling system at the inlet of the vessel 18. Also in conventional manner, the nuclear reactor 1 comprises external chambers 21C for measuring the neutron flux, which chambers 21C are arranged around the vessel 18 of the core 2. The number and the positions of the chambers 21C, generally referred to as “ex-core chambers”, vary in accordance with the model of the reactor 1. Also in conventional manner, the reactor 1 comprises thermoelectric couples 21D which are arranged in the core 2 above assemblies 16 in order to measure the temperature of the water of the cooling system at the outlet of the assemblies 16. A single chamber 21C and a single sensor 21D have been illustrated in FIG. 1. The ex-core chambers 21C and the thermoelectric couples 21D provide information relating to both the axial distribution, that is to say, vertically, and radial distribution of the local power in the core. In order to calibrate the various sensors, and in particular the chambers 21C and the thermoelectric couples 21D, the reactor also comprises an item of equipment which is referred to as “in-core” (not illustrated) and which comprises movable probes which are fixed to the end of flexible cables in order to allow them to be inserted inside measurement tracks of some of the assemblies 16. These probes are introduced regularly into the core 2 in order to recalibrate the values measured by the various sensors relative to the measurements carried out by these probes, and thus to calibrate the various sensors of the reactor 1. As illustrated in FIG. 2, each assembly 16 conventionally comprises a grid of fuel rods 24 and a support skeleton 26 for the rods 24. The skeleton 26 conventionally comprises a bottom nozzle 28, a top nozzle 30, guide tubes 31 which connect the two nozzles 30 and 28 and which are intended to receive rods of the control rod clusters 20 and spacer grids 32. As illustrated in FIG. 3, each fuel rod 24 conventionally comprises a cladding 33 in the form of a tube which is closed at the lower end thereof with a bottom end plug 34 and, at the upper end thereof, with an upper end plug 35. The rod 24 comprises a series of pellets 36 which are stacked in the cladding 33 and which are in abutment against the lower end plug 34. A retention spring 40 is arranged in the upper portion of the cladding 33 so as to be supported on the upper end plug 35 and on the upper pellet 36. Conventionally, the pellets 36 are based on uranium oxide and the cladding 33 is of zirconium alloy. In FIG. 3, which corresponds to a fuel rod 24 from production and before irradiation, there is a radial clearance J between the pellets 36 and the cladding 33. That is illustrated more specifically by the enlarged circled portion of FIG. 3. This clearance J is what closes during processing and reprocessing of the fuel rod and opens when the fuel rod is deprocessed. As illustrated in FIG. 1, the reactor 1 also comprises a data-processing system 40 for determining a parameter representative of the operability of the nuclear reactor 1. The system 40 is, for example, the one used more generally to command and control the operation of the nuclear reactor 1. This system 40 comprises, for example, a data-processing unit 42 comprising one or more processor(s), data storage means 44, input/output means 46 and optionally display means 48. The storage means 44 which comprise, for example, one or more memories, store one or more computer program(s) in order to carry out the steps described below. The system 40 is connected to the different sensors for measuring the operating parameters of the nuclear reactor 1, including sensors 21A to 21D. In the example given below, the parameter for measuring the operability of the reactor 1 calculated by the system 40 is the parameter Δ defined by:Δ=(σθ−σr)lim−(σθ−σr)sup where σθis the circumferential and normal stress in a cladding 33; σr is the radial and normal stress in the same cladding 33; (σθ−σr)sup is i the greatest value reached by (σθ−σr) from the rods 24 of the core 2; and (σθ−σr)lim is the limit value of (σθ−σr) beyond which a cladding 33 breaks. This limit value has, for example, been determined as described in the document EP-1 556 870. The method used by the system 40 to determine the value of Δ will now be described with reference to the flow chart of FIG. 4. This method involves the regular execution of the loop comprising steps 50, 52, 54 and 56 during an operating cycle of the nuclear reactor 1. The time step for carrying out this loop may be less than one month, one week, or even one day. In a first step 50, the system 40 calculates the three-dimensional distribution of local power in the core 2 at the time step in question. More precisely, a first rough calculation of the three-dimensional distribution of the local power in the core 2 is carried out by an item of neutron calculation software. The neutron calculation software used may be a conventional item of software, for example, the software SMART from the company AREVA NP (Registered Trade Mark). This rough calculation is, for example, provided based on: the charging characteristics of the core 2, that is to say, the arrangement and the characteristics of the assemblies 16 present in the core 2, characteristics stored, for example, in the storage means 44, the mean thermal power of the core 2 established in conventional manner by the system 40, for example, using the measurements provided by the thermoelectric couples 21A and 21B, and the mean temperature of the water at the inlet of the vessel 18 measured by the thermoelectric couple 21B, the reference positions of the control rod clusters 20 stored in the storage means 44, and the distribution of local power determined during the previous implementation of the loop of steps 50, 52, 54 and 56. The results of this first rough calculation are then refined by adjusting the values calculated in this manner owing to the effective values measured by the chambers 21C and the thermoelectric couples 21D. The use of such a rough calculation which is subsequently refined allows a good representation to be obtained of the three-dimensional distribution of the local power in the core 2, in a time which is compatible with the frequency of implementation of the method for determining the value of Δ. Then, in step 52, the system 40 simulates transient occurrences of overall power, for example, using the above-mentioned neutron calculation software. Preferably, the simulated transient occurrences are the accidental transient occurrences referred to as being of category 2 which bring about the most significant and rapid variations of power in the core 2. These transient occurrences may be, for example: the excessive increase in charge, the uncontrolled retraction of groups of control rod clusters 20 when the reactor 1 is in a powered state, the fall of cluster(s) 20. The excessive increase of charge corresponds to a rapid increase of the flow rate of steam in the steam generator 3. Such an increase brings about an imbalance between the thermal power of the core 2 and the charge of the steam generator 3. This imbalance leads to a cooling of the cooling system 8. Owing to the moderating and/or the regulating effect of the mean temperature in the core 2 by the control rod clusters 20, the reactivity, and therefore the nuclear flux, increase in the core 2. In this manner, the overall power P provided by the core 2 increases rapidly. In order to simulate this transient occurrence, it is considered that the flow rate of steam in the generator 3 increases from the initial value thereof up to the maximum value allowed by the characteristics of the secondary system 12. This increase is further sufficiently slow for the levels of power examined in order to prevent the automatic shutdown of the reactor owing to low pressure of the pressuriser 10. The uncontrolled removal of groups of control rod clusters 20 when the reactor operates brings about an uncontrolled increase in the reactivity. There is consequently a rapid increase in the overall nuclear power P and the flux of heat in the core 2. Until a relief valve or a safety valve of the secondary system 12 is opened, the dissipation of heat in the steam generator 3 increases less quickly than the power released in the cooling system 8. There is consequently an increase of the temperature and pressure of water in the cooling system 8. In order to simulate this transient occurrence, a removal is assumed of the power groups at the maximum speed of 72 steps/min until complete removal. If one or more of the control rod clusters 20 falls into the core, there is an immediate reduction of the reactivity and the overall power P in the core 2. Without protective action, the imbalance brought about in this manner between the cooling system 8 and the secondary system 12 brings about a reduction in the temperature of water entering the core 2, and an increase in the neutron power owing to the counter-reactions and the temperature control, until a new equilibrium is achieved between the cooling system 8 and the secondary system 12. The presence of the control rod cluster(s) 20 which have fallen brings about a deformation of the radial distribution of power, whilst the removal of the control group leads to an axial modification of the power. Then, during step 54, the system 40 will determine the rods 24 which are subject to the most stress during the transient occurrences of power simulated during step 52. This determination is carried out using an item of sorting software. More precisely, during this step 54, the value will be calculated for a parameter which is representative of the state of stress in the cladding 33 of each rod 24, for example, the value of (σθ−σr). In the example described, the parameter which is representative of the state of stress and the parameter which is representative of the operability of the reactor are based on the same difference of physical parameter (σθ−σr). However, this is not necessarily the case and the two parameters can be based on physical variables or functions of physical variables which are different but mutually coherent. The calculation is carried out, for example, for each rod 24 by repeating, for the entire duration of the processing and/or deprocessing thereof and each simulated transient occurrence of power, the following loop comprising the sub-steps involving: calculating the new dimensions of the cladding 33 and the pellets 36 of the rod 24 in accordance with the values of (σθ−σr) determined during the previous implementation of the loop, calculating the number of moles of fission gas released during the new time step, calculating the resultant increase of the pressure inside the cladding 33 during the new time step, calculating the contact pressure between the pellets 36 and the cladding 33 resulting from the new dimensions and in particular the development of the linear power density and the combustion rate of the nuclear fuel in the rod 24 during the new time step, and calculating the new value of (σθ−σr) in accordance with the new contact pressure value calculated, the new internal pressure value calculated and the new dimensions calculated. The calculations relating to the number of moles of fission gas released, the internal pressure and the contact pressure are carried out not by means of explicit resolution of the corresponding equations, but instead by means of correlations. More precisely, correlations are used to allow the values of the variables in question to be determined in accordance with the values of the same known variables for known conditions (linear power density, burnup . . . ). These known values originate, for example, from a database constructed from an item of thermomechanical calculation software. This may be a conventional item of software, such as the software COPERNIC from the company AREVA NP (Registered Trade Mark). The sorting software used for step 54 will preferably be a simplified version of the same item of thermomechanical calculation software. The use of items of software based on the same models in order to implement steps 52 and 54 allows robustness and reliability to be ensured for the method for determining the value of the parameter representative of the operability of the nuclear reactor. The use of correlations, rather than explicit calculations, allows the necessary calculations to be carried out in shorter periods of time which are compatible with the time step for implementing the method for determining the value of Δ. In other variants, it is possible to use interpolations rather than correlations. After carrying out the loop of step 54, there is known, for each transient occurrence of simulated power, a rough estimation of the maximum value (σθ−σr)max of (σθ−σr) reached in each rod 24. Based on these maximum values, the system 40 is able to identify the rods 24 which are subject to the most stress during transient occurrences of power. Then, in step 56, the system 40 carries out complete thermomechanical calculations on the rods 24 which are subject to the most stress identified during step 54. These calculations are carried out using an item of thermomechanical calculation software of conventional type, for example, the software COPERNIC from the company AREVA NP. These complete thermomechanical calculations allow the value of (σθ−σr)sup to be determined and thus allow the effective value of Δ to be determined. This effective value can be supplied in particular to an operator in charge of the reactor 1, for example, using the display means 48. The operator is then in a position to know the extent to which he can operate the reactor in ERPO mode, or whether he must instead make it operate with nominal overall power PN. In the same manner, the effective value of Δ calculated using the method described above may be used by the system 40 in order to initiate the automatic implementation of some operations within the reactor 1, for example, the sounding of an alarm, the shutdown of the reactor 1, the increase of the overall power. The determined value of Δ is therefore used to command and/or control the operation of the reactor 1. As indicated above, the steps 50, 52, 54 and 56 are repeated regularly during an operating cycle of the core, which allows the value of Δ to be updated. This calculation of Δ which is almost in real time allows the careful considerations used up to the present time to be dispensed with for calculating the credit K and therefore allows gains to be made in terms of operability, whilst ensuring safe operation of the nuclear reactor. Generally, the method described above can be used to calculate values of other parameters representative of the operability, other than Δ. Such a parameter can be based on the circumferential and normal stress σθ only or on a density of deformation energy. It may also be the credit K. The above principles can be used for types of reactor other than pressurised water reactors, for example, for boiling water reactors. In some variants, step 50 may use calculations other than those described above. Also in some variants, a single transient occurrence of power is simulated during step 52. In the same manner, the transient occurrence(s) simulated may be transient occurrences of local or overall power. Also in some variants, step 52 may involve loops which are different from those described. In the same manner, a correlation or interpolation may be used only to determine the contact pressure between the pellets 36 and the cladding 33 of a rod 24. In still other variants, it is possible to identify during step 54 a single rod which is subject to the most stress, step 54 being implemented on this single rod.
040299686
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Illustrated in FIGS. 1 and 2 is a rack 10 for storing spent nuclear fuel elements in a boiling water reactor nuclear power plant. The rack 10 has been generally disclosed in an application filed by Herbert J. Rubinstein, Philip M. Clark and James D. Gilcrest on July 11, 1975, Ser. No. 595,444, entitled Rack For Storing Spent Nuclear Fuel Elements. The assignee of the present application is the same as the assignee for the aforementioned application. The present invention is equally applicable for racks for storing spent nuclear fuel elements in a pressurized water nuclear power plant. Such racks have been described in detail in the just-mentioned pending application. The rack 10 for storing spent nuclear elements is conventionally disposed in a spent fuel pool P for a nuclear power plant. The spent fuel pool P is well-known. However, for the purposes of the present invention, the spent fuel pool P may be deeper than conventional spent fuel pools, although this is not essential. The pool P, of course, contains water and all the racks for storing spent nuclear fuel elements disposed therein are submerged in the water. The rack 10 comprises a suitable base 11. In the exemplary embodiment, the base 11 includes a horizontal base plate 12 of a rectangular configuration, which is made of a case or fabricated metal, such as aluminum or steel. Depending from the base plate 12 are four longitudinally extending, transversely spaced support member 13 and four transversely extending, longitudinally spaced support members 14. The members 13 and 14 are vertically disposed and are made of cast or fabricated metal, such as aluminum or steel. The members 13 and 14 are suitably fixed to the base plate 12, such as by welding. Openings 15 are formed in the members 13 as passageways for water. Likewise, openings 17 are formed in the members 14 as passageways for water. A feature of the present invention is the provision of guide pins 20 (FIG. 3), which are fixed to the bottom of the pool P in the upright position. Depending from the base 11 are four feet 21. Formed in the feet 21 are suitable receptacles 22 (FIG. 3) which receive respectively the guide pins 20 for aligning the rack 10 in the pool P. Fixed to the base plate 12 by suitable means, such as welding, is a plurality of upstanding nuclear fuel element enclosures 25. In the preferred embodiment, each of the enclosures 25 is formed with a generally square cross-sectional area to dispose therein a spent nuclear fuel element of the type employed in nuclear power plants. The enclosures 25 are preferably made of aluminum or stainless steel. Openings 38 and 39 are formed in the enclosures 25 as passageways for water. In the exemplary embodiment, each enclosure 25 has an inside cross-sectional dimension of six inches. The outside cross-sectional dimension can suitably be six and one-half inches. Additionally, each enclosure 25, in the exemplary embodiment, is approximately thirteen feet or fourteen feet long. In rack 10, the enclosures 25 form, in the exemplary embodiment, three columns which are spaced apart. The spaces between columns of enclosures 25 form pockets 50. The bottoms of the pockets 50 are formed by the base plate 12, the sides of the pockets 50 are formed by confronting walls of the spaced apart enclosures 25 and the ends of the pockets 50 are formed by extensions 32. Disposed within the pockets 50 are suitable neutron absorbers, such as sheets 51 of Boral. Other high absorption neutron absorbers, such as cadmium, borated stainless steel, or poisoned plastic sheets, may also be employed. Extensions 32, preferably, extend along the entire length of the enclosures 25 associated therewith and are of projected dimension from the associated enclosure equal to the distance between confronting walls of spaced apart enclosures 25. In the exemplary embodiment, the thickness of each of the sheets 51 of Boral is one-eighth inch. The sheets 51 of Boral extend along the length of the enclosures 25 in an area corresponding to the active length of the nuclear fuel elements. Boral is sold by Brooks & Perkins Corporation and comprises boron carbide particles disposed in an aluminum metal. The Boral sheets serve as a neutron absorber. Selected rows of enclosures 25 are spaced apart to form pockets 55. The bottom of the pockets 55 is the base plate 12, the sides of the pockets 55 are the confronting walls of spaced apart enclosures 25, and the ends of the pockets 55 are the extensions 32. Disposed within the pockets 55 are suitable neutron absorbers, such as sheets 56 of Boral. Other neutron absorbers may be employed as above-mentioned. In the exemplary embodiment, the thickness of each sheet 56 of Boral is one-eighth inch. The sheets 56 of Boral extend along the length of the enclosures 25 in an area corresponding to the active length of the nuclear fuel elements. In a typical embodiment, there are ten or twelve rows of enclosures 25 and three columns of enclosures 25. Contiguous enclosures are preferably welded together along the lengths thereof for rigidifying the rack 10. The rack 10, in the exemplary embodiment, provides storage areas for thirty-six or thirty nuclear fuel elements. Surrounding the sides and ends of the rack 10 are vertically disposed panels, such as panels 30 of Boral sheets. Other high absorption neutron absorbers, such as cadmium sheets, borated stainless steel sheets, and the like, may also be employed. The sheets 30 of Boral serve as a neutron absorber. The sheets 30 of Boral are employed to maintain the effective multiplication factor (K.sub.eff) for the full array below the required limit or the point of criticality. The panels 30 of Boral are supported by a weld across the top of the edge thereof. Clips 31 inhibit horizontal movement of the panels 30 and allow vertical displacement. The load on the rack is not applied to the panels 30 of Boral. Thus, thermal expansion and rack flexure are accommodated without any load applied to the panels 30. In the exemplary embodiment, the thickness of each of the panels 30 of Boral is one-fourth inch. It is the pockets 50 that provide the enclosures for the sheets 51 of Boral for maintaining the correct positions thereof with respect to the spent reactor fuel elements for effective neutron absorption. The sheets 51 of Boral are welded to the enclosures 25 to prevent inadvertent removal from the pockets 50. Similarly, it is the pockets 55 that provide the enclosures for the sheets 56 of Boral for maintaining the correct positions thereof with respect to the spent reactor fuel elements for effective neutron absorption. Some of the sheets 56 of Boral are removable from the pockets 55 while under water for in-service inspection, while other sheets 56 of Boral are welded to the enclosures 25 to prevent inadvertent removal from the pockets 55. Sheets of Boral, cadmium or borated stainless steel are preferred in the pockets 50 and 55 over water as a neutron absorber because Boral, cadmium and borated stainless steel have a greater shielding capacity. This allows a closer geometric spacing of spent fuel elements without exceeding the K.sub.eff limit. Thus, the spent nuclear fuel elements can be located closer to one another without exceeding the critical limit for the effective greater shielding capacity. This allows a closer geometric spacing of spent fuel elements can be located closer to one another without exceeding the critical limit for the effective multiplication factor (K.sub.eff) for the fuel array. More specifically, the spent nuclear fuel elements can be spaced closer together and the effective multiplication factor (K.sub.eff) for the fuel array will remain below the required limit. In this manner, more spent nuclear fuel elements can occupy a given space in the storage pool of a nuclear power plant. Welded to the outside walls of the exterior columns of enclosures 25 are suitable lift plates 40 and 41. Conventional grapples or hoisting devices grip the lift plates 40 and 41 for raising and lowering the rack 10. According to the present invention, racks for storing spent nuclear fuel elements are placed one above the other (FIG. 3). In this manner, the floor space of a nuclear storage pool for a nuclear reactor plant can accommodate a greater number of spent nuclear reactor fuel elements. In FIG. 3, a rack 10a for storing spent nuclear fuel elements is disposed above the rack 10 for storing nuclear fuel elements. The rack 10a is similar to rack 10 and, hence, parts of the rack 10a similar in construction and operation to parts of the rack 10 have been designated with the same reference numeral accompanied by the suffix "a". For disposing the rack 10a for storing spent nuclear fuel elements above the rack 10 for storing spent nuclear fuel elements, a rack cover 60 (FIG. 3) is placed over the rack 10. The rack cover 60 comprises a top plate 61 with depending longitudinal walls 60'. Formed in the walls 60'are suitable openings 60" for the passage of water. Welded to the top plate 61 are upstanding guide pins 62. Depending from the base plate 12a of the rack 10a are feet 63. Formed in the feet 63 are suitable receptacles 64 for receiving the pins 62, respectively. There are preferably four pins 62 and four feet 63 formed with receptacles 64. The pins 62 are located in the vicinity of the four corners of the top plate 61. The pins 62 and receptacles 64 serve to align the rack 10a relative to the rack 10. For supporting an assembly of racks 10 and 10a, a frame-like support 70 (FIGS. 3 and 4) is provided. The frame-like support 70 comprises a plurality of parallel, longitudinally extending support members, such as I-beams 71a-71e, which are preferably made of structural steel or aluminum. Each rack, such as racks 10 and 10a, has along each end thereof a longitudinally extending support member. For example, along the ends of the rack 10 are I-beams 71a and 71b, and along the ends of the rack 10a are I-beams 71c and 71d. The longitudinal support members 71a-71b extend in a tightfit relation from the wall at one side of the pool P to the confronting wall on the opposite side of the pool P. Additionally, parallel, transversely extending support members, such as I-beams, cross over the support member 71a-71e at right angles. For example, transversely extending support member 72 extends in a direction parallel to the sides of the racks from the wall at one end of the pool in tight-fitting relation. As shown in FIG. 4, the frame-like support 70 can accommodate a group of racks, such as racks 10 and 10a. Suitable interengaging members (FIG. 3) of metal, such as steel or aluminum, are welded to upright members at the four corners of the racks and engage an adjacent inboard wall of the contiguous longitudinal support member of the frame-like support 70 to retain the rack in position relative to the frame-like support 70. For example, fixed to the rack 10 are upright members 80 and 81 of suitable metal material, such as steel or aluminum. The upright members 80 and 81 are disposed at opposite ends of the rack 10. Interengaging member 83 is welded to the upright members 80 at one end of the rack, and interengaging member 84 is welded to the upright members 81 at the other end of the rack 10. Similarly, fixed to the rack 10a are upright members 85 and 86 of suitable metal material, such as aluminum or steel. The upright members 85 are positioned at opposite ends of the rack 10a. Interengaging member 87 is welded to the upright members 85 at one end of the rack 10a, and interengaging member 88 is welded to the upright members 86 at the other end of the rack 10a. Illustrated in FIG. 5 is the I-beam 71d and the interengaging member 88 for the other end of the rack 10a. While the interaction between the I-beam 71d and the interengaging member 88 will be described in detail, it is understood that the interaction and construction of the other I-beams and interengaging members will be similar. The interengaging member 88 has a T-shape configuration with the stem of the T-shaped member fixed to the rack 10a and received by a suitable opening 89 (FIG. 5) formed in the I-beam 71d. The cross-piece of the interengaging member engages an adjacent inboard wall of the I-beam 71d and is of greater dimension than the opening 89 so as to engage the inboard walls of the I-beam 71d adjacent thereto. Through this arrangement, the rack 10a can be raised by grapples or a hoist gripping the lifting members 40a and 41a to remove the rack 10a from the pool P. On the other end, the rack 10a is retained, through this arrangement, securely in the pool P by the frame-like support 70. To avoid the weakening of the I-beams 71a-71e because of the openings formed therein to accommodate the stems of the T-shaped support members, suitable blocks are fixed thereto in the vicinity of the openings, such as metal blocks 90a-90d. Illustrated in FIGS. 6-9 is a modification of the interengaging arrangement between the rack and the I-beam of the frame-like support 70. In the modification shown in FIGS. 6-9, there are no openings formed in the I-beam, such as the opening 89, to accommodate the stem of a T-shaped member. Thus, the blocks 90a-90d are not employed. Welded to the upper surface of the I-beam, such as I-beam 71b, is a flat metal plate 100. Fixed to the angle membes 80 and 81 of the rack 10, such as by welding, is an angle bar 101. Formed in the base of the angle bar 101 is a suitable slot 103. A bolt 102 is swivelly attached to the plate 100 and is received by the slot 103 in the angle bar 101 to enable the rack 10 to be removably secured to the frame-like support 70. A nut 104 retains the bolt 102 in a fixed position against the angle bar 101.
044477333
claims
1. A radiation-shielding transport and storage container for radioactive material, said container comprising: a radiation shielding vessel composed of cast iron or cast steel and defining a storage chamber for said radioactive material and a mouth opening into said chamber and formed with a plurality of seats; a plug-type radiation-shielding cover received in one of said seats and sealed with respect to said vessel by an inner seal; a safety cover speced outwardly from said shielding cover, received in another of said seats and sealed with respect to said vessel by an outer seal whereby said covers define a control space between said inner and outer seal containing gas at a pressure significantly higher than that in said chamber and than atmospheric pressure; and pressure-monitoring means communicating with said space and responsive to a drop in the pressure therein below a predetermined threshold value for signaling a failure of one of said seals. (a) introducing radioactive material into the chamber of the cast iron or cast steel vessel having a wall thickness sufficient to prevent escape of radiation through the walls of said vessel; (b) sealing a radiation-absorbing cover in said vessel; (c) sealing a safety cover to said vessel above said radiation-absorbing cover establishing a control space between said covers which is sealed by said covers from the interior of said vessel and the atmosphere respectively; (d) pressurizing said space with gas at a pressure established above the pressure in the interior of said vessel and above atmospheric pressure; and (e) monitoring the pressure in said space and signaling the failure of a seal of one of said covers upon the monitor pressure dropping below a predetermined threshold value. inserting a plug into said vessel of a thickness sufficient to prevent radiation from escaping through said plug while sealing said chamber with at least one inner seal formed between said plug and said vessel; disposing on said vessel above said plug a safety cover and sealing said safety cover to said vessel with at least one outer seal; establishing a pressure within said chamber of substantially 0.8 to 1.5 bar; establishing with the compartment defined between said cover and said plug and between said inner and outer seals a pressure of substantially 6 bar; and monitoring the pressure in said compartment to detect a change in pressure representing a breach of one of said seals, thereby enabling corrective action. 2. The container defined in claim 1 wherein the pressure in said space is about 6 bar and the pressure in said chamber is between 0.8 and 1.5 bar. 3. The container defined in claim 1 or claim 2 wherein a further cover is mounted on said vessel and sealed relative thereto above said safety cover. 4. The container defined in claim 3 wherein said shielding cover has a frustoconical inner portion and cylindrical outer portion overhanging said inner portion, said outer portion forming a shoulder, said inner seal including sealing rings between each of said portions and said vessel. 5. The container defined in claim 4 wherein said shielding cover and said vessel are composed of spherolytic cast iron. 6. A method of packaging radioactive material which comprises the steps of: 7. A method of operating a transport and storage vessel for radioactive waste which comprises introducing radioactive material into a chamber of a cast iron or steel vessel having a wall thickness sufficient to prevent escape of radiation therefrom;
043702961
claims
1. A compact toroidal fusion reactor for producing energy from fusion reactions having a plasma containing toroidal fusion region and having a main axis, comprising: (a) a toroidal field generating means for producing a toroidal magnetic field in said fusion region upon the passage of current therethrough, said toroidal field generating means having an inner circumferential contour and an outer edge, said inner circumferential contour having a recessed portion extending for an arcuate section along the side nearest said main axis and being positioned substantially immediately proximate said toroidal fusion region; and (b) ohmic heating coils for ohmically heating said plasma, said ohmic heating coils positioned adjacent to said toroidal fusion region and between said toroidal fusion region and said toroidal field generating means in a region provided on the inner circumferential contour of said toroidal field generating means along the side nearest the main axis of said toroidal fusion region. 2. A fusion reactor as recited in claim 1 wherein said toroidal field generating means comprises a plurality of toroidal field coils each having an inner circumferential contour and an outer edge. 3. A fusion reactor as recited in claim 1 wherein said fusion reactor further comprises means for producing fission reactions and wherein said fission producing means comprises a region of fissile-fertile material positioned within the region of said toroidal field generating means. 4. A fusion reactor as recited in claim 3 wherein said toroidal field generating means comprises a plurality of toroidal field coils forming a toroid about a main axis and having an inner circumferential contour positioned substantially adjacent said toroidal fusion region except in the region of said ohmic heating coils and wherein said fissile-fertile material is positioned primarily on the side away from the main axis of said toroidal coils. 5. A fusion reactor as recited in claim 4 wherein said fissile-fertile material forms discrete regions within the region of said plurality of toroidal field coils and extends generally from the inner circumferential contour of said toroidal coils to an outer circumferential contour of said toroidal coils on the side away from the main axis of the toroidal coil. 6. A fusion reactor as recited in claim 5 or 1 wherein the main axis of said toroidal field generating means coincides with the main axis of said toroidal fusion region. 7. A method of increasing efficiency of a fusion reactor of a toroidal configuration having a main axis and having toroidal field generating means with an inner contour positioned substantially immediately adjacent a toroidal fusion region and ohmic heating coils for ohmically heating plasma within said toroidal fusion region comprising the steps of forming a recessed portion in said inner contour of said toroidal field generating means extending for an arcuate section along a side nearest the main axis and positioning said ohmic heating coil between the toroidal fusion region and the toroidal field generating means in said recessed portion region whereby space is made available near the axis of the toroidal fusion region. 8. A method as recited in claim 7 further comprising the steps of utilizing the space made available near the main axis of the fusion region by increasing the radial dimension of the toroidal field generating toward a side nearest the main axis of said toroidal fusion region, to increase the cross-sectional area of the toroidal field generating means in the region nearest the main axis of the toroidal fusion region.
summary
abstract
A method and system for the thermoelectric conversion of nuclear reactor generated heat including upon a nuclear reactor system shutdown event, thermoelectrically converting nuclear reactor generated heat to electrical energy and supplying the electrical energy to a mechanical pump of the nuclear reactor system.
047605898
description
DETAILED DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a grid cabinet 1 with an X-ray film cassette tray 2, also known as a cassette holder, which slides in and out of the cabinet 1. The tray 2 does not separate completely from the cabinet 1, but slides out only to the position shown in FIG. 1 to allow for easy inserting or removing of film cassettes. The tray 2 locks automatically at both farthermost inner and outer positions. The lock holding the tray 2 in either of the end positions can be released by squeezing the trigger 11 in the handle 7. The tray 2 has two pairs of jaws 3, 4 and 5, 6 for centering a cassette inserted in the tray. The jaws of each pair are coupled together and move isocentrically in respect to the center of the tray 2. Each pair of the jaws move independently of the other. When the tray 2 is pulled out of the cabinet to the end position by the handle 7, the jaws 3, 4 open automatically to full size. The jaws 5, 6 can be opened by (a) pulling out the handle 8 or (b) in a one-hand operation by pushing the jaw 5 into the cabinet with a film cassette being inserted, then the jaw 6 linked to the jaw 5 will open automatically and the cassette can be inserted under a lip 9. The lip 9 on the jaw 5 and the lip 10 on the jaw 6 prevent the cassette from falling out when the cabinet 1 is used in the vertical or upside down positions. In another embodiment of this disclosure the pair of the jaws 5, 6 is connected to the cabinet 1 in such a way that both pairs of the jaws open automatically together when the tray is pulled out. A choice between these two embodiments depend on a user's preference and specific applications. For example, when the cabinet 1 is used in the vertical or upside down position an automatic opening of the jaws 5, 6 together with the jaws 3, 4 will result in the cassette falling out. A grid 12 can be placed in a frame 14 either (a) from the top by unlocking flippers 17 or (b) from the front by opening a grid frame lock 15 together with a spring 13, then sliding the frame 14 up to the front to the position in which the flippers 17 can be unlocked and the grid can be inserted or removed. The grid frame lock 15 holds the progressive spring 13 in tension all the time except when the grid is being replaced from the front. The grid 12 inserted into the frame 14 moves on the ball rolling slides from the front to the back of the cabinet 1 whenever actuated by the motor drive system 16 described in FIG. 3. The motor drive system 16 is disposed in the back of the cabinet 1. This location and the compactness of said assembly allows reduction of the width of the cabinet 1 by at least the width of said system and, therefore, for a longer travel of the cabinet in the X-ray table and, thus, for an additional coverage of a patient. Stationary grids are also used in some applications; a grid cabinet for stationary grids, according to this disclosure, is identical to the aforementioned grid cabinet, except for the absence of the motor drive system 16. FIG. 2 illustrates the tray 2 with the cassette centering jaws 3, 4, 5, 6 and means for sensing both the width and the length of the cassette, continuously variable throughout the range of sizes. The tray 2 is shown in the position slid all the way into the cabinet 1. The jaws 3, 4 are connected by respective arms 18 and 19 to the arm 20 which pivots about the shoulder rivet 21 placed in the center of the tray 2. The jaws 5, 6 are connected by the respective arms 22 and 23 to the arm 24 which pivots about the pivot point 25 placed toward the back of the tray 2. The jaws 3, 4, 5, 6 move on ball rolling slides in respective channels 26, 27, 28, 29. The channels 26, 27, 28, 29 are offset in respect to the point 21 and are longer than one half of the tray dimensions to provide a longer travel path of the jaws than the largest difference in the cassette sizes in order to have enough structural distance between the balls of said slides and better rigidity. Movement of one of the jaws of the pair 3, 4 causes the other jaw of said pair through the linking arms 18, 19, 20 to move simultaneously and center the cassette between said jaws. Similarly, the jaws 5, 6 are coupled together by the linking arms 22, 23, 24 and movement of one of the jaws of the pair 5, 6 causes the other jaw of said pair to move simultaneously and center the cassette between said jaws. The jaws 3, 4 are retracted by the spring 30 and the jaws 5, 6 are retracted by the spring 31. The tension of the springs 30, 31 holds the cassette in the center of the tray 2. When the tray 2 is being pulled out of the cabinet 1 by the handle 7, firstly, the bearing 32 attached to the jaw 4 rolls in the channel 33 to the end of said channel, then an arm 34 connected to the channel 33 starts pivoting about the pivot point 35 affixed to the cabinet 1 and not to the tray 2 and causes the jaws 3, 4 to open to the full gap. When the tray 2 is inside the cabinet 1, one dimension of the cassette is determined by the position of the jaw 4 and the bearing 32 in the channel 33. The position of bearing 32 determines, in turn, the position of the arm 34 and a sensing arm 36 extending from the latter to a rack 37. The rack 37 rotates gear 38 on potentiometer 39 disposed in the cabinet 1. The other dimension of the cassette is determined by the position of the jaw 5 and the arm 40 actuated by the bearing 41 attached to the jaw 5. A sensing arm 43 extends from the arm 40 to a rack 44 and moves as the arm 40 pivots about the pivot point 42 affixed to the cabinet 1. The rack 44 rotates the gear 45 on the potentiometer 46 disposed in the cabinet 1. The potentiometers 39, 46 send signals to an X-ray collimator which adjust the collimator 69 in a relationship consistent with the X-ray film cassette held by the tray. In another embodiment of this invention the sensing arms 36 and 43 are connected by a mechanical linkage 71 directly to the collimator's shutters 70 and automatically adjust the shutters to match the fields of an X-ray beam with the film size as shown in FIG. 2A. This system does not require potentiometers nor servomechanisms and is less expensive and simpler. Still in another embodiment of this invention in place of the potentiometers 39, 36 incremental encoders can be used. For an automatic opening of the jaws 5, 6 together with the jaws 3, 4 the arm 24 is connected to hinge arms 47 and 48. The hinge arm 47 is affixed to the cabinet 1 at the pivot point 49. When the handle 7 is being pulled out, firstly, the hinge arms 47 and 48 extend completely and hold the arm 24, then said arm starts moving and opening the jaws 5, 6. For a manual opening of the jaws 5, 6 the arms 47, 48 are disconnected from the arm 24. FIG. 3 illustrates means for actuating the grid frame comprising the motor drive system 16. A variable speed motor 50 when operating rotates a cam 51 which in turn actuates a cam follower 52 with an offset bushing 53. The cam follower 52 is attached to an arm 54 which pivots about the pivot point 55. On the opposite end of the arm 54 a roller 56 pushes the grid frame 14 counter to the tension of the progressive spring 13 which holds the frame 14 in contact with the roller 56. An actuator 57 affixed to the arm 54 actuates microswitches 58, 59. In another embodiment a light breaking device can be used in place of said microswitches. The microswitch 58 brings the motor 50 to the starting position also known as the homing position when the exposure switch is disconnected. The cam 51 is shown in the homing position. The microswitch 59 initiates an exposure whenever the cam follower 53 is at the point of the steepest curvature of the cam 51, that is when the grid 12 in the frame 14 is moving at the highest speed. The shape of the cam 51 is designed to insure: firstly, rapid but controlled release of the spring 13; secondly, gradual decrease in the grid speed; thirdly, reversing the direction of the grid travel in a very short time; and fourthly, progressive slowing of the spring loading when the spring tension increases. The function of the arm 54 is to increase the length of the grid travel as compared to the conventional designs and to keep the motor drive system 16 compact enough to place it in the back of the cabinet 1. The bushing 53 on the cam follower 52 has an offset outside diameter compared to the hole in the bushing and the ratio of the circumference of the bushing 53 to the circumference of the cam 51 is equal to an odd number. This design insures that the reversing of the grid travel direction takes place at random points within the distance between grid lines and, therefore, prevents the grid lines from being photographed. FIG. 4 illustrates graphically the characteristics of the grid movement; one cycle of the grid travel is shown. A displacement of the grid from its starting position is shown on the vertical axis and the time is presented on the horizontal axis. The initial point (0,0) on the graph represents the grid at the homing position when the progressive spring 13 is fully loaded. At the time zero the motor is turned on, said spring is released and the grid moves to point A when acceleration reaches maximum. The cam 51 is designed to maintain the contact with the cam follower 52 with a minimum reduction in the acceleration. An X-ray exposure starts at the point A when the grid travels at the maximum speed and for this reason allows for very short exposures to be taken without grid lines being photographed. Past the point B the speed of the grid is being progressively reduced as controlled by the shape of the cam 51 and the speed of the motor 50. The grid movement at high speeds in the initial phase of the cycle, from A to B, allows for short exposures and, therefore, for reduced radiation doses to a patient when high speed films and rare earth screens are used. Gradual decrease in the grid speed results in a longer cycle suitable for medium exposures to be taken before the travel direction of the grid is reversed at the point C. In addition, lower cycling frequency causes less vibrations and, thus, improved picture quality. From the point C to the point D the grid speed is gradually further reduced for easier loading of the spring. At the longer exposure times, as used in tomography, the speed of the grid is not critical because there is enough time for several grid lines to pass the same point and, thus, not to be photographed. The motor drive system 16 as described in FIG. 3 insures that the time for reversing the grid travel direction is short and that the reversing takes place at random points. The variable speed motor 50 used in this invention allows for adjusting the frequency of the grid lines travel: (a) to accommodate different exposure times, (b) to avoid the said frequency to synchronize with the X-ray generator's frequency and (c) to reduce resonance vibration of the suspension. FIG. 5 illustrates the ball rolling slides also known as rails for the jaws 3, 4, 5, 6. The tray 2 has lips 60 bent 45 degrees up. Two identical rails 61 are spot welded to the bottom of the tray 2, each has a lip 62 symmetrical to the lips 60. The top part 63 and the bottom part 64 of said slides are formed as shown in FIG. 5 to provide a symmetrical four point loading on the ball 65, said parts 63, 64 constitute also an integral structure of respective jaw. This design allows for very small structural thickness and for preloading of the balls. Preloaded balls provide smooth motion, durability and no rattling. FIG. 6 is the cross section of one side of the ball rolling slides for the grid frame 14 movement. The grid cabinet 1 has a spot welded channel 66. The grid frame 14 with the grid 12 rolls between two rows of balls 67, 68 in the channel 66. The frame 14 formed as shown in FIG. 6 constitute an integral structure of said slides. Ball rolling slides for the tray movement, wherein part of the structure of the cabinet and the tray constitute also an integral structure of said slides, are very similar to the ball rolling slides for the grid frame movement. Utilization of all linear moving components of the device, comprising the grid cabinet, the cassette tray, cassette centering jaws and the grid frame, as an integral structure of the ball rolling slides significantly reduces dimensions of the device, improve its rigidity and at the same time lowers the cost. Although, one detailed embodiment of the invention is illustrated in the drawings and previously described in details, this invention contemplates any configuration and design of the components which will accomplish the equivalent results.
056132433
claims
1. A process for stabilization of radionuclides derived from naturally occurring mineral sources, the process comprising the steps of: (i) forming a substantially barium-free composition comprising a radionuclide and sufficient stabilizing component to ensure that when the composition is roasted, a crystalline phase is formed having a structure that binds the radionuclide; and (ii) roasting the composition under conditions sufficient to form said crystalline phase as a granular solid of surface area 1-100 m.sup.2 /g in which the radionuclide is bound such that there is substantially no solubility of the radionuclide. 2. A process according to claim 1, wherein the stabilizing component is a compound of a lanthanide and/or a compound of phosphorus. 3. A process according to claim 2, wherein the stabilizing component is a compound of a lanthanide and a compound of phosphorus. 4. A process according to claim 2 or claim 3, wherein the radionuclide includes uranium and/or thorium and/or progeny radionuclides in the decay chains of thorium and uranium radioisotopes. 5. A process according to claim 2 or claim 3, wherein the radionuclide includes radium. 6. A process according to claim 1, wherein the stabilizing component is a zirconium compound that is capable of producing a zirconia phase when roasted. 7. A process according to claim 6, wherein the stabilizing component includes an element that promotes the formation of a cubic form of zirconia. 8. A process according to claim 6 or claim 7, wherein the radionuclides include uranium and thorium. 9. A process according to claim 1, wherein the composition comprises an aqueous solution of the radionuclide and the stabilizing component. 10. A process according to claim 9, further comprising the step of evaporating the solution prior to said roasting the composition. 11. A process according to claim 1, wherein roasting is conducted at a pressure no greater than 20 atmospheres. 12. A process according to claim 1, wherein said roasting is spray roasting. 13. A process according to claim 9, wherein said solution undergoes hydrolysis of salts therein to oxides, hydrated oxides, hydroxides or mixtures thereof prior to said roasting.
056132395
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a method and apparatus for decomposing an organic solution composed of a chelating solution and/or an organic acid containing radioactive metal ions, and further to a method and apparatus for collecting radioactive metals using the same, which are used for removing, or decontaminating, the radioactivity of radioactive metals coming out of atomic power plants and the like. 2. Description of the Related Art In decomposing waste solutions disposed out of atomic power plants and the like, there have hitherto been raised problems of collecting radioactive metals by way of dissolution. For instance, machinery and equipment installed at an atomic power plant are exposed to and laden with radioactivity and hence are required to be decontaminated by removal of their surface layers. and this poses a problem of collecting the resultant radioactive metals by way of dissolution. The above problem can generally be coped with by removing the surface layers of the machinery and equipment by sand blasting or the like and by dissolving the resultant metals in an acid or the like to collect them. Here, the term "collect" can be taken as meaning "remove", and in the present invention is used including such meaning. The dissolution and collection steps can be conducted with an inorganic acid, an organic acid or a chelating solution. However, since an inorganic acid is rather dangerous to handle, a chelating solution or an organic acid is, or both are, usually used. However, a chelating solution or an organic acid to be used for dissolution and collection of radioactive metals has a problem of its post-treatment in view of its mass volume needed for such treatment. Because metals to be treated are laden with radiactivity, a chelating solution and/or an organic acid having such metals dissolved therein must be stored in safety and will reach a vast amount in quantity. This invites a social problem as to selection of locations at which to store such solution and acid, expansion of the existing locations, etc. A chelating solution or an organic acid containing radioactive metal ions needs to be cemented at the final stage, but all or most of such solution contains carboxyl group (COOH--) which would adversely affect the structural strength of mortar, rendering cement fixation unfeasible. In order to solve this problem, it is necessary to decompose a chelating solution and/or an organic acid in which radioactive metals have been contained, but any suitable method which would allow the decomposition step to be conducted in an efficient manner has not been found. Moreover, because of low ionizing degree, such chelating solution and/or organic acid is difficult to be electrolyzed or made by any similar treatment, leaving such kind of treatment beyond consideration. SUMMARY OF THE INVENTION With the foregoing problems of the prior art in view, the present invention provides a method and apparatus for decomposing an organic solution composed of a chelating solution and/or an organic acid containing radioactive metal ions, which make it available to quite remarkably decrease the mass volume of radioactive metals which are required to be stored after collection thereof by way of dissolution, and a method and apparatus for collecting radioactive metals using the same. The invention also provides a method and apparatus for collecting radioactive metals in efficient and effective manner using the same. More specifically, the invention provides a method for decomposing an organic solution containing radioactive metal ions, which comprises: forming a solution containing radioactive metal ions by applying a chelating solution and/or an organic acid to radioactive metals laden with radioactivity; adding an alkaline agent to the resultant solution to elevate electrical conductivity thereof; and electrolyzing the solution elevated in electrical conductivity with an electrolytic device. There is also provided a method for collecting radioactive metals by way of dissolution, which comprises: forming a solution containing radioactive metal ions by applying a solution composed of a chelating solution and/or an organic acid to radioactive metals laden with radioactivity; adding an alkaline agent to the resultant solution to elevate electrical conductivity thereof; electrolyzing the solution elevated in electrical conductivity to generate therein metal hydroxides which are little soluble in water; and filtering the electrolyzed solution to separate metal hydroxides from the filtrate. There is also provided a method for collecting radioactive metals by way of dissolution, which comprises: forming a solution containing radioactive metal ions by applying a solution composed of a chelating solution and/or an organic acid to radioactive metals laden with radioactivity; adding an alkaline agent to the resultant solution to elevate electrical conductivity thereof and to generate therein metal hydroxides which are little soluble in water; filtering the solution to separate the metal hydroxides as a filter cake from the filtrate; electrolyzing the filtrate to further generate therein metal hydroxides which are little soluble in water; and filtering the filtrate to further separate metal hydroxides as a filter cake from the filtrate. There is also provided a method for collecting radioactive metals by way of dissolution, wherein organic matter contained in the filtrate which is separated by filtration after electrolysis is further decomposed by an ultraviolet irradiator. There is also provided a method for collecting radioactive metals by way of dissolution, wherein the matter decomposed by ultraviolet irradiation is separated from the filtrate by reverse osmosis. There is also provided a method for collecting radioactive metals by way of dissolution, wherein the matter decomposed by ultraviolet irradiation is separated from the filtrate by use of ion-exchange resin. Further, there is also provided apparatus for decomposing an organic solution containing radioactive metals, which comprises: an electrical conduction tank in which an alkaline agent is fed to a solution composed of a chelating solution and/or an organic acid having dissolved therein radioactive metals laden with radioreactivity, to elevate electrical conductivity of the solution; and an elecrolytic device located at a later stage than the electrical conduction tank to electrolyze the solution elevated in electrical conductivity. There is also provided apparatus for collecting radioactive metals, which comprises: an electrical conduction tank in which an alkaline agent is fed to a solution composed of a chelating solution and/or an organic acid containing radioactive metals laden with radioactivity, to elevate electrical conductivity of the solution; an electrolytic device located at a later stage than the electrical conduction tank to electrolyze the metal solution elevated in electrical conductivity; and a filtration device positioned at a stage next to and communicating with the electrolytic device. There is also provided apparatus for collecting radioactive metals, wherein the filtration device is provided between the electrical conduction tank and the electrolytic device. There is also provided apparatus for collecting radioactive metals, wherein the filtration device is provided with an ultraviolet irradiation device having a separation device, at a later stage than the filtration device. There is also provided apparatus for collecting radioactive metals, wherein the separation device is of a reverse osmosis type. There is also provided apparatus for collecting radioactive metals, wherein the separation device is of an ion-exchange resin type.
052672867
abstract
A fuel assembly having a water cross or water rod arranged between the fuel rods, an inside of the water cross or water rod being divided into a coolant rising passage and a coolant lowering passage, and a control guide tube disposed inside the water cross or water rod and extending along an axial direction of the water cross or water rod. The coolant rising passage has a coolant inlet port formed to a portion above or under a portion at which the fuel rods are supported by the lower tie plate. The control element guide tube has a coolant outlet port formed at that portion so that a coolant introduced into the coolant rising passage flows vertically upwardly, then turns and flows downwardly along the control element guide tube, and flows into an inside thereof through the coolant outlet port. A reactor core comprises a plurality of fuel assemblies each having a structure described above, a control rod and a control element operated in association with the control rod.
summary
summary
abstract
Provided is a method that can remove fission products in a spent electrolyte produced in a dry reprocessing process by an easy operation and can vitrify the fission products easily, the fission products including not only the fission products that generate precipitate but also the fission products that generate no precipitate. A spent electrolyte produced in a dry reprocessing process is subjected to a phosphate conversion processing to obtain a processing target substance; the processing target substance is passed through a separating material 10 including an iron phosphate glass at a temperature of not more than a softening point of the iron phosphate glass in order to remove insoluble fission products included in the processing target substance by filtration with the separating material and to sorb fission products in solution to the separating material for separation; and the iron phosphate glass holding the fission products is used as a waste vitrification material.
summary
claims
1. An inspection apparatus, comprising:a feed-in preparation chamber;an imaging chamber; anda feed-out preparation chamber, whereineach of the feed-in preparation chamber and the feed-out preparation chamber comprises:a feed-in conveyor configured to receive an inspection object through a first opening,a traverser configured to translate the received inspection object to a second opening in a direction different from a direction in which the inspection object is received, anda feed-out conveyor configured to move the inspection object in a direction different from a moving direction of the traverser, and discharge the inspection object through the second opening, andthe imaging chamber comprises:an imaging unit that images the inspection object that is received from the feed-in preparation chamber, wherein the traverser comprises:a mount on which the inspection object is mountable, anda shield in contact with each opposing inner wall of the feed-in preparation chamber or the feed-out preparation chamber, the shield configured to move together with the mount, and prevent radioactive rays that enter through one of the first opening and the second opening and propagate in the moving direction of the traverser from reaching the other one of the first opening and the second opening. 2. The inspection apparatus according to claim 1, whereinthe shield comprises a first member and a second member, andthe first member and the second member are positioned to define a closed space together with the opposing inner walls of the feed-in preparation chamber or the feed-out preparation chamber while the traverser is moving. 3. The inspection apparatus according to claim 2, whereinthe first opening and the second opening are spatially separated by the closed space. 4. The inspection apparatus according to claim 2, whereinthe first member and the second member comprise plates that are arranged in parallel with the mount sandwiched therebetween within a plane orthogonal to the moving direction of the traverser, and are slidable on the opposing inner walls of the feed-in preparation chamber or the feed-out preparation chamber. 5. The inspection apparatus according to claim 4, whereina shortest distance from the first opening to the second opening is longer than a distance between the first member and the second member. 6. The inspection apparatus according to claim 1, further comprising:a member configured to limit a movable range of the traverser to prevent the traverser positioned at one of the first opening and the second opening from moving in a direction away from the other one of the first opening and the second opening. 7. The inspection apparatus according to claim 1, whereinthe feed-in conveyor comprised in the feed-in preparation chamber is configured to feed a subsequent inspection object into the feed-in preparation chamber before the imaging unit completes imaging. 8. The inspection apparatus according to claim 7, whereinthe traverser comprised in the feed-in preparation chamber is configured to move the subsequent inspection object to the second opening before the imaging unit completes imaging. 9. An inspection apparatus, comprising:a feed-in preparation chamber;an imaging chamber; anda feed-out preparation chamber, whereineach of the feed-in preparation chamber and the feed-out preparation chamber comprises:a feed-in conveyor configured to receive an inspection object through a first opening,a traverser configured to translate the received inspection object to a second opening in a direction different from a direction in which the inspection object is received, anda feed-out conveyor configured to move the inspection object in a direction different from a moving direction of the traverser, and discharge the inspection object through the second opening, andthe imaging chamber comprises an imaging unit imaging the inspection object that is received from the feed-in preparation chamber,wherein the traverser comprises:a mount on which the inspection object is mountable, anda first shield and a second shield each in contact with each opposing inner wall of the feed-in preparation chamber or the feed-out preparation chamber and configured to move together with the mount, andthe first shield and the second shield are positioned to define a closed space together with the opposing inner walls of the feed-in preparation chamber or the feed-out preparation chamber to allow the closed space to spatially separate the first opening from the second opening while the traverser is moving. 10. The inspection apparatus according to claim 3, whereinthe first member and the second member comprise plates that are arranged in parallel with the mount sandwiched therebetween within a plane orthogonal to the moving direction of the traverser, and are slidable on the inner walls of the feed-in preparation chamber or the feed-out preparation chamber. 11. The inspection apparatus according to claim 10, whereina shortest distance from the first opening to the second opening is longer than a distance between the first member and the second member.
047160071
abstract
A mechanical spectral shift reactor comprises apparatus for inserting and withdrawing water displacer elements having differing neutron absorbing capabilities for selectively changing the water-moderator volume in the core thereby changing the reactivity of the core. The displacer elements may comprise substantially hollow cylindrical low neutron absorbing rods and substantially hollow cylindrical thick walled stainless rods. Since the stainless steel displacer rods have greater neutron absorbing capability, they can effect greater reactivity change per rod. However, by arranging fewer stainless steel displacer rods in a cluster, the reactivity worth of the stainless steel displacer rod cluster can be less than a low neutron absorbing displacer rod cluster.
abstract
A scattered radiation grid for absorbing secondary radiation scattered by an object comprises a support, and a plurality of spaced-apart absorbing elements affixed to the support. The plurality of absorbing elements comprises relatively small tubes or pins affixed to the support via plug-in or clamping fixtures.
050531875
description
Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a partial region of a core structure 1 of a water-cooled nuclear reactor plant. The core structure receives a core shroud or barrel 2, the periphery of which is matched to a composite structure of non-illustrated fuel assemblies. Therefore, as viewed in cross-section, the core shroud has a multiplicity of bent-out portions. In the vicinity of each bent-out portion, the core shroud is fastened by screws 3 to the core structure 1. In order to perform inspection or repair of the screws 3, a support plate 4 is disposed on the bottom of the core structure and a mast 5 is supported thereon. At its non-illustrated top end, the mast may be held by a hoist. An inspection or repair device 6 can move along the mast for the inspection or repair of the screws 3. As can be seen from FIG. 2, which is a plan view of a partial region of the support plate 4, a plurality of pairs 7 of bores are formed therein. Bores 8, 8a of the pairs 7 are each associated with a first marking in the form of groove 9. In this configuration, an imaginary continuation of the groove 9 in each case runs through the centers of the bores 8, 8a. The center-to-center distance of a pair 7 of bores is marked by a cross-groove 10, which runs perpendicularly to the groove 9 and intersects it. In order to reach various inspection positions, centering pins 11, 11a associated with the mast 5 and seen in FIG. 3 have to be inserted into the corresponding pairs of bores 7, which have a spacing corresponding to the spacing between the centering pins. In order to position the mast 5, which .is indicated in phantom in FIGS. 3 and 4, a baseplate 12, which serves as a marking element, is fastened on the underside of the mast 5 by means of screws 13. At one bottom edge of the mast, the baseplate 12 protrudes beyond the mast cross section with a region 14 seen in FIGS. 4 and 5. In this region 14, a console 15 which extends parallel to the mast 5 is embedded or let-in and is fastened by a screw connection 16. A television camera 20 is supported in a holder 19 that is fastened by screws 17 in slots 18 formed in the console 15, and the camera is secured by a clamp 21. The holder 19 also receives two lighting fixtures 22, which are secured by means of holding elements 23, 24. The centering pins 11, 11a are screwed into threaded bores 25 in the region 14 of the baseplate 12. . In symmetrical configurations of the centering pins 11, 11a, the region 14 has a recess or clearance 26, which reaches, closer to the console 15 than the threaded bore 25. A bore 27 is formed in side walls 28 of the region 14. In this configuration the bore passes diametrically through the centering pins 11, 11a being screwed-in at that location. A second marking, which has been given the form, of a thread 29 or wire, is fitted in the bore 27 in such a way that it spans the recess or clearance 26. The thread or wire is held in the bore 26 by clamping screws 30. In order to mark the center-to-center distance, a cross-piece 31, which crosses over the thread 29, protrudes from the base of the clearance or recess 26. Once a rough adjustment has been made in order to bring the mast 5 sufficiently far into its position that the television camera picks up not only the thread 29 and the cross-piece 31 but also the groove 9 and the cross-groove 10 of the support plate 4 seen in FIG. 5 on a non-illustrated monitor, the mast 5 is moved sideways by means of a non-illustrated slight adjustment until the thread 29 is brought into line with the groove 9 and the cross-piece 31 is brought into line with the cross-groove 10. The thickness of the thread and the width of the groove correspond in this case, so that the width of the crosspiece and the width of the cross-groove correspond as well. Due to the virtually perpendicular direction of viewing of the single television camera, there is no picture distortion, so that precise positioning through a monitor is possible. While the positioning shown in FIG. 5 is before the markings are brought into line, according to FIGS. 3 and 4 the centering pins 11, 11a and the bores 8, 8a are already on the same axis, so the mast then only has to be lowered until the baseplate 12 of the mast is set down on the support plate 4.
claims
1. A device for measuring radiation comprising:a radiation detector configured to generate an analog signal containing pulse components corresponding to a dosage of an inputted radiation;an n-th moment calculation unit configured to calculate an average value of the n-th power values of pulse heights within a time width as an n-th moment value based on the analog signal outputted from the radiation detector, where n is an integer of not less than two, and where the pulse heights correspond to the pulse components included in the analog signal;a pulse counter configured to count a number of pulse components based on the analog signal outputted from the radiation detector;an average energy calculation unit configured to calculate an average energy of the radiation based on a ratio of the n-th moment value calculated by the n-th moment calculation unit to the number of the pulse components counted by the pulse counter; anda dosage evaluation unit configured to calculate a dosage equivalent to the radiation based on the average energy of the radiation outputted from the average energy calculation unit and the ratio of the n-th moment value to the number of the pulses. 2. A device for measuring radiation comprising:a radiation detector configured to generate an analog signal containing pulse components corresponding to a dosage of an inputted radiation;an n-th moment calculation unit configured to calculate an average value of the n-th power values of pulse heights within a time width as an n-th moment value based on the analog signal outputted from the radiation detector, where n is an integer of not less than two, and where the pulse heights correspond to the pulse components included in the analog signal;a current measurement instrument configured to calculate an average current from the pulse heights of the pulse components included in the analog signal;an average energy calculation unit configured to calculate an average energy of the radiation based on a ratio of the n-th moment value calculated by the n-th moment calculation unit to the average current calculated by the current measurement instrument; anda dosage evaluation unit configured to calculate a dosage equivalent to the radiation based on the average energy of the radiation outputted from the average energy calculation unit and the ratio of the n-th moment value to the average energy. 3. The device as recited in claim 2, further comprising:second to n-th moment calculation units each calculating an average value of one of second to n-th power values of the pulse heights within a time width as one of second to n-th moment values, respectively, wherein n is an integer of not less than two;an energy spectrum evaluation unit which calculates at least two of first to n-th ratios of the first to n-th moment value outputted from the first to n-th moment calculation units, respectively, to the average current value calculated by the current measurement instrument, and evaluates energy spectrum of the radiation by a matrix operation using the calculated ratios and a response matrix corresponding to the calculated ratios.
claims
1. A system for closing a drum unit for storing radioactive waste, the system comprising:a supporting unit configured to be seated on the ground;a drum unit configured to be seated on a top part of the supporting unit and having a plurality of first fastening holes formed along an outer circumferential surface of a top part thereof;a moving unit configured to move to a side of the drum unit;a cover unit provided at an inside of the moving unit or at one side of the drum unit and having a plurality of second fastening holes formed along an outer circumferential surface thereof;tightening units configured to be inserted into the associated second fastening holes;a fastening unit configured to grip the cover unit through a gripping part provided on one side of the inside of the moving unit and to move the cover unit to the top part of the drum unit simultaneously and to allow the cover unit to be seated by aligning axis lines of the first and second fastening holes to be matched, thereby closing a gap between the drum unit and the cover unit by tightening an upper part of each of the tightening units through associated one of a plurality of tool parts provided on a circumference of the gripping part; anda controller configured to control the fastening unit. 2. The system of claim 1, wherein each of the tightening units includes:a fastening bolt having a bolt head formed on an upper part thereof and a first screw thread formed on a lower part thereof;a first bushing having: a hollow first insertion portion into which the fastening bolt is inserted; a first step formed under the first insertion portion; and first latching portions formed so as to be symmetrical to each other in front and rear directions at a bottom part of the first step;a second bushing having: a hollow second insertion portion, into which outer circumferential surfaces of the first latching portions are inserted, and having a top part thereof being latched on the first step; and a plurality of fixing pieces configured as a plurality of incision portions on a circumference of a lower part of the second insertion portion; anda third bushing having: second latching portions formed so as to be symmetrical to each other in left and right directions at an upper part thereof, and inserted into the first latching portions; a second step formed at a part under the second latching portions; and a third hollow insertion portion formed under the second step. 3. The system of claim 2, wherein, when one of the tool parts tightens the bolt head, the third insertion portion formed with a second screw thread on an inner circumferential surface thereof moves to an upper part of the fastening bolt along the first screw thread, and at the same time, the second step presses a bottom part of each of the fixing pieces, and each of the fixing pieces subsequently spreads outward with a boundary line, which is formed at an upper end of each of the incision portions, as a reference, so as to surround an area around a bottom part of the first fastening hole, whereby the drum unit and the cover unit are closed. 4. The system of claim 2, wherein the second step is configured to be tapered at a predetermined angle in a direction from a bottom part to a top part. 5. The system of claim 2, wherein a pair of keys are provided at a lower end of each of the tool parts, and a pair of key grooves into which the pair of keys are inserted are provided on an outer circumferential surface of an upper part of the first insertion portion. 6. The system of claim 1, further comprising:an injection unit provided on the one side of the inside of the moving unit and configured to receive the radioactive waste from an outside to inject the radioactive waste into the drum unit. 7. The system of claim 6, wherein the controller controls positions of the fastening unit and the injection unit so as to move the fastening unit and the injection unit to a position where the drum unit is seated. 8. The system of claim 1, wherein the gripping part is provided with an electromagnet, and the cover unit is made of steel material so that the gripping part grips a top part of the cover unit by a magnetic force of the electromagnet.
047643404
claims
1. A nuclear fuel assembly comprising: (a) a first material first nozzle having a bearing surface disposed thereon; (b) a second material channel having said first nozzle disposed thereon; (c) a second material attachment device connected to the channel; and (d) a stress relieving fastener disposed on the attachment device for attaching the attachment device to the first nozzle and for relieving thermally induced stresses developed in the attachment device. (a) a first material, substantially hollow, rectangular first nozzle having four mutually perpendicular vertical sides and an upwardly facing bearing surface; (b) the first nozzle having a vertical, continuous aperture disposed therein; (c) a second material, substantially hollow, rectangular channel mounted on the bottom of the first nozzle and having four mutually perpendicular vertical sides substantially in vertical alignment with the vertical sides of the first nozzle; (d) a second material stud attached to the channel at a predetermined location, said stud extending from the predetermined location a predetermined distance through the aperture; (e) said stud having a substantially L-shape and having a lower horizontal leg thereof attached by an attachment means to an inside surface of the channel at a predetermined location on the inside surface for securing the stud to the channel; (f) said stud having a vertical upper leg; (g) said stud having the upper leg extending parallel to the channel and having external, helically aligned, longitudinal threads disposed about a predetermined portion of the upper leg; (h) said stud having the upper leg extending a predetermined distance through the aperture; and (i) a stress relieving fastener disposed on the stud for attaching the stud to the first nozzle and for relieving thermally induced stresses developed in the stud. (a) a one-piece generally cylindrical nut containing a continuous screw threaded bore extending from a non-working face of said nut through said nut to a working face of said nut for engaging said nut on the stud; (b) a circumferential first groove formed in the lower portion of the nut, said first groove extending circumferentially around the nut in a horizontal plane and horizontally extending a predetermined distance from the outer surface of the nut into said nut toward its central longitudinal axis; (c) a rectangularly shaped second groove formed in the bottom most surface of the nut, said second groove upwardly extending a predetermined distance from the bottom most surface of the nut and horizontally extending a predetermined distance into the nut toward its central longitudinal axis; (d) a circumferential ridge extending around the working face of the nut, except where the second groove is formed, and disposed directly in contact with the bearing surface, said circumferential ridge contiguous to and integral with said nut; and (e) said circumferential ridge extending downwardly a predetermined distance from the working face of the nut and extending a predetermined distance from the marginal edge of said nut toward the center of the working face of said nut for relieving thermally induced stresses developed in the stud. (a) a plurality of elongated fuel rods disposed in said channel, said fuel rods having nuclear fuel therein; and (b) a second nozzle attached by an attachment means to the bottom portion of said channel. (a) a first material, substantially hollow, rectangular first nozzle having four mutually perpendicular vertical sides; (b) the first nozzle having a vertical, continuous aperture disposed therein; (c) a second material, substantially hollow, rectangular channel mounted on the bottom of the first nozzle and having four mutually perpendicular vertical sides substantially in vertical alignment with the vertical sides of the first nozzle; (d) a second material stud attached to the channel at a predetermined location, said stud extending from the predetermined location a predetermined distance through the aperture; (e) said stud having a substantially L-shape and having a lower horizontal leg thereof attached by an attachment means to an inside surface of the channel at a predetermined location on the inside surface for securing the stud to the channel; (f) said stud having a vertical upper leg; (g) said stud having the upper leg extending parallel to the channel and having external, helically aligned, longitudinal threads disposed about a predetermined portion of the upper leg; (h) said stud having the upper leg extending a predetermined distance through the aperture; and (i) a stress relieving fastener disposed on the stud for attaching the stud to the first nozzle and for relieving thermally induced stresses developed in the stud. (a) a one-piece generally cylindrical nut containing a continuous screw threaded bore, said bore extending from a non-working face of said nut through said nut to a working face of said nut for engaging said nut on the stud; (b) a deformable ridge extending circumferentially around the working face of the nut and contacting the bearing surface, said ridge contiguous to and integral with said nut for relieving thermally induced stresses; and (c) said ridge extending downwardly a predetermined distance from the working face of the nut and disposed at a predetermined location between the marginal edge of said nut and the bore disposed therein. (a) a plurality of elongated fuel rods disposed in said channel, said fuel rods having nuclear fuel therein; and (b) a second nozzle attached by an attachment means to the bottom portion of said channel. 2. The nuclear fuel assembly according to claim 1 wherein said fastener comprises a nut having a deformable portion disposed thereon for relieving said stresses. 3. The nuclear fuel assembly according to claim 2 wherein said deformable portion comprises a ridge contacting the bearing surface when the nut is engaged on the attachment device. 4. The nuclear fuel assembly according to claim 3 wherein the ridge is deformable. 5. The nuclear fuel assembly according to claim 4 wherein said ridge is substantially recessed from the marginal edge of said nut. 6. The nuclear fuel assembly according to claim 5 wherein said nut comprises a screw threaded bore formed therethrough along the central longitudinal axis of said nut. 7. The nuclear fuel assembly according to claim 6 wherein the first nozzle comprises a continuous aperture disposed therein. 8. The nuclear fuel assembly according to claim 7 wherein the first nozzle is stainless steel. 9. The nuclear fuel assembly according to claim 8 wherein the attachment device comprises a stud extending from the channel and through the aperture. 10. The nuclear fuel assembly according to claim 9 wherein the stud is Zircaloy. 11. The nuclear fuel assembly according to claim 10 wherein the channel is Zircaloy. 12. The nuclear fuel assembly according to claim 11 wherein said stud comprises helically aligned longitudinal threads disposed on the external end portion thereof. 13. The nuclear fuel assembly according to claim 12 wherein said nut threadedly engages said stud such that said ridge contacts said bearing surface. 14. A nuclear fuel assembly comprising: 15. The nuclear fuel assembly according to claim 14 wherein said fastener further comprises: 16. The nuclear fuel assembly according to claim 15 wherein the fuel assembly further comprises: 17. The nuclear fuel assembly according to claim 3 wherein the ridge is deformable. 18. The nuclear fuel assembly according to claim 17 wherein said ridge is disposed substantially flush with the marginal edge of said nut. 19. The nuclear fuel assembly according to claim 18 wherein said deformable portion further comprises a first groove, said first groove extending substantially circumferentially around the external surface of said nut and extending from the marginal edge of said nut to substantially near the central longitudinal axis of said nut. 20. The nuclear fuel assembly according to claim 19 wherein said deformable portion further comprises a second groove formed in the bottom portion of said nut and extending from substantially near the marginal edge of said nut to substantially near the central longitudinal axis of said nut. 21. The nuclear fuel assembly according to claim 20 wherein said nut comprises the bore formed therethrough, said bore extending along the central longitudinal axis of said nut. 22. The nuclear fuel assembly according to claim 21 wherein the first nozzle comprises a continuous aperture disposed therein. 23. The nuclear fuel assembly according to claim 22 wherein the first nozzle is stainless steel. 24. The nuclear fuel assembly according to claim 23 wherein the attachment device comprises a stud extending from the channel and through the aperture. 25. The nuclear fuel assembly according to claim 24 wherein the stud is Zircaloy. 26. The nuclear fuel assembly according to claim 25 wherein the channel is Zircaloy. 27. The nuclear fuel assembly according to claim 26 wherein said stud comprises helically aligned longitudinal threads disposed on the external end portion thereof. 28. The nuclear fuel assembly according to claim 27 wherein said nut threadedly engages said stud such that said ridge contacts said bearing surface. 29. A nuclear fuel assembly comprising: 30. The nuclear fuel assembly according to claim 29 wherein said fastener further comprises: 31. The nuclear fuel assembly according to claim 30 wherein the fuel assembly further comprises:
description
This application is the U.S. national stage application of International Application PCT/FI2018/050282, filed Apr. 20, 2018, which international application was published on Oct. 24, 2019, as International Publication WO 2019/202198 A1 in the English language. The invention relates to the technical field of X-ray fluorescence analysis. In particular the invention relates to the task of detecting relatively small amounts of fluorescent radiation in the presence of significant background radiation. X-ray fluorescence analysis can be used to detect the presence and measure the concentration of elements of interest in a matrix of other elements. For example in mining industry it is important to know, whether a mineral or metal of interest is present in a sample and in which quantities. In order to be applicable in an industrial process, the X-ray fluorescence analysis method should be reasonably accurate even at relatively short exposure times, and possible to implement with robust and mechanically reliable measurement devices. A particular application of X-ray fluorescence analysis within the mining industry is the analysis of elements of interest in slurries. By definition, a slurry is a water-based suspension of fine, solid particles of crushed and ground ore, in which the dry weight of the solid particles is less than 90 percent, typically 20-80 percent, of the total mass of the sample. The fact that the sample is in the form of slurry places particular requirements for sample handling. For example, it is advantageous to maintain the flow of the sample turbulent, so that its constitution remains evenly mixed and the fractions do not separate from each other. At the same time the measurement geometry should remain as constant as possible in order not to cause unwanted geometry-based variations in measurement results. The concentrations of elements of interest in the slurry are often very low. For example copper, zinc, lead, and molybdenum need to be measured in concentrations like 0.01 percent or lower, and concentrations of gold to be measured may be in the order of only some ppm, like 1-5 ppm. Such a low concentration makes the measurement difficult, because the intensity of fluorescent radiation from the element of interest is very low, which inevitably increases the effect of statistical errors. When the intensity is low in comparison to other radiation intensities involved, like fluorescent radiation from other, non-interesting elements, overlap with adjacent peaks causes problems. Measurement times cannot be made arbitrarily long, because the slurry comes as a continuous flow from the refining process and is an important online indicator of what is happening in the process. The X-ray fluorescence measurement should be fast enough to detect trending changes in the slurry composition, so that the measurement results could be used to control the refining process in real time. It is an objective of the invention to provide an apparatus for performing accurate and reliable X-ray fluorescence analysis of small concentrations of elements in slurry under demanding industrial conditions. Another objective of the invention is to provide such an apparatus at reasonable costs of manufacturing and maintenance. Yet another objective of the invention is that the apparatus is easily adapted for the measurement of any element of interest in the sample. The foregoing and other objectives are achieved by using a crystal diffractor to separate some of the fluorescent X-rays, so that the crystal diffractor comprises a pyrolytic graphite crystal, the diffractive surface of which is a simply connected surface. According to a first aspect, an X-ray fluorescence analyzer is provided. The X-ray fluorescence analyzer comprises an X-ray tube for emitting incident X-rays in the direction of a first optical axis, and a slurry handling unit configured to maintain, in the direction of said first optical axis, a constant distance between a sample of slurry and said X-ray tube. The X-ray fluorescence analyzer comprises a first crystal diffractor located in a first direction from said slurry handling unit. Said first crystal diffractor is configured to separate a predefined first wavelength range from fluorescent X-rays that propagate into said first direction, and configured to direct the fluorescent X-rays in the separated predefined first wavelength range to a first radiation detector. The first crystal diffractor comprises a pyrolytic graphite crystal that has a diffractive surface. The diffractive surface of said pyrolytic graphite crystal is a simply connected surface. Said first radiation detector is a solid-state semiconductor detector. In a possible implementation of the first aspect, the diffractive surface of said pyrolytic graphite crystal is curved in one direction only. This involves the advantage that the crystal is relatively easy and advantageous to manufacture. In a possible implementation of the first aspect, the first crystal diffractor comprises a substrate to which said pyrolytic graphite crystal is attacked, and a three-dimensional geometrical shape of the entity constituted by said pyrolytic graphite crystal and said substrate is that of a prism, one side face of which is cut away by the curved diffractive surface. This involves the advantage that the mechanical structure of the crystal diffractor can be designed relatively simple. In a possible implementation of the first aspect, said first radiation detector is one of: a PIN diode detector, a silicon drift detector, a germanium detector, a germanium drift detector. This involves the advantage that the first radiation detector may combine accurate and reliable operation with compact size and robust overall appearance. In a possible implementation of the first aspect, the first crystal diffractor comprises a first slit on a first optical path between said slurry handling unit and said pyrolytic graphite crystal, and a second optical path between said pyrolytic graphite crystal and said first radiation detector. This involves the advantage that a desired proportion of the fluorescent radiation can be selected. In a possible implementation of the first aspect, the diffractive surface of said pyrolytic graphite crystal is curved in one direction only, with a radius of curvature in a plane defined by said first and second optical paths, and said first slit is a linear slit oriented perpendicular against said plane. This involves the advantage that manufacturing the crystal diffractor is relatively simple. In a possible implementation of the first aspect, the diffractive surface of said pyrolytic graphite crystal is curved in two directions, forming a part of a toroidal surface, and said first slit is a curved slit with a first radius of curvature oriented perpendicular against said first optical path. This involves the advantage that the focusing accuracy of the crystal diffractor is relatively good. In a possible implementation of the first aspect, the diffractive surface of said pyrolytic graphite crystal is curved in two directions, forming a part of a rotationally symmetric surface, the rotational axis of which is in the plane defined by said first and second optical paths, and said first slit is point-like. This involves the advantage that the focusing accuracy of the crystal diffractor is very good. In a possible implementation of the first aspect, the first crystal diffractor comprises a second slit on said second optical path between said pyrolytic graphite crystal and said first radiation detector; a center point of said diffractive surface, said first slit, and said second slit are located on a Rowland circle the radius of which is R; a radius of curvature of said diffractive surface in the plane defined by said first and second optical paths is 2R; and a radius of curvature of reticular planes in said crystal is 2R; so that the first crystal diffractor has a Johann geometry. This involves the advantage that well-known geometrical relations can be used for the various components. In a possible implementation of the first aspect, the first crystal diffractor comprises a second slit on said second optical path between said pyrolytic graphite crystal and said first radiation detector; a center point of said diffractive surface, said first slit, and said second slit are located on a Rowland circle the radius of which is R; a radius of curvature of said diffractive surface in the plane defined by said first and second optical paths is R; and the radius of curvature of reticular planes in said crystal is 2R; so that the first crystal diffractor has a Johansson geometry. This involves the advantage that well-known geometrical relations can be used for the various components. In a possible implementation of the first aspect, R is at most 40 centimeters. This involves the advantage that the physical size of the apparatus can be maintained relatively compact. In a possible implementation of the first aspect, said first crystal diffractor is enclosed in a casing delimited by a first planar surface and a second planar surface that is parallel to said first planar surface. This involves the advantage that the mechanical structure of the detection channel can be made relatively simple. In a possible implementation of the first aspect, the X-ray fluorescence analyzer comprises a plurality of other crystal diffractors in addition to said first crystal diffractor, each of said first and other crystal diffractors being located at a respective rotation angle around said first optical axis and each of said first and other crystal diffractors being configured to separate a predefined wavelength range from fluorescent X-rays that propagate into the respective direction, and configured to direct the fluorescent X-rays in the respective separated predefined wavelength range to a respective radiation detector. This involves the advantage that a large number of elements can be analyzed from the sample without changing the physical configuration of the apparatus. In a possible implementation of the first aspect, said plurality of other crystal diffractors comprises a second crystal diffractor comprising a second crystal, configured to direct the fluorescent X-rays in the respective separated second predefined wavelength range to a respective second radiation detector; said second crystal is of a material other than pyrolytic graphite; and said first and second crystal diffractors are configured to direct to their respective radiation detectors characteristic fluorescent radiation of a same element. This involves the advantage that the measurement can be made more reliable and more readily adaptable to a number of cases. In a possible implementation of the first aspect, said second crystal is one of: a silicon dioxide crystal, a lithium fluoride crystal, an ammonium dihydrogen phosphate crystal, a potassium hydrogen phthalate crystal. This involves the advantage that sharp wavelength dispersive diffraction can be obtained with the second crystal. In a possible implementation of the first aspect, said second radiation detector is a gas-filled proportional counter. This involves the advantage that relatively good detection efficiency can be achieved at relatively low manufacturing cost. In a possible implementation of the first aspect, said element is gold. This involves the advantage that even very low concentrations of a relatively valuable element can be detected. In a possible implementation of the first aspect, said slurry handling unit is configured to maintain a planar surface of said sample of slurry on a side facing said X-ray tube; said first optical axis is at an oblique angle against said planar surface; said first crystal diffractor is located at that rotational angle around said first optical axis at which said planar surface of said sample covers the largest portion of a field of view of the first crystal diffractor; and said second crystal diffractor is located at another rotational angle around said first optical axis. This involves the advantage that fluorescent radiation can be collected to the first crystal diffractor from as large spatial angle as possible. In a possible implementation of the first aspect, an energy resolution of said first radiation detector is better than 300 eV at a reference energy of 5.9 keV. This involves the advantage that the detector can provide accurate energy dispersive detection within the relatively wide wavelength range passed by the pyrolytic graphite crystal. In a possible implementation of the first aspect, the input power rating of said X-ray tube is at least 400 watts. This involves the advantage that a relatively large amount of fluorescent radiation can be generated. In a possible implementation of the first aspect, the input power rating of said X-ray tube is at least 1 kilowatt, preferably at least 2 kilowatts, and more preferably at least 4 kilowatts. This involves the advantage that an even larger amount of fluorescent radiation can be generated. In a possible implementation of the first aspect, the optical path between said X-ray tube and said slurry handling unit is direct with no diffractor therebetween. This involves the advantage that a large proportion of the original incident radiation can be utilized, and the X-ray tube can be placed very close to the sample. In a possible implementation of the first aspect, the X-ray tube comprises an anode for generating said incident X-rays, and said slurry handling unit is configured to maintain a shortest linear distance that is shorter than 50 mm, preferably shorter than 40 mm, and more preferably shorter than 30 mm between said sample (202) of slurry and said anode. This involves the advantage that a large proportion of the original incident radiation can be utilized. In a possible implementation of the first aspect, said X-ray tube is an X-ray tube of the end window type. This involves the advantage that a short distance between X-ray tube and sample can be realized while simultaneously leaving ample space for detection channels. In a possible implementation of the first aspect, the X-ray fluorescence analyzer comprises an analyzer body, a front wall of said analyzer body, an opening in said front wall, and a holder for removably holding said slurry handling unit against an outer side of said front wall and aligned with said opening in said front wall. This involves the advantage that the slurry handling unit is easy to remove for servicing. In a possible implementation of the first aspect, said X-ray tube and said first crystal diffractor are both inside said analyzer body, on the same side of said front wall. This involves the advantage that the structure is robust, and good protection can be obtained against accidentally irradiating anything. In a possible implementation of the first aspect, the X-ray fluorescence analyzer comprises a filter plate on the optical path between said X-ray tube and said slurry handling unit. This involves the advantage that the spectrum of the incident radiation can be tuned in a suitable way. In a possible implementation of the first aspect, said filter plate is located closer to said X-ray tube than to said slurry handling unit. This involves the advantage that the filter does not unnecessarily obstruct the field of view of the detection channels. In a possible implementation of the first aspect, the X-ray fluorescence analyzer comprises a calibrator plate and an actuator configured to controllably move said calibrator plate between at least two positions, of which a first position is not on the path of the incident X-rays and a second position is on the path of the incident X-rays and in a field of view of the first crystal diffractor. This involves the advantage that calibrating can be easily automatized. FIG. 1 illustrates an example of the principle of using an X-ray fluorescence analyzer in an industrial process. It is typical to industrial processes that the sample to be analyzed may come as a more or less continuous flow of sample material, so that there is a sample handling unit or system that brings the sample to the analysis and takes it away after the analysis. In the schematic illustration of FIG. 1 the sample 101 comes as a flow of sample material on a conveyor 102, which here constitutes the sample handling system. An X-ray source 103 generates a beam 104 of incident X-rays that hit a portion of the sample 101 that is within the field of view of the beam 104. Fluorescent X-rays 105 are emitted in all directions, and some of them are collected to a detection system that in FIG. 1 comprises a first slit 106, a wavelength-dispersive diffractor crystal 107, a second slit 108, and a radiation detector 109. The plant may comprise a control computer system 110 that may control the control subsystems 111 and 112 of the conveyor 102 and the X-ray fluorescence analyzer 113 respectively. The generation of fluorescent X-rays is a stochastic process by nature, so any analysis that is performed on the basis of received fluorescent X-ray photons is basically the more reliable, the more such photons can be collected. A known way to increase the statistical reliability of an X-ray fluorescence analysis is to lengthen the duration of time that the sample remains illuminated by the incident radiation. If the sample is stationary, this means simply waiting a longer time before the sample is changed. The nature of an industrial process may require however that the sample comes as a constantly moving stream. Even then the concept of a longer measurement time exists in a way, because if the constitution of the sample stream remains essentially constant, accumulating the amounts of detected fluorescent X-ray photons from the moving sample stream for X minutes is essentially the same as keeping a portion of the sample material stationary in the analysis for X minutes. There are limits, however, to how long the averaging time may be when a constantly moving sample stream is analyzed, because the constitution of the sample stream does change, and these changes may be important and should therefore be noticed. Additionally if the sample comes in the form of a slurry there are other factors that make the situation more complicated, like the requirement that the flow of the slurry should remain turbulent in order to prevent separation of the solid and liquid phases. It is not uncommon that a sample of slurry flows through the slurry handling unit at a rate in the order of 20 liters per minute. An objective of the invention is that reasonably good detection results could be obtained by using averaging times in the order of minutes, like 2 minutes or like 3 to 5 minutes. In the following, improvements to the X-ray fluorescence analysis through factors like measurement geometry, incident radiation power, selection of diffractor crystal materials, selection of detector types, use of a plurality of detection channels, and advanced utilization of detection results, are therefore considered. FIG. 2 is a schematic cross section of certain parts of an X-ray fluorescence analyzer. The X-ray fluorescence analyzer comprises an X-ray tube for emitting incident X-rays 206 in the direction of a first optical axis 204. A radiation window 203 of the X-ray tube is seen in FIG. 2. For handling a sample 202 of slurry the X-ray fluorescence analyzer comprises a slurry handling unit 201, which in this case comprises a sample chamber 208 or sample cell equipped with inlet and outlet connections. The exact way in which the sample chamber 208 and its inlet and outlet connections are formed in order to ensure a turbulent flow of the sample 202 inside the chamber is not pertinent to this particular description. As an example, principles explained in the international patent application published as WO2017140938 may be followed. In any case, the slurry handling unit is configured to maintain a constant distance between the sample 202 of slurry and the X-ray tube. The constant distance may be considered for example in the direction of the first optical axis 204. Keeping the distance constant has the effect that the measurement geometry does not change, at least not with reference to the distance and viewing angle that have an important effect on what proportion of the incident X-rays 206 will hit the sample 202. As such, the apparatus may comprise means for changing the distance, for example by changing a distance at which the X-ray tube is installed. In other words, it is not mandatory that said distance will always remain the same. Merely, it is advantageous for the purposes of straightforward processing of the detection results that the mechanical configuration of the X-ray fluorescence analyzer allows maintaining said distance constant during a measurement, whenever wanted. FIG. 3 illustrates how the slurry handling unit 201 comprises a sample window 301 in a wall of the sample chamber 208 for allowing X-rays to pass through while keeping the sample 202 of slurry within said sample chamber 208. The sample window 301 is an opening covered by a window foil 302 made of a material that is as transparent to X-rays as possible, while simultaneously being strong enough mechanically to withstand the pressure of, and mechanical wear caused by, the flowing slurry. This way the slurry handling unit is configured to maintain a planar surface of the sample 202 of slurry on a side facing the X-ray tube. In the geometry shown in FIGS. 2 and 3 the first optical axis 204 is perpendicular against said planar surface. Also shown in FIGS. 2 and 3 is a front wall 303 of an analyzer body, and an opening in said front wall 303. Another window foil 304 covers said opening in the front wall 303. Just like the window foil 302 of the sample window 301 in the sample chamber 208, the other window foil 304 is made of a material that is as transparent to X-rays as possible. The purpose of the other window foil 304 is to protect the inside of the X-ray fluorescence analyzer device against dust, moisture, and other contaminants that may be abundant in its surroundings in an industrial process. FIG. 2 shows how the incident X-rays 206 that hit the sample 202 give rise to fluorescent X-rays 207. These are originally directed to all directions, but of interest are those fluorescent X-rays 207 that come out of the sample chamber 208 through the sample window 301 and can be collected to one or more detection channels. The location, geometry, and properties of such detection channels are described in more detail later. Another feature shown in FIGS. 2 and 3 is a filter plate 205 on the optical path between the X-ray tube and the slurry handling unit. A filter plate of this kind is an optional feature. It works as a high-pass filter by attenuating particularly the lowest-energy portion of the X-rays that were originally generated in the X-ray tube. The material and thickness of a filter plate 205, if one is used, can be selected so that it passes those X-rays that are energetic enough to generate fluorescence in the element(s) of interest in the sample 202. It is particularly useful to use a filter of the high-pass type instead of e.g. a primary diffractor that would constitute a band-pass filter, because the high-pass filter will pass a wide range of more energetic incident X-rays, which are then available for generating fluorescent X-rays in a number of elements of interest simultaneously. If a filter plate 205 is used, it is advantageous to place it closer to the X-ray tube than to the slurry handling unit. The filter plate 205 can be even attached to the X-ray tube, so that it is very close to the radiation window 203 of the X-ray tube. If the filter plate 205 is additionally dimensioned in the transverse direction so that it is only little larger, or not larger at all, than the radiation window 203, it can be ensured that the filter plate 205 does not unnecessarily cover any of the field of view that would otherwise be available for the detection channels. The thickness of the filter plate 205 may be in the order of a millimeter or even less, so the use of a filter plate does not increase the overall distance between the X-ray tube and the sample to any significant extent. Another feature that is shown in FIGS. 2 and 3 is a calibrator plate 305 that can be controllably and selectively brought into a position in which it is on the path of the incident X-rays 206 and in a field of view of the detection channels that are used to receive the fluorescent X-rays 207. A calibrator plate 305 has a very exactly known composition, so it can be used to calibrate the detection channels from time to time. If the calibration process should be automatized, the X-ray fluorescence analyzer may be equipped with an actuator that is configured to controllably move the calibrator plate 305 between at least two positions, one of which is the position shown in FIG. 3 and the other is a position that is not on the path of the incident X-rays 206. FIG. 4 illustrates an example of an X-ray fluorescence analyzer according to an embodiment of the invention. It comprises an analyzer body 401 that acts as the basic support and protective structure. The front wall 303 of the analyzer body is visible on the left in FIG. 4. As explained earlier with reference to FIGS. 2 and 3, there is an opening in the front wall 303 for the incident X-rays generated by an X-ray tube 402 to pass through. A holder 403 is provided for holding the slurry handling unit 201 against an outer side of the front wall 303, aligned with said opening in the front wall 303. In an advantageous embodiment the holder 403 may be configured to hold the slurry handling unit 201 removably against the front wall. The holder 403 may comprise for example hinges that allow turning the slurry handling unit 201 to the side, or a bayonet mount that allows quickly detaching the slurry handling unit 201 from the front wall 303, so that the window foils described above with reference to FIGS. 2 and 3 are exposed. This allows relatively straightforward inspecting and servicing of those parts that are critical for the propagation of both the incident X-rays and fluorescent X-rays. The solid particles in the slurry may cause significant wear to the inside of the window foil 302 of the sample window 301 (see FIG. 3), so it is advantageous to equip the sample window 301 with a mechanism that allows replacing the window foil 302 when necessary. A portion of the X-ray fluorescence analyzer that is marked with a dashed rectangle 404 in FIG. 4 is shown from the direction of the optical axis of the X-ray tube 402 in FIG. 5. This illustration shows an example of how an actuator 501 can be provided for controllably moving the calibrator plate 305 between the two positions. In the first position, which is shown in FIG. 5, the calibrator plate 305 is not on the path of the incident X-rays that come out of the radiation window 203 of the X-ray tube. In the second position the calibrator plate 305 would be essentially concentric with the radiation window 203 in FIG. 5. FIGS. 4 and 5 also show how one or more detection channels 502 may be provided. The structure and operation of a detection channel will be described in more detail later in this text. FIGS. 4 and 5 illustrate a positioning principle, according to which each of the detection channels is located at a respective rotation angle around the optical axis of the X-ray tube 402. When the optical axis of the X-ray tube 402 is perpendicular against the planar surface of the sample (which is defined by the sample window that is a part of the slurry handling unit 201), this way of placing the detection channels allows arranging an exactly equal field of view for all detection channels. Other features shown in FIG. 4 are the provision of electronics boxes 405, 406, and 407 inside the analyzer body 401 for each of the detection channels and for the X-ray tube 402, as well as the provision of a cooling water circulation 408 for the X-ray tube 402. FIG. 6 is a schematic illustration of certain parts of what was called a detection channel above. Major features of the detection channel of FIG. 6 are a crystal diffractor 601 and a radiation detector 602. As its name indicates, the crystal diffractor 601 comprises a crystal 603, which may be called the diffractor crystal or just crystal for short. The crystal 603 is the wavelength-dispersive component of the crystal diffractor 601. A first slit 604 may be provided on a first optical path 605 between the slurry handling unit (not shown in FIG. 6) and the crystal 603, and a second slit 606 may be provided on a second optical path 607 between the crystal 603 and the radiation detector 602. Since the diffractive properties of the crystal 603 for X-rays are highly dependent on wavelength of the X-rays, this kind of an arrangement can be used to separate a particular wavelength range from that portion of the fluorescent X-rays that were originally emitted into that direction in which this particular crystal diffractor is located. Reference designator 608 illustrates a casing that encloses the crystal diffractor 601, offering structural support for all of its components. FIG. 7 illustrates an example of a crystal diffractor in an axonometric projection. The crystal diffractor is thought to be located in a first direction from a slurry handling unit (not shown in FIG. 7), so that the first optical path 605 represents the nominal direction of that portion of fluorescent X-rays that are received in this crystal diffractor. The first 604 and second 606 slits are formed between the respective limiter pieces, and the second optical path 607 represents the nominal direction of the diffracted fluorescent X-rays that are directed to the radiation detector (not shown in FIG. 7). The crystal diffractor is enclosed in a casing 608 delimited by a first planar surface 701 and a second planar surface 702 that is parallel to said first planar surface 701. The mechanical structure described here is advantageous, because the planar surfaces 701 and 702 offer a support to which the internal parts of the crystal diffractor can be attached in a relatively simple way. Diffraction of X-rays in a crystal is governed by Bragg's law, which ties the diffraction angle to the distance between reticular planes. Conventional crystal diffractors have used crystals of e.g. silicon dioxide, lithium fluoride, ammonium dihydrogen phosphate, or potassium hydrogen phthalate, because sufficiently large monocrystalline pieces of these materials can be manufactured relatively easily at the required accuracy in the desired shapes. However, it has been found that while the wavelength selectivity of such conventional crystals is relatively good, the efficiency at which incoming X-rays are diffracted is relatively poor. Pyrolytic graphite is an alternative material for producing the crystal for a crystal diffractor. Pyrolytic graphite is a general term that refers to materials that were manufactured from organic compounds containing planar structures like benzene rings, by subjecting them to high temperatures, causing essentially only the carbon atoms of the structure to remain. The original planar molecular structures cause the pyrolytic graphite to exhibit a highly ordered microscopic structure, for which reason it is often referred to as HOPG (highly oriented pyrolytic graphite) or HAPG, in which the latter refers to a slightly different method of synthesizing the material. Pyrolytic graphite is often not monocrystalline in the same sense as the more conventional crystal materials mentioned above, but polycrystalline. In order to maintain consistency with the established wording on this technical field it is nevertheless practical to refer to the diffractor element made of pyrolytic graphite as the “crystal”. In the following description the term “HOPG crystal” will be used. The efficiency of a HOPG crystal as a diffractor of fluorescent X-rays has been found to be significantly better than that of the conventional materials of diffractor crystals. In other words, a significantly higher proportion of X-rays that hit a HOPG crystal are actually diffracted than with the conventional crystal materials. However, the polycrystalline nature of pyrolytic graphite means that not all reticular planes are as exactly oriented as in e.g. monocrystalline silicone dioxide. This in turn means that the wavelength selectivity of a HOPG crystal in a crystal diffractor is not very exact: fluorescent X-rays that get diffracted into a particular direction represent a range of wavelengths around the nominal wavelength that according to Bragg's law would be diffracted into that direction, and this range is significantly wider than in X-rays diffracted by the conventional crystal materials. The less accurate wavelength selectivity of the HOPG crystal is not, however, a serious drawback because it can be balanced with other factors in the design of the X-ray fluorescence analyzer. One possible approach is to use a solid-state semiconductor detector as the radiation detector 602 to which the fluorescent X-rays in the separated wavelength range are directed from the HOPG crystal. The radiation detector 602 may be for example a PIN diode detector, a silicon drift detector, a germanium detector, or a germanium drift detector. Contrary to for example gas-filled proportional counters, the energy resolution of solid-state semiconductor detectors can be made more accurate. It is customary to express the energy resolution of a detector of X-rays at a reference energy of 5.9 keV. A solid-state semiconductor detector of the kind mentioned above may have an energy resolution better than 300 eV at said reference energy of 5.9 keV. Combining the use of a HOPG crystal in the crystal diffractor 601 to the use of a solid-state semiconductor detector as the radiation detector 602 may result in an advantageous situation in which the crystal diffractor 601 is configured to separate a predefined first wavelength range from fluorescent X-rays 207 that propagate into the direction at which the crystal diffractor 601 is located (with reference to the slurry handling unit 201), and configured to direct the fluorescent X-rays in the separated predefined first wavelength range to the radiation detector 602 that is a solid-state semiconductor detector. The good energy resolution of the solid-state semiconductor detector is then used to produce a measurement result that indicates an energy spectrum of the fluorescent X-rays in the separated predefined first wavelength range. From said energy spectrum, and possibly using other measurements as support, the amount of fluorescent X-rays from the element of interest can be determined with relatively good accuracy. The geometrical form of the diffractive surface of the HOPG crystal is another factor to consider in the design of the X-ray fluorescence analyzer. FIG. 8 illustrates some examples of geometrical forms. Here it may be noted that exactly speaking the “crystal” is only a thin layer of crystalline (monocrystalline, as in the case of silicon dioxide for example, or polycrystalline, as in the case of HOPG, for example) material that constitutes the actual diffractive surface. The crystal diffractor comprises a substrate to which the crystalline material is attached. Examples of substrate materials are for example glass and aluminum, but the substrate material could also be iron or any other such material that is not prone to causing unwanted, interfering fluorescent radiation by itself. The crystalline material may be attached to an appropriately formed surface of the substrate through for example Van der Waals forces. Alternatively the crystalline material could be grown directly upon the appropriately formed surface of the substrate, or some other suitable attachment method like glue could be used. Together the substrate and the crystalline material constitute a three-dimensional entity, and examples of these entities are seen in FIG. 8. In order to maintain consistency with the established parlance on this technical field, these entities are called crystals in this text despite of the slight inaccuracy of this term that is explained above. The term diffractive surface refers to the external, exposed surface of the crystalline material at which the diffraction of X-rays takes place; strictly speaking the diffraction of X-rays takes place at the reticular planes inside the crystalline material close to the surface that is here called the diffractive surface. A feature common to crystal 603, crystal 802, and 804 in FIG. 8 is that a three-dimensional geometrical shape of the entity constituted by the HOPG crystal and the substrate is that of a prism, one side face of which is cut away by the curved diffractive surface. The imaginary form of the prism is shown with dashed lines in the upper-line illustrations of these three crystals. The lower-line illustrations of the same crystals in FIG. 8 shows how the way in which the diffractive surface is curved is different in all three cases. In crystal 603 the diffractive surface 801 is curved in one direction (longitudinal direction) only. In other words, if an imaginary transverse line was drawn across the diffractive surface 801 at any location, like the dashed line shown in FIG. 8 for example, it would always be straight. A particular advantage of this kind of a crystal is that it is relatively easy to manufacture. Comparing to FIGS. 6 and 7 it can be seen that the radius of curvature of the diffractive surface 801 lies in a plane defined by the first 605 and second 606 optical paths. This plane is also parallel to the planar surfaces 701 and 702. In crystal 802 the diffractive surface 803 is curved in two directions (longitudinal and transverse), forming a part of a toroidal surface. This means that if a transverse arc was drawn across the diffractive surface 803 at any location, like the two dashed arcs shown in FIG. 8 for example, each of these transverse arcs would be identical to each other. Although this geometrical form may be somewhat more complicated to manufacture at the required accuracy than that of surface 801 on the left, it involves the advantage that it focuses the diffracted X-rays more accurately. In crystal 804 the diffractive surface 805 is curved in two directions (longitudinal and transverse), but in a different way than surface 803 in the middle. The diffractive surface 805 forms a part of a rotationally symmetric surface, the rotational axis 806 of which is in the plane defined by the optical paths of the incoming and diffracted X-rays. This means that if a transverse arc was drawn across the diffractive surface 805, like the dashed arc in FIG. 8 for example, the radius of curvature of such a transverse arc would be different depending on at which longitudinal location it was drawn. In FIG. 8 it can be seen that the dashed arc in the middle is not as pronouncedly curved as the arc-formed edges seen at the ends of the crystal 804. This is because the dashed arc is located further away from the rotational axis 806 than the arc-formed edges at the ends of the crystal. Mathematically speaking, a rotationally symmetric surface is formed when a continuous curve is rotated about the rotational axis. The form of said continuous curve defines, how far from the rotational axis each point of the surface will be, and what properties the surface may have. One example of a curve that could be used to form the diffractive surface 805 in FIG. 8 is a section of a logarithmic spiral. Although this kind of a surface is more complicated to manufacture than those introduced above as surfaces 801 and 803, a rotationally symmetric surface made with a section of a logarithmic spiral involves the inherent advantage that it provides very accurate focusing of diffracted X-rays. A feature that is common to all diffractive surfaces 801, 803, and 805 in FIG. 8 is that in topological sense they are simply connected surfaces. A simply connected surface is one that is path-connected (i.e. any two points on the surface can be connected with a path that belongs wholly to said surface), and additionally any loop-formed path can be continuously contracted to a point so that also all intermediate forms of the contracted loop belong wholly to said surface. An intuitive description of a simply connected surface is that it does not have holes. As such, it could be possible to drill a small hole through any of the diffractive surfaces 801, 803, or 805 in FIG. 8 without changing their properties as diffractors more than just by decreasing the surface area by the amount that was drilled away. For this reason it is defined here that the requirement of the surface being simply connected in topological sense is to be interpreted to concern the general form of the surface: under such an interpretation a small hole in the surface does not yet mean that it would not be simply connected. Another definition of how the requirement of being simply connected should be interpreted is as follows: if the crystal is “lying on its side” as in FIG. 8 (i.e. a main radius of curvature, which defines the longitudinal curvature between the ends of the crystal, is in a horizontal plane; so that the diffractive surface is generally vertically oriented), any imaginary horizontal line would pierce the diffractive surface at one point at the most. A surface is a simply connected if it fits at least one of these intuitive descriptions. On the right in FIG. 8 a crystal 807 is shown as a comparative example. The diffractive surface 808 of the crystal 807 is curved in two directions (longitudinal and transverse), forming a complete rotationally symmetric surface, the rotational axis 809 of which could be in a plane defined by the optical axes of the incoming and diffracted X-rays. The curve, the rotation of which about the rotational axis 809 defined the form of the diffractive surface 808, may be for example a section of a logarithmic spiral. It is obvious that the diffractive surface 808 is not simply connected in topological sense, because no closed curve that circumnavigates the bore of the surface can be contracted to a point. Crystals of this kind are relatively complicated to manufacture, but they can be used, together with suitable shields (not shown in FIG. 8) that block the propagation of direct, not diffracted X-rays, to collect fluorescent radiation from a larger spatial angle than those with a simply connected surface like 801, 803, or 805. The geometric shape and the resulting optical properties of the diffractive surface may have an effect on how other parts of the crystal diffractor should be designed. Above it was explained how the crystal diffractor 601 may comprise a first slit 604 on the first optical path 605 between the slurry handling unit 201 and the (pyrolytic graphite) crystal, and how there is the second optical path 607 between the (pyrolytic graphite) crystal and the radiation detector 602. If the diffractive surface 801 of said (pyrolytic graphite) crystal 603 is curved in one direction only, with a radius of curvature in a plane defined by said first 605 and second 607 optical paths, it is advantageous to make said first slit 604 a linear slit oriented perpendicular against said plane, like in FIG. 7. If the diffractive surface 803 of said (pyrolytic graphite) crystal 802 is curved in two directions, forming a part of a toroidal surface, it is advantageous to make said first slit a curved slit with a radius of curvature oriented perpendicular against said first optical path. If the diffractive surface 805 of said (pyrolytic graphite) crystal 804 is curved in two directions, forming a part of a rotationally symmetric surface, the rotational axis 806 of which is in the plane defined by said first and second optical paths, it is advantageous to make said first slit point-like. If a second slit 606 is used on the second optical path 607, similar considerations may apply. However, it should be noted that the second slit is not always necessary: its use is related to attenuating background and scattered radiation particularly with diffractor crystals that are highly wavelength-selective. Taken that the wavelength selectivity of a HOPG is not that high, the additional advantage gained with a second slit is relatively small. If a second slit is used on the second optical path 607 between the (pyrolytic graphite) crystal 603, 802, 804 and the first radiation detector, the geometry of the crystal diffractor may follow for example the principle of a Johann geometry or a Johansson geometry. These are illustrated in FIGS. 9 and 10 respectively. In FIG. 9 a center point 902 of said diffractive surface, said first slit 604, and said second slit 606 are located on a Rowland circle the radius of which is R. A radius of curvature of said diffractive surface in the plane defined by said first and second optical paths is 2R, and a radius of curvature of reticular planes 901 in said crystal is 2R. This means that the first crystal diffractor has a Johann geometry. In FIG. 10 a center point 1002 of said diffractive surface, said first slit 604, and said second slit 606 are similarly located on a Rowland circle the radius of which is R. However, here a radius of curvature of said diffractive surface in the plane defined by said first and second optical paths is R, and the radius of curvature of reticular planes 1001 in said crystal is 2R, so that the first crystal diffractor has a Johansson geometry. In order to maintain a compact size of the crystal diffractor it is advantageous if the magnitude of R can be kept relatively small. As an example, R may be at most 40 centimeters. FIGS. 11 to 14 are schematic illustrations of spectra of fluorescent X-rays in certain cases. The spectra are typically expressed as detected counts at each photon energy. In practice the detector that produces the counts has a certain energy resolution that defines, how close to each other the energies of two photons may be so that the detector is capable of producing two different kinds of output signals. Signal processing is used to classify the received X-ray photons into energy bins of finite width, and the counts are given per energy bin. The more accurate the detector resolution, the narrower (in terms of energy units) the energy bins can be made. In FIG. 11 the graph 1101 is smooth without any visible peaks or spectral holes. Such a spectrum is rarely obtained in practice, but it illustrates a situation in which only background and randomly scattered radiation is received, without any characteristic peaks of elements of interest. In FIG. 12 the graph 1201 is otherwise the same, but there is a characteristic peak 1202 of an element of interest. The problem is that the concentration of the element of interest in the measured sample is so small that the height of the characteristic peak 1202 is low with respect to the general level of the spectrum at the same energy range. Thus even if a relatively large number of photons are observed in that energy range, relatively few of them are actually fluorescent photons from the element of interest. The energy of a photon is inversely proportional to its wavelength, so when the wavelength selectivity of various diffractive crystals has been considered above, energy selectivity could be considered quite as well. FIG. 13 illustrates schematically what the radiation detector of a crystal diffractor equipped with a HOPG crystal could receive. The energy range 1301 of fluorescent X-rays that the HOPG crystal would direct to said radiation detector is relatively wide, which is a direct result of the relatively modest wavelength selectivity of the HOPG crystal. At the same time, however, the diffraction efficiency of the HOPG crystal is relatively good. Thus the radiation detector would receive a significant proportion of the photons falling within the two hatched areas in FIG. 13. Of these, the photons belonging to the first hatched area 1302 are background and scattered photons, while the photons belonging to the second hatched area 1303 are actual fluorescent photons from the element of interest. FIG. 14 illustrates schematically what the radiation detector of a crystal diffractor equipped with a silicon dioxide (or other conventional) crystal could receive in the same situation. The energy range 1401 of fluorescent X-rays that the conventional crystal would direct to its radiation detector is relatively narrow, which is a direct result of the relatively good wavelength selectivity of the conventional crystal. At the same time, however, the diffraction efficiency of the conventional crystal is lower than that of a HOPG crystal. Thus the radiation detector would only receive a limited proportion of the photons that actually originated from the element of interest in the sample (see hatched area 1303 in FIG. 13). The small peak 1402 in FIG. 14 represents these fluorescent X-rays, which will actually be detected in this case. One factor to consider in the design of the X-ray fluorescence analyzer is the possibility to use differently equipped detection channels. Here “differently equipped” means primarily the selection of the diffractor crystal and the selection of the radiation detector. FIG. 15 illustrates schematically how an industrial X-ray fluorescence analyzer for analyzing samples of slurry may comprise a plurality of detection channels. The detection channels are shown in a straight line in FIG. 15 because the representation is schematic. In practice they could be located for example in a rotationally symmetric manner around the X-ray tube like in FIGS. 4 and 5, each with a field of view directed towards the slurry handling unit of the X-ray fluorescence analyzer. The X-ray fluorescence analyzer comprises a first crystal diffractor 1501 located in a first direction from said slurry handling unit, said first crystal diffractor 1501 comprising a first crystal. A first radiation detector 1505 is configured to detect fluorescent X-rays diffracted by said first crystal 1502 at a first energy resolution. The X-ray fluorescence analyzer comprises a second crystal diffractor 1511 located in a second direction from said slurry handling unit, said second crystal diffractor comprising a second crystal 1512. A second radiation detector 1515 is configured to detect fluorescent X-rays diffracted by said second crystal 1512 as a second energy resolution. As a first assumption it may be assumed that the first crystal 1502 is a pyrolytic graphite (HOPG) crystal, and said second crystal 1512 is of a material other than pyrolytic graphite, like silicon dioxide, lithium fluoride, ammonium dihydrogen phosphate, or potassium hydrogen phthalate. Also as a first assumption it may be assumed that the first and second crystal diffractors are configured to direct to their respective radiation detectors characteristic fluorescent radiation of a same element. In other words, the two detection channels are equipped differently, but they both aim at detecting the presence and concentration of the same element in the sample of slurry. As such, configuring a crystal diffractor to direct to its radiation detector characteristic fluorescent radiation of a particular element is typically done by 1) selecting a crystal with a particular distance between its reticular planes, 2) selecting the curvature of the crystal and the reticular planes, and 3) selecting the angle and distance values of the crystal and the slit(s) so that X-rays of just a particular wavelength range will reach the detector, said particular wavelength range including the desired characteristic peak of the element of interest. The element of interest may have several characteristic peaks, so saying that the two detection channels are configured for measuring characteristic fluorescent radiation of the same element does not necessarily mean that they would be configured for measuring the same characteristic peak, although that is not excluded either. If the two detection channels are configured for measuring the same characteristic peak, the measurement results they produce may resemble those in FIG. 13 (for the channel with the HOPG crystal) and 14 (for the channel with the other crystal). The task of finding out the actual concentration of the element of interest may be described in the form of a method, for example as follows. The method is aimed at performing X-ray fluorescence analysis, and comprises irradiating a sample of slurry with incident X-rays and receiving fluorescent X-rays from the irradiated sample. Due to the measurement geometry, a first portion of the fluorescent X-rays will be directed to the first detection channel, and a second portion of the fluorescent X-rays will be directed to the second detection channel. The method comprises separating first 1301 and second 1401 predefined wavelength ranges from respective first and second portions of said received fluorescent X-rays with respective first 1501 and second 1511 crystal diffractors. Said first wavelength range 1301 and said second wavelength range 1401 both include characteristic fluorescent radiation of a same element. Additionally said first wavelength range 1301 is at least twice as wide as said second wavelength range 1401. The method comprises detecting the fluorescent X-rays in said first and second separated wavelength ranges with respective first 1505 and second 1515 radiation detectors. The energy resolution of said first radiation detector 1505 is better than 300 eV at a reference energy of 5.9 keV. Thus the method comprises producing respective first and second detection results. The method comprises calculating a concentration of said element in said sample from at least one of said first and second detection results. Here “at least one” emphasizes the fact that not all detection results are best dealt with in equal manner. Very much depends on the sample. In some samples the concentration of the element of interest may be relatively large, resulting in a relatively large number of detected fluorescent photons even in the second radiation detector 1515 despite the modest diffraction efficiency of the second crystal 1512. In some other case the concentration of the element of interest may be so small that only a very small and vague peak is visible within the second wavelength range 1401. In some cases the first wavelength range 1301 may appears to be relatively clean from any interfering radiation, while some other sample may contains significant amounts of some other element, the characteristic peak of which is so close that it comes visible and even dominant in the first wavelength range 1301 but not in the second wavelength range 1401. In general the calculating may comprise calculating a combined intensity of background radiation and fluorescent X-rays from others than said element using at least one of the first and second detection results. The method may then comprise subtracting, from the total intensity detected in a wavelength range containing said characteristic peak of fluorescent X-rays of an element to be measured in said sample, the calculated combined intensity of background radiation and fluorescent X-rays from other elements than said element of interest in said sample. The method may then comprise providing the result of said subtracting as the calculated intensity of said characteristic fluorescent X-ray peak. The calculating may comprise analyzing from said first and second detection results whether the influence of a characteristic peak from another element on the first detection result is larger than a predetermined threshold. If said analyzing shows that the influence of said characteristic peak from said other element on the first detection result is larger than said predetermined threshold, the method may comprise calculating said concentration of said element in said sample from said second detection result. If, on the other hand, said analyzing shows that the influence of said characteristic peak from said other element on the first detection result is not larger than said predetermined threshold, the method may comprise calculating said concentration of said element in said sample from said first detection result. Another possibility is to form specific models for each measurement channel per sample line, using calibration samples. The measurement channel to be used for the actual measurements of that sample line is then selected on the basis of which of them gives the most accurate calibration. The element of interest may be gold, because gold is valuable and because reasonably effective methods exist for extracting it even from flows of slurry where it appears in very low concentrations. There are other elements, interfering characteristic peaks of which may or may not be present and may appear very close to one of gold. If significant amounts of such interfering elements are present in the sample, the detection channel with the HOPG crystal may give relatively inaccurate and unreliable results, at least if used alone. Intermediate forms of these two extreme cases can be presented, in which the contribution of the first and second detection results are taken into account in various ways. The decision about which calculating method is selected can be made for example with an artificial intelligence algorithm that compares the first and second detection results to previously obtained comparable results and to some kind of evaluation data about how the various available calculation methods performed with said comparable results. FIG. 16 illustrates schematically a fluorescent X-ray spectrum that comprises two clear peaks 1601 and 1602. In such case the selected calculation method may depend on whether the peaks 1601 and 1602 both are characteristic peaks of the same element of interest, or whether one of them is a characteristic peak of some interfering element. The smaller peaks closer to the energy axis represent the estimated detection result that a detection channel equipped with a conventional (for example silicon dioxide) crystal would produce of these two peaks. An interesting case is one where the peaks 1601 and 1602 both are peaks of the element of interest. Particularly interesting is if that one of them (here: peak 1601) is more intense, for the measuring of which the SiO2-equipped detection channel is configured. In such a case the best features of both channels may come into use: the accurate wavelength selectivity of the silicon dioxide crystal can be used to separate a tightly defined wavelength range 1401 that only includes the desired characteristic peak, so that the relatively large intensity of that peak still gives a sufficient number of counts in the corresponding detector in a relatively short time. At the same time the good diffraction efficiency of the HOPG crystal can be used to separate a wider wavelength range 1301 that includes the other, lower characteristic peak. The concentration of the element of interest can be calculated from the detection results given by the two detectors, when the overall performance of the two detection channels is known from calibration measurements. A method of the kind described above may be applicable in many cases where the characteristic fluorescent radiation comprises a K- or L-peak of an element with 30≤Z≤92, where Z is the atomic number of said element. The flexible adaptability of the method suits well for measuring samples that comprise one or more elements of interest within a matrix consisting of primarily elements with Z≤8, where Z is the atomic number. This is the case of water-based slurries, for example. The principles that have been discussed above concerning the use of two detection channels can be generalized to concern the use of three or more detection channels. The form factor of the detection channel that has been described above, i.e. the one in which each crystal diffractor 601 is enclosed in a casing delimited by a first planar surface 701 and a second planar surface 702 that is parallel to said first planar surface 701, enables distributing a plurality of detection channels as “cassettes” for example in a rotationally symmetric formation around the X-ray tube. Detection results from detection channels configured to detect characteristic fluorescent radiation of a same element can be combined in various ways as described above. The large number of detection channels allows calculating the concentrations of two or more elements of interest in the sample simultaneously, if the detection channels are configured to measure the characteristic fluorescent radiation of such two or more elements of interest. Cross-correlating the detection results from channels configured to detect different elements is also possible. For example if one element has two characteristic peaks, one of which is measured with a dedicated first detection channel while the other comes close to the characteristic peak of the other element of interest, the detection results from the first channel may be used to correct the detection results from that channel that is configured to measure the characteristic peak of the other element. One factor to consider in the design of an industrial X-ray fluorescence analyzer for analyzing samples of slurry is the power of the X-ray tube, and the geometry and dimensioning of the area between the X-ray tube and the slurry handling unit. FIG. 17 illustrate the possibility of using so-called transmission geometry. The radiation window 203 of an X-ray tube is visible on the right in FIG. 17, and incident X-rays are emitted in the direction of the optical axis 204 through a primary filter plate 205. The slurry handling unit 201 comprises a chamber 1701 with an output slit 1702, from which the sample 202 flows out in a curtain-like form and falls downwards under the influence of gravity. The incident X-rays generate fluorescent X-rays in the relatively thin sheet of falling slurry. Reference designator 1703 points at fluorescent X-rays that are directed obliquely backwards, and that can be detected with detection channels (not shown in FIG. 17) placed much like in the geometries described earlier with reference to FIGS. 2, 3, 4, and 5. Reference designator 1704 points at fluorescent X-rays that are directed to other directions, particularly to directions that are on the other side of the sample flow. These can be detected with detection channels (not shown in FIG. 17) placed on that side. This may be a particularly advantageous way of placing detection channels, because they can get a better field of view and consequently a better spatial efficiency of collecting fluorescent X-rays. This may also help to bring the X-ray tube very close to the sample. It has to be noted, though, that proper radiation shielding geometrical precautions must be taken in order to prevent any of the incident X-rays from entering the detection channels. FIG. 18 is a partial cross section of the output portion of an X-ray tube 402. The X-ray tube comprises an anode 1801 for generating the incident X-rays. The incident X-rays will be emitted in the direction of the optical axis 204 towards the sample 202, which here is shown only schematically without the slurry handling unit for reasons of graphical clarity. It is nevertheless assumed that the slurry handling unit is configured to maintain a planar surface 1802 of the sample 202 of slurry on a side facing the X-ray tube 402. As explained earlier, this can be accomplished for example by providing a sample window with a window foil made of a material that is transparent to X-rays. The sample window may be provided in a wall of a sample chamber, for allowing X-rays to pass through while keeping the sample of slurry within the sample chamber. Other parts of the X-ray tube that are schematically shown in FIG. 18 are the circulation 1803 of cooling water, the ring-shaped cathode 1804 for emitting the accelerated electrons, and the radiation window 203. When the aim is to produce so much fluorescent radiation that even very small concentrations of elements of interest could be detected, it is advantageous if as many photons (of sufficient energy) of the incident radiation as possible can be made to hit the sample 202. One way of achieving this is to have a very powerful X-ray tube. According to an embodiment the input power rating of the X-ray tube 402 is at least 400 watts. Even more powerful X-ray tubes can be used: according to other embodiments the input power rating of the X-ray tube 402 may be at least 1 kilowatt, or at least 2 kilowatts, or even at least 4 kilowatts. Even if only a fraction of the power that is announced as the input power rating of the X-ray tube will eventually come out in the form of generated incident X-rays, the input power rating is nevertheless an important indicator of the capability of the X-ray tube of producing an intense flux of incident X-rays. Using X-ray tubes with higher power ratings than earlier means that radiation shielding must be reconsidered with respect to previously known, lower-powered X-ray sources. According to an embodiment, thicker radiation shielding plates and denser radiation shielding materials may be used to ensure that ionizing radiation does not leak into areas where it could be hazardous. Another way of ensuring a very intense flux of incident X-rays hitting the sample 202 is to make the distance between the anode 1801 and the sample 202 as small as possible. The slurry handling unit may be configured to maintain a shortest linear distance d between the anode 1801 and the sample 202, so that d is shorter than 50 mm. In another embodiment d may be shorter than 40 mm. In another embodiment d may be shorter than 30 mm. It must be noted, however, that generally the closer the anode 1801 of the X-ray tube 402 is brought to the sample 202, the larger spatial angle around the sample 202 is blocked by the structures of the X-ray tube. This is an important factor to consider, because the structures of the X-ray tube 402 may block the field of view of the detection channels. One way to mitigate this problem is to use an X-ray tube of the so-called end window type, and not an X-ray tube of the side window type. FIGS. 18 and 19 can be considered to illustrate the use of an X-ray tube of the end window type. In an X-ray tube of this kind the radiation window 203 is generally at one end of a generally tubular structure, which leaves relatively much free space around said tubular structure for placing the detection channels. Another possibility would be to use an X-ray tube of the side window type, and to place the detection channels on one or two sides of the X-ray tube. In all figures described so far, the optical path between the X-ray tube 402 and the sample 202 is also direct, which means that there are no diffractors therebetween. This is another way of ensuring that a maximum number of incident X-ray photons may hit the sample. First, the provision of a diffractor therebetween would inevitably mean a longer distance between the anode 1801 and the sample 202, because some space would need to be reserved for the diffractor. Second, the mere nature of a diffractor is to separate only a certain wavelength range from the original radiation spectrum, which would necessarily mean fewer incident X-ray photons hitting the sample. Other advantageous consequences of not using any so-called primary diffractor between the X-ray tube 402 and the sample 202 are the simultaneous provision of incident X-rays for exciting the characteristic peaks of a number of elements in the sample and that less structural parts are there that could block the field of view of the detection channels. In FIG. 18 the optical axis 204 of the X-ray tube 402 is perpendicular against the planar surface 1802 of the sample 202. While this arrangement provides for excellent rotational symmetry for detection channels placed around the X-ray tube 402, it is not the only possibility. FIG. 19 illustrates an alternative embodiment, in which the optical axis 204 of the X-ray tube 402 is at an oblique angle against said planar surface. Such an arrangement may help to make the shortest linear distance d between the anode 1801 and the sample 202 even shorter, while simultaneously leaving sufficiently free field of view for detection channels on at least some sides of the X-ray tube 402. This principle is elaborated upon further in the following with reference to FIGS. 20 and 21. FIG. 20 shows an X-ray tube 402 and five detection channels seen from the direction of the sample. The radiation window 203 of the X-ray tube 402 is visible in the middle of the drawing. The entry window of each detection channel for receiving fluorescent radiation is located in the proximal end face of the respective crystal diffractor; entry window 2001 is shown as an example. For the purpose of making as large proportion as possible of the generated fluorescent radiation enter a detection channel, it is advantageous to place these entry windows as close as possible to the sample, and also so that the entry window sees the sample surface in as large spatial angle as possible. Each of the plurality of crystal diffractors is located at a respective rotation angle around the optical axis of the X-ray tube 402. Each of said crystal diffractors is configured to separate a predefined wavelength range from fluorescent X-rays that propagate into the respective direction, and configured to direct the fluorescent X-rays in the respective separated predefined first wavelength range to a respective radiation detector. FIG. 21 shows an X-ray tube 402 and two detection channels seen from the side. The sample window 301 is schematically shown in FIG. 21: this illustrates the area where the slurry handling unit is configured to maintain a planar surface of the sample of slurry on a side facing the X-ray tube 402. Thus this is the area that should be within the field of view of the X-ray tube 402 in order to make the incident X-rays hit the sample. This illustrates also the area that should cover as large spatial angle as possible in the field of view of the detection channels, in order to collect as much fluorescent X-rays as possible. The optical axis 204 of the X-ray tube 402 is at an oblique angle against said planar surface. A first crystal diffractor 1501 is located at that rotational angle around said optical axis 204 at which said planar surface of said sample covers the largest portion of a field of view of the first crystal diffractor 1501. Assuming that no other structures block any part of the available field of view, in practice this means that the first crystal diffractor 1501 is located opposite to the X-ray tube, i.e. in the direction to which an imaginary light beam along the optical axis 204 would reflect if the sample surface was a mirror. A second crystal diffractor 1511 is located at another rotational angle around said optical axis 204. In FIG. 21 the second crystal diffractor 1511 is located at what could be described as the worst possible rotational angle, because its view of the sample surface is limited by that edge of the X-ray tube 402 that comes closes to the sample window 301. If said other rotational angle differs by less than 180 degrees from that in which the first crystal diffractor 1501 is located, the second crystal diffractor 1511 could be located more like one of the plurality of other crystal diffractors in FIG. 20. In such a case the planar surface of the sample at the sample window 301 would cover a portion of the field of view of the second crystal diffractor 1511 that was between the two extremes shown in FIG. 21. According to an embodiment, the first crystal diffractor 1501 that is placed at the optimal rotational angle (in terms of field of view) in FIGS. 20 and 21 is the one in which the diffractor crystal is a HOPG crystal and the radiation detector is a solid-state semiconductor detector. Taken the good diffraction efficiency of the HOPG crystal, such placing of the first crystal diffractor helps to ensure that a maximum number of fluorescent X-ray photons will eventually reach the detector. If there is some advance knowledge about the assumed levels of concentrations of various elements in the samples to be measured, it may be advantageous to place that crystal diffractor to the most optimal rotational angle that is configured to separate and direct to its respective detector the characteristic fluorescent radiation of that element of interest that is expected to have the smallest concentrations. One factor to consider in the design of an industrial X-ray fluorescence analyzer for analyzing samples of slurry is the selection of radiation detectors in those channels that have diffractor crystals of other materials than pyrolytic graphite. The wavelength selectivity of conventional diffractor crystal materials such as silicon dioxide is relatively good, which can be interpreted so that there is not as much need for accurate energy resolution in the radiation detector as if a HOPG crystal was used. A gas-filled proportional counter may provide quite satisfactory detection results in a detection channel that has other than HOPG as the diffractor crystal, at an advantageously lower manufacturing cost than a solid-state semiconductor detector. However, nothing in the foregoing should be interpreted against choosing a solid-state semiconductor detector also for detection channels that have other than HOPG as the diffractor crystal. Similarly it is not a mandatory requirement to use a solid-state semiconductor detector in the detection channel equipped with a HOPG crystal, if the energy resolution of another type of radiation detector is found to be sufficient. FIGS. 22 to 25 illustrate calibration measurements, in which the vertical axis represents concentrations measured with one detection channel of a tested apparatus, which was an industrial X-ray fluorescence analyzer for analyzing samples of slurry according to an embodiment. The horizontal axis represents concentrations in the same samples but measured for prolonged periods with laboratory grade equipment, in order to as accurate and reliable results as possible. For the laboratory measurements the samples of slurry were dried and homogenized, and the amount of removed water was compensated for through calculation, in order to make the laboratory measurements comparable with the industrial-type measurements. If a calibration measurement of this kind shows the points settling along a straight line, the two different apparatuses give highly matching results, which means that the tested apparatus is very accurate. Deviations from a straight line show that the tested apparatus produces inaccurate results. The scales are arbitrary, but the scales in FIGS. 22 and 23 are the same, and the scales in FIGS. 24 and 25 are the same. The element of interest was gold in all measurements. FIGS. 22 and 23 represent measurements of samples in which the concentration of an interfering element was below 300 ppm, while the measurements of FIGS. 24 and 25 its concentration varied between 0 and 2%. An interfering element is one that has a characteristic fluorescent peak close to at least one characteristic fluorescent peak of the element of interest. FIGS. 22 and 24 represent cases in which the measurement with the tested apparatus was made using a detection channel that had a silicon dioxide crystal in the crystal diffractor and a gas-filled proportional counter as the radiation detector. FIGS. 23 and 25 represent cases in which the measurement with the tested apparatus was made using a detection channel that had a HOPG crystal in the crystal diffractor and a solid-state semiconductor detector as the radiation detector. A comparison of FIGS. 22 and 23 shows that when the concentration of an interfering element is small, the detection channel with a HOPG crystal and a solid-state semiconductor detector gives more accurate detection results than the detection channel with a silicon dioxide crystal and a gas-filled proportional counter. The average error between concentrations measured with the HOPG channel of the tested apparatus and those measured in laboratory was +/−0.24 ppm, while the comparable average error with a silicon dioxide crystal and a gas-filled proportional counter was +/−0.56 ppm. A comparison of FIGS. 24 and 25 shows that when the concentration of an interfering element is significant, the detection channel with a HOPG crystal and a solid-state semiconductor detector gives less accurate detection results than the detection channel with a silicon dioxide crystal and a gas-filled proportional counter. The average error between concentrations measured with the HOPG channel of the tested apparatus and those measured in laboratory was +/−1.62 ppm, while the comparable average error with a silicon dioxide crystal and a gas-filled proportional counter was +/−0.42 ppm. The results shown in FIGS. 22 to 25 can be utilized in many ways. For example, the industrial X-ray fluorescence analyzer for analyzing samples of slurry may be equipped with first, second, and third detection channels, of which the first and second detection channels are both equipped with crystal diffractors configured to separate and direct to their respective detectors characteristic fluorescent X-rays of the same element, like gold. The first detection channel may be one with a HOPG crystal and a solid-state semiconductor detector, and the second detection channel may be one with a silicon dioxide crystal and a gas-filled proportional counter. The third detection channel may be equipped with a crystal diffractor configured to separate and direct to its respective detector characteristic fluorescent X-rays of an interfering element. The detection results of all three detection channels can be then analyzed. If the detection results produced by the third detection channel show there to be a significant concentration of the interfering element in the sample, the calculation of the concentration of gold would emphasize more (or even use exclusively) the detection results of the second detection channel. Correspondingly if the detection results produced by the third detection channel show there to be only an insignificant concentration of the interfering element in the sample, the calculation of the concentration of gold would emphasize more (or even use exclusively) the detection results of the first detection channel. Many advantageous features of the industrial X-ray fluorescence analyzer for analyzing samples of slurry have been described above. In the end they all serve a common purpose, which is to make reliable measurements of even very small concentrations of elements of interest in slurries of various kinds, at reasonable cost and under the harsh conditions that an industrial environment may place: short measurement times; extreme temperatures; frequent occurrence of humidity, dust, and dirt; long intervals between servicing; and the like. The advantageous features may be combined with each other in numerous ways, so that the most advantageous combination may depend on a particular case and its specific boundary conditions. It is obvious to a person skilled in the art that with the advancement of technology, the basic idea of the invention may be implemented in various ways. The invention and its embodiments are thus not limited to the examples described above, instead they may vary within the scope of the claims. As an example, even of gold has been frequently mentioned above as a typical element of interest, the same principles are applicable also to measurements of other elements of interest. Examples of such other elements of interest are for example copper, silver, metals of the platinum group, and uranium.
041750004
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to nuclear equipment and more particularly to methods and apparatus for the non-destructive inspection, manipulation, disassembly and assembly of reactor fuel elements, and the like. 2. Description of the Prior Art To generate power in a nuclear reactor, an adequate quantity of nuclear fuel or fissionable material must be concentrated in the reactor core. This concentration produces heat through a sustained sequence of reactions between neutrons and fissionable nuclei within the core. These fission processes, moreover, leave a residue of nuclear debris within the reactor core that is intensely radioactive. In order to assemble a concentration of this nuclear fuel in a sufficient quantity to propagate the fission process, the fissionable material usually is pressed into pellets, which are loaded into and sealed within hollow metal rods or "pins". The individual pins ordinarily are assembled into a group that constitutes a "fuel element". An array of these fuel elements, when assembled in a side-by-side relation, generally constitute the active portion of the reactor core. Because of the high pressures, temperatures, and other hostile environmental conditions that often are encountered within a reactor core it is occasionally necessary to inspect the individual fuel pins to insure that they have not lost their structural integrity. As a part of the fuel element construction, the individual pins are held in place through two end fixtures that each engage respective pin extremities. Cellular grids also are positioned at intervals along the tubular surfaces between the end fixtures in planes that are generally transverse to the longitudinal pin axes. Typically, these grids are rectangular arrays of interlocking metal plates. The arrays of interlocking plates thus form more or less cube-like cells that receive the individual fuel pins. Protrusions jut from the surfaces of the plates that form the cells. These protrusions engage the adjacent surfaces of the fuel pins and hold the respective pins rigidly in place. Because of the radioactivity that is induced in these fuel elements as a result of nuclear processes, it is necessary to dismantle used and partially used fuel elements under at least ten feet of water. The water, in this instance, provides radiation protection. Clearly, dissasembly and re-assembly operations in these circumstances must be conducted in a tedious, painstaking manner with remotely handled tools and specially trained crews. This situation is further aggravated by the conflicting requirements for a structurally strong fuel element that is capable of withstanding about three years exposure to the extreme physical conditions within a reactor core, and nevertheless be readily dismantled through remote manipulations. Because the need for structural integrity has been paramount, fuel element disassembly heretofore has involved the destruction of many of the components in order to remove, identify and separate those few fuel pins that might require replacement. This is an expensive and wasteful process, especially in view of the high cost of these components. Thus, there is a need for a safe, efficient, and essentially non-destructive technique for dismantling fuel elements. SUMMARY OF THE INVENTION The invention generally satisfies this need for a non-desctructive fuel element disassembly technique. Typically, a deep pool of ordinary water is provided at a nuclear power plant for temporarily storing used fuel elements. The water depth is sufficient to reduce the radiation from the stored elements to a safe level at the pool edge. In accordance with the invention, a traveling bridge spans the width of the pool. The bridge supports a generally parallel strongback that is immersed in the pool water. The strongback is pivoted or hinged on one end to the lower end of one of the pair of beams that protrude down into the water from the bridge. The free end of the strongback is attached to a winch-driven cable. This structural arrangement enables the strongback to be swung selectively from a horizontal position that is parallel to the bridge down into a vertical position within the shielding water that is perpendicular to the span of the bridge. In this vertical position, the strongback accepts a complete fuel element and draws this element into a horizontal position for external inspection under the control of the winch and cable. Closed circuit television, "feeder gauges", periscopes, and the like, preferably are mounted on a trolley on the bridge to execute this external inspection. To examine all sides of the fuel element in question, moreover, it will be necessary in the illustrative case of a fuel element that has a square, or rectangular cross section to rotate the fuel element through 90.degree. one or more times in order to insure that all four lateral sides of the element are exposed for inspection. To accomplish this rotation, the strongback is swung into the vertical position and the fuel element is released to stand erect in the strongback. A fuel element assembly handling tool is lowered from the trolley to engage the end fitting of the fuel element. The tool lifts the fuel element from the strongback and rotates the fuel element through a 90.degree. angle before the tool returns the fuel element to the strongback. The entire hoisting and inspection process then is once more repeated with respect to the newly exposed side. Naturally, these steps may be repeated a number of times to expose and to examine all sides of the fuel element under study. After these external inspections are complete, the fuel rods that are secured within the bundle also must be removed for individual examination, inasmuch as these interior rods are not subject to close observation from the outside of an assembled fuel element. As an initial step in the technique that characterizes this invention, the two end fixtures are released from the fuel element while it is in the vertical position. As fuel elements are presently constituted, end fixture removal might require remotely controlled cutting of a few small welds. The nature of this operation, however, will not irretrievably damage the end fixtures or the interposed fuel rods. In order to remove individual fuel rods from the fuel element without damage, the grip between the protrusions that jut from the surfaces of the grid cells and the portions of the fuel rod surfaces that are in contact with these protrusions must be relaxed. These gripping forces are temporarily relieved by inserting long, thin bars that have rectangular cross sections through the individual grids. These bars are guided into appropriate grid slots by means of a plate that has cone-shaped apertures which align the bars with the grid slots. A remote manipulator inserts the rods through the plate and into the slots in the grid structure. To relax the grip, the bars are rotated through 90.degree. in order to press the long dimension of the rectangular bar cross section against the plates that form the cell surfaces and, in this manner, to push the jutting protrusions away from the associated fuel pin surfaces. In accordance with another feature of the invention, the inserted bars are rotated through the 90.degree. angle with the aid of a special grid cell opener tool. This tool has a pair of parallel sides that embrace opposite longitudinal surfaces of the fuel element in a transverse plane that coincides with the grid structure. A common selectively contractible member is disposed parallel to a third longitudinal side of the fuel element. This member joins the two opposing parallel sides together. A rack and pinion on the bar enable the opposing sides to move toward the adjacent grid and to engage the protruding edges of the rotatable bars in aligned nipples that are pivoted on the inner surfaces of the parallel sides. The protruding bar ends are securely received in matching rectangular slots in the nipples. The nipples, moreover, all are connected to respective cranks that are ganged to move in response to the motion that is produced by a further rack and pinion on the contractible member that joins the two parallel members together. Moving the rack and pinion associated with the nipples rotates the ganged cranks through a 90.degree. angle. This rotation brings the long dimension of the rectangular cross section to bear against the surfaces of the adjacent grid cell and presses the protrusions away from the respective fuel pin surfaces. Thus, the gripping forces that rigidly retain the fuel pins in their relative positions within the fuel element structure are relaxed. The relaxed grip enables the fuel pins to be individually withdrawn without risk of damage from the fuel element structure. In the circumstances, a remotely controlled manipulator can remove the individual pins for inspection and reinsertion or replacement as necessary. To reassemble the fuel element, the pins are replaced or reinserted into the grid structure, as the occasion demands. The ganged cranks are rotated back through the 90.degree. angle in order to shift the long dimension of the rectangular cross section of the bars away from the grid cell surfaces. This movement of the bars releases the stresses that are imposed on the bars and enables the protrusions in the cells to once more jut forward and engage or grip the associated fuel pins. The unstressed bars within the grid structure can be individually extracted by means of a remote manipulator. The end fixtures also can be secured to the extremities of the fuel element. Screws and nuts, moreover, are reconnected with the aid of a conventional manipulator. Welds also can be restored through remote underwater manipulation of appropriate equipment. The reassembled fuel element is ready to be reinserted into the reactor core or kept in the storage pool, as necessary. In accordance with a further characteristic of the invention, the equipment used in these foregoing operations is portable and the entire disassembly and reassembly process is conducted without inflicting substantial damage to the structure and individual components of the fuel element. The portable nature of the tools used to carry out the invention enables a small crew of trained technicians to travel to different reactor sites and carry out efficient and generally non-destructive fuel element inspections. The need for expensive specially trained personnel and individual equipment at each reactor is overcome through the practice of the invention. Consequently, the invention provides a long step forward toward overcoming a major difficulty in the practical application of nuclear power. The various features of novelty which characterize the invention are pointed out with particularity in the claims annexed to and forming a part of this specification. For a better understanding of the invention, its operating advantages and specific objects attained by its use, reference should be had to the accompanying drawing and descriptive matter in which there is illustrated and described a preferred embodiment of the invention .
abstract
A T-box assembly includes a T-box housing, a thermal sleeve coupled to the T-box housing, a cruciform wedge, a spider threadedly engaging the thermal sleeve, and a draw bolt coupling the cruciform wedge and the spider. At least one spring washer is located between the end of the thermal sleeve and the end of a core spray nozzle safe end. The T-box housing includes a cover opening having a plurality of breach lock lugs extending into the cover opening. A T-box cover plate includes a head portion and an insertion portion. A plurality of breach lock lugs extend from the periphery of the insertion portion. A plurality of ratchet teeth extend around the periphery of the head portion. A lock spring includes an engagement portion having a plurality of ratchet teeth sized to mesh with cover plate ratchet teeth.
046541896
description
SPECIFIC DESCRIPTION The entire reactor installation is shown in FIGS. 1, 2, and 3. FIG. 1 shows the prestressed concrete pressure vessel 1 with a ceiling 1a and a bottom 1b. This prestressed concrete pressure vessel, also referred to as prestressed concrete reactor vessel in the art, is supported on foundation walls 2. The foundation walls 2, which have the shape of ring segments, enclose a space 8. The prestressed concrete pressure vessel 1 is surrounded on all sides by a reactor protection building 3 at which follows, as is schematically indicated in FIG. 6, a reactor auxiliary building 4. Between the reactor protection building 3 and the prestressed concrete pressure vessel 1 there is arranged a structure of several superimposed platforms, and the uppermost platform 9 is arranged above the ceiling or top 1a. Several armored ducts 7, or similar conduits, are inserted in passages in the prestressed concrete pressure vessel ceiling 1a as well as in the prestressed concrete pressure vessel bottom 1b. In the prestressed concrete pressure vessel 1 is arranged a high temperature reactor 5 which has a power of 300 to 600 MWe. Its core is composed of a filling 6 of pebble-shaped operating elements, fuel elements and pure graphite elements, which pass through the filling or core 6 under the influence of gravity. The core is divided into two zones of specific fuel content. The charging or feeding operation is done in such a way that the fuel elements have reached their final burn-up condition after a single pass through the core 6. For charging the high temperature reactor 5 with the operating elements, a charging assembly composed of many elements is envisaged which is described in detail in the following in conjunction with FIGS. 1, 2, and 3. On the uppermost platform 9 are arranged two diametrically opposing feeder stations or input stations 10, at each of which is connected a distributor block 11; in this the pellet conduits are separated into two strands or lines, see FIG. 2, which serve as buffer zones 12. Each buffer stretch or zone 12 leads with a slope to the charging gate or charging hatch block 13; and there are, accordingly, provided altogether four such charging hatch blocks 13 or similar gate or lock means. The charging hatch blocks 13 include, respectively, an upper part, which contains functional components for closing, metering, and counting, compare FIG. 6, as well as a lower part which is formed by a multiple gate, selection switch or selector 14, and charging conduits 15, shown in detail in FIG. 4, connected thereto. The upper part of a charging hatch block 13 is supported on the ceiling or top 1a of the prestressed concrete pressure vessel, whereas the lower part is respectively installed within an armored duct 7, or similar lined conduit or passage. The charging conduits 15 are shaped as helical flights, or in a similar screw manner, in order to decelerate pellets running through them. For the same reason the inlet pipes 16, which are connected to the charging conduits 15 and serve for transporting pellets within the prestressed concrete pressure vessel 1 to the pebble bed, or pile, or core 6, are disposed in random non-straight fashion. Such measures obviate a separate decelerating or braking device for the operating elements. For removal of the operating elements from the core 6 there are provided four exit ducts 17, or similar conduits or passages, which, respectively, lead through armored ducts 7 out of the prestressed concrete pressure vessel 1. Still within the prestressed concrete pressure vessel 1, in each exit duct 17 there is installed a scrap separator 19 which is combined with a so-called singulizer or singularizer 18, shown in detail in FIG. 5. The singularizers and the scrap separators are installed so as to extend in the vertical position. Outside of the prestressed concrete pressure vessel 1, between the foundation walls 2 which simultaneously serve as shields, a first exit hatch or block 20, or similar lock, is arranged, in which, in turn, are arranged functional components for closing, and counting, compare FIG. 6. All four first element tubes 21, or similar conduits or passages, which lead from the scrap separators 19, enter into this first exit hatch block 20. The tubes continue following the exit hatch block 20 in a shielded channel 22 which traverses the reactor protection building 3. A second exit hatch block 23, or similar lock, is provided immediately in front of the wall of the reactor protection building 3 in the shielded channel 22. The second exit hatch block 23 contains functional components for metering, counting and closing, as well as a plurality of collectors which combine or gather the pebble tubes 21 into a second pebble tube 24, compare FIG. 6, which tubes up to this point were positioned parallel with respect to one another. Operating elements are transported to a withdrawal or exit station 25 in this second pebble tube 24. The exit station 25 is located in the reactor auxiliary building 4, and it comprises a gamma distinguishing and/or measuring apparatus, or similar radiation monitoring and/or reporting device, generally identified by the reference numeral 26, with the aid of which the graphitic or graphite elements are separated from the fuel elements. A burn-up determination of the fuel elements is not made because they have reached their burn-up condition. The fuel elements are loaded, by means of a device mounted by way of flanges, not shown, into containers, for example, drums, not shown. The graphite elements are stored in a graphite storage. FIG. 4 shows an enlarged representation of a multi-way gate, selection switch, or selector 14 as part of a charging hatch block 13. The selector or switch 14 is installed in an armored duct 7 which passes through the ceiling or top 1a of the prestressed concrete pressure vessel 1. The armored duct 7 is closed by a shield plug 27 which has a pebble passage 28. Two annular seals 29 are provided at the contact or interface location of the armored duct 7 and the shield plug 27. A rotatable gate, switch or selector 30, in the form of a bent tube, is provided at the upper end at the pebble passage 28 and beneath the shield plug 27. The lower end of this pipe or tube can selectively be brought into connection with one of the charging conduits 15 which are passed through the armored duct 7. The connection is achieved by rotation of the lower end of the tube which forms the switch or selector 30. The selector 30 is arranged in a housing 31 which is filled with shielding material 32, and the housing 31 is provided with a ring gear or similar spur gear 33 at its upper circumference. This gear 33 is in engagement with the gear wheel 34 which is powered or rotated by an electric motor 37, via a shaft 35 which extends through the shield plug 27, and via a stepping transmission 36, the selector 30 is moved by a further departing, delivery or unloading position. The transmission ratio of the integrated transmission step is preferably 1:n, when n is the number of the pertaining charging conduits 15. A gastight shaft passage member 38 is arranged between the transmission 36 and the shield plug 27. The drive components are easily maintained because they are arranged outside of the prestressed pressure vessel 1. For maintenance purposes, the shaft passage member 38, which is prone to become worn, when the reactor is under operating pressure, or when taking it out, respectively, a stationary seal 39 is provided within the shield plug 27 and about the shaft 35. This seal 39 assumes its sealing position or condition prior to taking out the shaft passage member 38. By means of a leak control system 40 the effectiveness of the stationery seal 39 can be examined. The inteface room or space between the two seals 29 is also connected at the leak control 40. The upper part of the charging hatch block 13 is set on the shield plug 27. The terminus of a pebble-guiding buffer stretch or zone 12 can be seen, and this is followed by a metering apparatus 41, which is adapted to simultaneously perform the functions of a pressure gate, lock, or similar closing means. Such a pressure gate or lock has the purpose, during operational problems, to limit or constrict the cross section of the pebble tube. The metering apparatus 41 is powered or driven by a drive assembly 42. The metering apparatus 41 is followed by a counter 43, compare FIG. 6. As further functional component, the charging hatch block 13 also comprises two closing armatures or similar valves, valve means or devices, of which the lower one, designated by reference numeral 44, is formed as special armature. Further details of this are disclosed in the specific description of FIG. 6. The combination of a scrap separator 19 with a so-called singulizer or singularizer 18 is shown in a larger scale in FIG. 5. The singularizer 18 is shown in a larger scale in FIG. 5. The singularizer 18 is composed of a disc 45, which cannot be taken out and which is installed in such a way so as to be able to rotate. The disc 45 includes a guide plane 46 for the passage or exit of pebbles, and the lower part of the guide plane 46 is worked into a rigid or fixed support 56. The disc 45 is directly installed beneath the exit ducts 17 in the armored duct 7. At the disc 45 there follows a vertically installed helical passage member 47, and the individual pebbles 48 pass through this passage member 47. Consequently, pebbles which are not damaged pass through the helical passage member 47 and reach the exit conduit 49 which, via one of the fuel element tubes 21, is in operative communication with the first exit hatch block 20. Spent operating elements or scrap pieces falling from the helical passage 47, and dust particles, reach a container 50 which is formed by two concentrically arranged metallic cylinders. A shield plug 51 and a drive shaft 52 are arranged within the inner cylinder. The drive shaft 52 serves as a common drive means for the singularizer disc 45 and the helical passage member 47. The drive shaft 52 is, in turn, powered by a geared motor 53. The shaft entry into the shield plug 51 is sealed by means of a gastight shaft passage 54, or similar passage member. The armored duct 7 is closed by a cover 55 which forms the pressure vessel terminus. Just like the shaft 35 of the selector 14, FIG. 4, the drive shaft 52 is the scrap separator/singularizer is also equiped with a stationary seal. (Not shown.) FIG. 6 shows schematically the charging sequence. For the details already shown in FIGS. 1 to 4, the same reference numerals apply. The charging sequence commences in the two input stations 10 which are arranged above the prestressed concrete pressure vessel 1, and drums 57 with fresh operating elements are placed at the input stations 10. Via the singularizer 58 the operating elements of each station initially reach an inspection station 59, or a withdrawal point for taking samples, and they then enter into the distributor blocks 11, each of which includes a standard closing armature or similar valve or valve means 60, hereinafter also referred to as plant armature, or generally as device, a selector 61, and two counters 43. The two distributor blocks 11 can be connected to a relief system, not shown. From the two selectors 61 divided into four strands or lines, the operating elements, via four buffer zones or stretches 12, reach the charging hatch blocks 13. These are respectively equipped with a metering apparatus 41 which serves as a pressure lock, a counter 43, a plant armature, valve or similar device 60, and a special armature, valve or device 44. In the selector 14 the four pebble streams are further divided, initially enter into the charging conduits 15, and are introduced through the inlet pipes 16, not shown here, into the reactor core 6. The operating elements are withdrawn, through the four exit ducts 17, in each of which is installed a combination of a singularizer 18 and scrap separator 19, from the core filling, and are passed through the first pebble tubes 21 to the first exit hatch block 20. Relief and flushing or rinsing systems, both not shown, are connected to the exit hatch block 20 and such are also connected to the second exit hatch block 23. The exit hatch block 20 has four pebble passages, and a plant armature, or similar special armature or device 60, and a counter 43 are arranged in each pebble passage. The pebble tubes 21 extend next in the shielded channel 22, FIGS. 1 and 3, and enter into the second exit hatch block 23, which is still located in the reactor protection building 3. This exit hatch block 23 serves again also as collector block and, accordingly, exhibits--aside from metering apparatus 66--a number of collectors 62 which gather together pebble ducts or strands to form a pebble tube 24. Furthermore, the second exit hatch block 23 contains a plant armature or device 60, and a counter 43. The pebble tube 24 leaves the reactor protection building 3, enters the reactor auxiliary building 4, and has its terminus in the exit station 25. The exit station is equipped with the gamma distinguishing and/or measuring apparatus 26, a metering apparatus 63, and a switch, gate or similar selector 61. By means of the selector 61, the sorted fuel elements and graphitic elements are separated into two different conduits each of which has a counter 43. As can be seen in FIG. 6, all pebble conduits emanating from the prestressed concrete pressure vessel 1 are equipped with special armatures or similar valve means or devices 44. As such armatures one can utilize, for example, double-seat closing armatures or valves. Such armatures are designed in accordance with disruption-incident requirements. For the controlled operational input and exit sequences, standard or plant armatures 60 are contemplated, for example, ball cocks or ball valves. Special armatures or devices 44 are located in the input or charging hatch blocks 13 as well as in the first exit hatch block 20, immediately on that side which is directed towards the prestressed concrete pressure vessel 1. Reference in this disclosure to details of the specific embodiments is not intended to restrict the scope of the appended claims, which themselves recite those features regarded as essential to the invention.
058624945
description
DETAILED DESCRIPTION OF THE INVENTION The encapsulating material used in the hazardous waste treatment systems of the present invention includes polyacrylic acids and all salts thereof. The encapsulates are typically utilized in powder or granular form. When added to a moisture bearing waste product, the encapsulates react with the moisture in the waste material to form a stable gel-like matrix. The liquids in the waste material are entrapped within the matrix and the waste material is left with no free standing liquids. The particular encapsulate used in a hazardous waste treatment system is selected according to the characteristics of the waste material being processed. The encapsulates used in the encapment process of the present invention preferably are non-biodegradable, non-toxic, incinerable materials which retain many times their own weight in moisture at elevated pressures. The encapsulates also are preferably compatible with most chemicals and are effective at a wide pH range and a wide temperature range. It is desirable that the encapsulates be transparent so that the waste material containing the encapsulates may be X-rayed using Real Time Radiography techniques required by many regulated disposal sites. Suitable encapsulates for use in the encapment process of the present invention include polyacrylates sold under the tradename ECsorb by Technical Solutions & Systems, 328 East Elk Avenue, Elizabethton, Tenn. 37643. Referring now to FIG. 1, there is shown the pressurized vessel containment system of the present invention, designated generally as 1, wherein a pressurized vessel or tank 2 is filled with encapsulating material 3 for dispensing directly onto the hazardous waste 4. The pressurized tank 2 may be any vessel designed to dispense its contents under pressure from a gas or other suitable propellent. The pressurized tank 2 may range in size from small aerosol-type cans to large commercial or industrial sized tanks. The pressurized containment system 1 is particularly useful for controlling leaks and spills of low-level radioactive and mixed moisture bearing waste material. The nozzle 5 on the tank 2 is pointed at the leaking waste material 4 and the encapsulating material 3 is dispensed directly into the hazardous waste 4. Within seconds, the encapsulating material 3 reacts with the moisture in the waste material 4 to form a stable gel-like matrix 6, preventing any further flow of the waste 4. The gel-like matrix can then be disposed of in a safe and suitable manner. In addition, the pressurized containment system 1 can be used to form dikes or barriers which contain larger volumes of waste material until appropriate clean-up measures can be implemented. As shown in FIG. 2, the solid waste treatment system of the present invention, designated generally as 7, includes a conveyor 8 for transporting solid waste material 9 to a fuser 10. The conveyor 8 may be a belt conveyor, a screw auger, or any other suitable means for transporting the solid waste 9 into the fuser 10. The fuser 10 is preferably a commercial mixer which is provided with a high speed rotary hammer. In a particularly preferred embodiment, the high speed rotary hammer rotates at a minimum speed of 2500 rpm, and more preferably at a speed of 3500 rpm. However, any means for breaking up the solid waste 9 and blending the solid waste 9 with the encapsulating material 3 is suitable for use in the fuser 10. The fuser 10 may be a portable unit which can be transported to the solid waste clean-up site. The fuser 10 also is preferably provided with load cells 12 or other means for weighing the solid waste 9 within the fuser 10. When utilized for treating radioactive solid waste material, the fuser 10 is preferably provided with detectors 11 to monitor the level of radioactivity within the fuser 10. The detectors 11 may be a germanium detector a passive/active neutron measuring device or any other suitable means for monitoring the level of radioactivity within the fuser 10. In a typical application, the solid waste treatment system 7 is assembled at a contaminated soil clean-up site. The soil 9 is tested for moisture content and levels of hazardous contaminants to determine the appropriate amount of encapsulating material 3 to add to the soil 9. The solid moisture bearing soil waste 9 is transported on the conveyor 8 through hopper 13 into the fuser 10. A predetermined amount of encapsulating material 3 is added to the fuser 10. The amount of the encapsulating material 3 is determined by the moisture content of the soil, as well as government regulations regarding radioactive and hazardous waste disposal. The fuser 10 is started and the solid waste 9 and the encapsulating material 3 are blended until the encapsulating material 3 and the solid waste 9 form a homogenous mixture. The soil waste 9 entering the fuser is generally soft and moist in appearance. Some soil wastes may even be in the form of a slurry. The encapsulating material 3 reacts with the moisture in the soil waste 9 to form stable gel-like matrix. Upon completion of the blending operation, the solid waste 9 has a granular appearance similar to grainy sand. The treated solid waste material 9 then is placed in appropriate storage containers 14 for hazardous waste disposal. The solid waste 9 is processed in the fuser 10 in individual batches until all of the contaminated solid waste 9 has been treated and sealed in containers 14. After treatment with the solid waste treatment system 7 of the present invention, the waste material is tested by the paint filter test or the Environmental Protection Agency ("EPA") Method 9095. Upon completion of the encapment process, the solid waste material 9 meets all current waste acceptance criteria for no free standing liquids. As shown in FIG. 3, the encapment process of the present invention also includes an aqueous liquid waste minimization system, designated generally as 15. The waste minimization system 15 includes a liquid waste tank 16 and an encapsulate tank 17. Both the liquid waste tank 16 and the encapsulate tank 17 empty into a pipe 18 which transports a predetermined amount of liquid waste 19 and encapsulating material 3 to an in-line mixer 20. The mixer 20 may be a ribbon mixer or any other suitable means for blending and mixing the encapsulating material 3 with the liquid waste 19 until the mixture forms a gel-like matrix. In a preferred embodiment, the gel-like mixture of liquid waste 19 and encapsulating material 3 is transported through an enclosed conveyor 21 and into an evaporator 22 or other suitable means for removing the moisture from the gel-like matrix. Discharge pipe 23 or other suitable means for removing the vapor or distillate from the evaporator 22 is provided on evaporator 22. An outlet port 24 is provided on the evaporator 22 for removing the processed waste material. Preferably, the outlet port 24 empties directly into an appropriate hazardous waste container 14. The liquid waste minimization system 15 also may be provided with a temperature control panel not shown detectors not shown for monitoring the level of radioactivity in the waste material, load cells (not shown) or other means for weighing the materials being processed, and an automated control system for regulating the operation of the system 17. The liquid waste minimization system 15 may be configured as a permanent installation for continuously processing liquid waste material in an industrial setting or as a mobile unit for processing liquid waste material at various locations. In a typical application, radioactive liquid waste 19 is tested for levels of contaminants. After determining the appropriate amount and type of encapsulating material 3 to add to the liquid waste 19, the liquid waste 19 is pumped into the liquid waste tank 16. As the liquid waste 19 travels through pipe 18, the encapsulating material 3 is added to the liquid waste 19 in pipe 18. The mixture is transported through the in-line mixer 20 which blends the encapsulating material 3 with the liquid waste 19 to form a gel-like matrix. The gel-like matrix is transported through the enclosed conveyor 21 to the evaporator 22 which removes substantially all of the moisture from the gel-like matrix. The vapor or distillate is removed from the evaporator 22 through discharge pipe 23. Substantially all of the radioactive contaminants in the liquid waste material are entrapped in the remaining matrix material, which is now in the form of a crusty ash-like material. The volume of remaining matrix material is generally about 50% to about 90% less than the original volume of liquid waste 19 prior to treatment by the liquid waste minimization process. Since substantially all of radioactive contaminants are entrapped in the matrix material, the distillate is generally free of any significant levels of radioactive contaminants. The distillate can then be disposed of by depositing in waste streams or other waste disposal methods for non-hazardous waste material. In the event the distillate contains higher levels of contaminants, the distillate can be recycled through the liquid waste minimization system 15 or disposed of in less costly disposal sites for lower level contaminated waste material. Although the invention is described with respect to the preferred embodiments, it is expected that various modifications may be made thereto without departing from the spirit and scope of the invention. Therefore, the scope of the invention is to be determined by reference to the claims which follow.
abstract
A method, system, and apparatus are disclosed for a complex shape structure for liquid lithium first walls of fusion power reactor environments. In particular, the method involves installing at least one tile on the surface area of the internal walls of the reactor chamber. The tile(s) is manufactured from a high-temperature resistant, porous open-cell material. The method further involves flowing liquid lithium into the tile(s). Further, the method involves circulating the liquid lithium throughout the interior network of the tile(s) to allow for the liquid lithium to reach the external surface of the tile(s) that faces the interior of the reactor chamber. In addition, the method involves outputting the circulated liquid lithium from the tile(s). In one or more embodiments, the reactor chamber is employed in a fusion reactor. In some embodiments, the tile is manufactured from a ceramic material or a metallic foam.
abstract
A multileaf collimator includes a first leaf block group including plural leaf blocks, a second leaf block group including plural leaf blocks arranged in the same direction as the first leaf block group and disposed opposite the leaf blocks of the first leaf block group, plural magnetic layers located on the respective leaf blocks of the first and second leaf block groups so as to be positioned on faces of the leaf blocks along a moving direction of the leaf blocks, plural magnetic sensors mounted on the respective leaf blocks and varying output signals when the respective leaf blocks are moved in an oncoming direction or a departing direction, and a control device controlling drive mechanisms according to the output signals delivered by the respective magnetic sensors so that spacing between the leaf blocks of the first and second leaf blocks is adjusted into a target configuration.
description
The present invention relates to a single particle film etching mask which is preferably used for production of a micro structure (an antireflection, an original plate of mold for a nano imprint) and its production method, a micro structure which is obtained by the production method and a production method of a micro structure with use of the single particle film etching mask. Furthermore, the present invention relates to a mold for a nano imprint, a nano imprint device, a nano imprint product produced by the device, and a mold for injection molding, an injection molding device, and an injection molded product produced by the device. The present application claims priority on Japanese Patent Application No. 2006-181274, filed Jun. 30, 2006, the content of which is incorporated herein by reference. Antireflections, such as anti-reflection films, tend to be installed on surfaces, for example, PC displays, display windows, display frames, and a variety of displays windows for visibility improvement. In the field of display units in particular, various FPDs (flat panel displays) such as Liquid Crystal Displays (LCDs), plasma displays, rear projectors, FEDs (field emission displays), and OLEDs (organic electro luminescences) have appeared rather than conventional curve surface displays such as CRTs (Braun tube) displays. Displays vary from small size displays such as cellular phones and digital cameras to large screen displays such as large size televisions, and anti-reflection films used by these products are actively examined and manufactured. In addition, techniques conduct antireflection directly to optical lenses for industrial optical devices, analytical optical devices, components for displays, cameras, microscopes, telescopes, and glasses have been used conventionally. Improvement of optical performance has been achieved by increasing the quantity of uptake light for field lenses and by increasing visibility (antireflection) by modifying eye lenses, or by modifying groups of lenses or inner lenses. For production methods of antireflection films, a dry method (a vacuum file formation method) called AR (Anti Reflection) and a wet method (a wet film formation method) called LR (Low Reflection) are known conventionally. In addition, a conventional dry method (a vacuum film formation method) has been used to produce an antireflection to non planar lenses. A dry method is mainly a method to apply metal or metallic oxide to the surface of an object using evaporation and sputtering. This method enables to produce very high antireflection effect which produces precise film thickness. However, the method has defects such as low productivity and remarkably high cost. Wet method is a method to obtain an antireflective effect with use of formed coating layer on a surface. A wet method enables improvement of bulk production at a low cost by technical progress, and precision in film thickness has been improved along with the technical progress. There are problems with the technique including the difficulty of achieving a coating of precise submicron thickness, the limitation of solvents for coating, the difficulty of securing an adherent of a coating layer and an object, even though the technique has been used on many occasions. On the other hand, an antireflection film which obtains an antireflection effect by principles completely different from the dry method and the wet method is examined by forming highly precisely arranged micro embosses patterns that is formed with conically-shaped micro projections on a surface. In particular, by lowering a pitch of the recurrently arranged conically-shaped micro projections below the wavelength of visible light, the refractive index successively varies in the depth direction of the surface (refraction index inclined effect) in the part where conically-shaped micro projections were formed. As result, Fresnel reflection of incident light which is going to incident from the side of the conically-shaped micro projection is suppressed. There is a method to etch a substrate surface by displacing a single particle film having particles such as resin, metal on a substrate as an etching mask as one of the micro embosses formation method. According to the method, single particle film would be etched and finally scraped off by itself even though the single particle film acts as an etching mask. As a result, a substrate on which a conically-shaped micro projection is formed in a location corresponding to each particle can be obtained. For a formation method of such single particle film etching mask, a substrate is dipped in a suspension of colloidal particles. After that, a method to provide an etching mask including a single particle film on a substrate by removing particle layers of a second layer and higher (particle adsorption step) except the first particle layer which bonded to the substrate electrostatically is disclosed in the patent document 1. In addition, a method to transcribe the single particle to the substrate after a single particle film (particle layer) is formed in a sheet substrate is disclosed in the patent document 2. As a method to form a single particle film on a sheet substrate, a binder layer is formed on a sheet substrate, and coat particulate dispersed fluid on top of the binder layer. After that, the binder layer is heated to make the layer soft so that only particle layer of the first layer can be embedded in the binder layer, and extra particles can be washed off. [Patent document 1] Japanese Laid-Open Patent Application No. Sho 58-120255 [Patent document 2] Japanese Laid-Open Patent Application No. 2005-279807 However, it is difficult to obtain a single particle film etching mask on which each particle is precisely aligned and closest packed in two dimensions, because particles forming a single particle film tends to agglutinate cluster partially to form multiple layers such as more than two layers; and a defect layer which particles do not exits tends to be formed in the methods of the patent document 1 and the patent document 2. As discussed previously, a production of an antireflection film showing enough antireflection effect is recalcitrant since micro embosses pattern which conically-shaped micro projections arranged highly precise would not be formed when poorly arranged single particle film is used as a etching mask so that conically-shaped micro projection is formed at the position of each particle according to the method of using a single particle film as a etching mask. The present invention is made in view of the above circumstances concerning a single particle film etching mask on which each particle is precisely aligned and closest packed in two dimensions, a single particle film etching mask production method which produces the single particle film etching mask, a micro structure production method which uses the single particle film produced by the single particle film production method, and a highly precise micro structure obtained by the micro structure production method can be provided. In addition, a nano imprint mold or a mold for injection molding with use of a micro structure; a nano imprint device or a injection molding device having the nano imprint mold or the mold for injection molding; a highly precise nano imprint product or a injection molding product obtained by the device are provided. As a result of the inventors determined research, the inventors completed the invention and found the following; a dispersed fluid which particles dispersed in a solvent is dripped in a liquid surface in a water tank, and the solvent is volatized to make a single particle layer of precisely closest packed in two dimensional. In addition, transcribing the single particle layer to a substrate forms highly precisely aligned micro embosses patterns that are formed with conically-shaped micro projections on the surface. Then, a highly precise single particle film etching mask is produced. A production method of a single particle film etching mask of the present invention includes a drip step which a dispersion liquid which particles are dispersed in a solvent is dripped to a liquid surface of a water tank; a single particle film formation step which forms a single particle film including the particle obtained by volatizing the solvent; a transfer step which transfers the single particle film to a substrate; and an etching step which etches the substrate with gas phase etching and using the single particle film as an etching mask. When a micro structure of the present invention is an antireflective, the micro structure formation is characterized by being produced by the previously described method, the conically-shaped micro projection is more than or equal to 50 nm, and the aspect ratio of the micro projection of the height to the diameter of the bottom circle is more than or equal to 0.5 of at least one side of the substrate. Then, a micro structure is preferred as an original plate to produce an antireflection, a nano imprint mold or a mold for mold injection. In addition, when a micro structure of the present invention is not an antireflective, the micro structure is characterized by being produced by the previously described method, having cone shape, pillar shape, hemispheric or these shapes on one side of the substrate. Also, when a micro structure of the present invention is not used as an antireflective, the micro structure of the present invention is preferably used as an original plate to produce super water repellent structure, super hydrophilic structure, micro polished surface structure, anti biological adherence structure, antifouling surface structure, MEMS separator structure, and nano imprint or mold for injection molding of these structure. A production method of a nano imprint mold or a mold for injection molding according to the present invention is characterized by having a transcription step of the micro projection of the micro structure to a metal layer. The transcription step is preferably a step to remove the metal layer after the metal layer is formed on the surface of the micro projection of the micro structure. A nano imprint mold or a mold for injection molding of the present invention is characterized by having been produced in the previously mentioned production methods. A nano imprint device or a mold for injection molding device according to the present invention is characterized by having the nano imprint mold or the mold for injection molding. A nano imprint product or a mold for an injection molding product of the present invention is characterized by having been produced with the nano imprint device or the mold for an injection molding device. The single particle film etching mask of the present invention is characterized by the etching mask having the single particle film on which each particle is precisely aligned and closest packed in two dimensions. A misalignment D(%) of an array of the particle which is defined in the following equation (1) is less than or equal to 10%.D(%)=|B−A|×100/A  (1)(In the equation (1), A is the average diameter of the particle, B is the average pitch between particles in the single particle film) A micro structure of the present invention is characterized by a micro structure producing a gas phase etching with the use of the single particle film etching mask. A misalignment D′(%) of an array of the structure which is defined in the following equation (2) is less than or equal to 10%.D′(%)=|C−A|×100/A  (2)(In the equation (2), A is the average diameter of the particle, C is the average pitch of the structural array in the microstructure) A nano imprint mold or a mold for injection molding of the present invention is characterized by a nano imprint mold or mold for injection molding produced by electroforming with use of the micro structure. A misalignment D″(%) of an array of the structure which is defined in the following equation (3) is less than or equal to 10%.D″(%)=|E−A|×100/A  (3)(In the equation 3, A is the average diameter of the particle, E is the average pitch of the structural array in the nano imprint mold or the mold for injection molding) A nano imprint product or injection molding product of the present invention is produced by a nano imprint method or an injection molding method with the use of the nano imprint mold or the mold for injection molding. A misalignment D′″(%) of an array of the structure which is defined in the following equation (4) is less than or equal to 10%.D′″(%)=|F−A|×100/A  (4)(In the equation 4, A is the average diameter of the particle, F is the average pitch of the structural array in the nano imprint or injection molding) According to the present invention, the single particle film etching mask having a single particle film which particles are closest packed in two dimensions and the production method; production method of a micro structure with use the single particle film etching mask and the highly precised micro structure produced by the production method are provided. Besides a nano imprint mold which uses a micro structure, a nano imprint device which has the mold for nano imprint, and a highly precise nano imprint product obtained by the device, are provided. P: Particle F: Single particle film C: Micro structure 11: Substrate The present invention is explained in detail as follows. [Single Particle Film Etching Mask] A single particle film etching mask of the present invention is an etching mask having a single particle film including large amount of particles P is closest packed in two dimensions. A misalignment D(%) of an array of the particles which is defined in the following equation (1) is less than or equal to 10%.D[%]=|B−A|×100/A  (1) In the equation 1, A is the average diameter of particles P having a single particle film, and B is the average pitch of particles in a single particle film. In addition, |B−A| shows the absolute value of the difference between A and B. The average particle diameter A is the average primary particle diameters of the particles that have a single particle film. The average particle diameter A can be conventionally obtained from a peak which is obtained from Gaussian curve fitted representing particle size distribution based on a particle dynamic light scattering method. On the other hand, the pitch between particles is the distance between the top-most vertices of two neighboring particles and the average pitch B is the average of these neighboring particles. In addition, if a particle has a spherical shape, the distance between the top-most vertices of two neighboring particles is equal to the distance between the centers of the neighboring particles. The average pitch B between particles in a single particle film etching mask is specifically obtained as follow. At first, an atomic force microscope image or a scanning electron microscope image of a randomly selected area of a single particle film etching mask with a square area of 30-40 wavelengths per side of micro structural. For example, an image with an area from 9 μm×9 μm to 12 μm×12 μm in the case of a single particle film with a particle diameter of 300 nm is obtained. Then, this image is treated with a waveform separation by Fourier transformation, and an FFT image (a fast Fourier transformation image) is obtained. Then, the distance from zero peak to primary peak in a profile of an FFT image is obtained. The inverse number of the obtained distance is an average pitch B1 in this area. The step described above is similarly conducted at more than 25 total areas randomly selected areas of more than 25 areas in total. Average pitches B1 to B25 in each area is determined. An average of the average pitches B1 to B25 in the obtained areas of more than 25 areas is average pitch B in expression (1). In addition, it is preferable to separate each area by at least 1 mm, and more preferable by 5 mm to 1 cm. Besides, various pitches of the particles in the each image can be evaluated from an area of a primary peak in a profile of an FFT image. A single particle film etching mask which the misalignment D of the array of the particles is less than or equal to 10%, and also the particle interstice is controlled and precisely aligned. Therefore, a highly precise micro embosses pattern is conducted by forming conically-shaped micro projections in locations corresponding to each particle on a substrate with use of such single particle film etching mask. Such closest packed in two dimensions includes some lattice defects because the closest packed in two dimensions works as self assembly as described later. However, such a lattice defect in closest packed in two dimensions helps to provide a variety of antireflection effects with reduction of reflectance property, like a diffraction grating in case of antireflection purpose in particular to make a variety of filling direction. A micro structure which has micro embosses patterns and conically-shaped micro projections on a surface thereof is preferably used as high quality antireflection such as an antireflection film by itself. Other than that, the micro structure is preferably used for an original plate to produce a nano imprint mold or a mold for injection molding. The details are discussed below. Bulk production of high quality antireflection at a low cost will be produced stably with use of the mold which is produced by transcribing the original plate to a nano imprint mold or a mold for injection molding. When an antireflection and the aforementioned original plate are produced with a micro embosses pattern on a substrate, the average particle diameter A obtained by particle-dynamic light scatter method of 3 to 380 nm is used as a method to measure particles of a single particle film etching mask. An average particulate diameter A of particles and the diameter of each circle base of conically-shaped micro projections that are to be formed is approximately the same. Micro embosses pattern for antireflection and suppression of optical dispersion can be preferably formed because the diameter of a circle base of formed conically-shaped micro projections is less than or equal to 380 nm with use of particles of less than or equal to 380 nm which average particle diameter A is smaller than the lower bound wave length of visual light. In addition, a quenching effect with a so-called subwavelength grating can be fully obtained with use of particles with an average particle diameter (A) more than or equal to 3 nm so that enough distance of gradient space of reflection index which incident light passes, can be secured. In addition, a variation coefficient less than or equal to 20% (the value of the standard deviation divided by a mean value) of a particle diameter of particles which makes up a single particle film etching mask is preferred, a variation coefficient less than or equal to 10% is preferable, and a variation coefficient less than or equal to 5% is more preferable. Thus, the variation coefficient of a particle, more specifically, using small particles of various diameters, makes it difficult to cause a defect which particles do not exist in following single particle film etching mask production. A single particle film etching mask which the misalignment D of the array of the particles is less than or equal to 10% is easily obtained. An antireflection film providing a uniformed refraction index inclined effect for incident light is easily obtained and preferred. As a particle material, more than one of metals, such as Al, Au, Ti, Pt, Ag, Cu, Cr, Fe, Ni, and Si, metallic oxide such as SiO2, Al2O3, TiO2, MgO2, and CaO2; polypstyrene; organic polymers, such as polymethyl methacrylate; semiconductor materials; and inorganic polymers. [Production Method of Single Particle Film Etching Mask] Such a single particle film etching mask should be displaced on at least one side of a substrate which is an etching object, and a method to use a concept of LB method (Langmuir-Blogett method) enables formation of a single particle film etching mask on a substrate. In particular, a single particle film etching mask can be produced by a drip step in which a dispersion liquid of dispersed particles in a solvent is dripped onto a liquid surface of a water tank, a single particle film formation step which forms a single particle film of particles obtained from the previously mentioned volatized solvent, and a transfer step which transfers a single particle film to a substrate. Preferred methods to produce a single particle film etching mask will be explained below in specific terms with an example. (Drip Step and Single Particle Film Formation Step) At first, a dispersed fluid is prepared by adding particles with a hydrophobic surface to a hydrophobic organic solvent including more than one kind of highly volatile solvent such as methanol, chloroform, ethanol, and methyl ethyl ketone. At the same time, a water tank (a trough) is prepared, and water is added to the tank as a liquid to disperse particles on the surface of the liquid (hereinafter, referred to as substratum water). Then, the dispersed fluid is dripped to a surface of substratum water (a drip step). Then, the particles disperse on the surface of the substratum water along with a volatilization of a dispersed fluid, and a single particle film in which closest packed in two dimensions can be obtained (a single particle film formation production step). As described above, it is necessary to select a hydrophobic solvent when hydrophobic particles are selected. At the same time, in the above case, the substratum water has to be hydrophilic, and water is usually used. Self assembly of particles progresses by combining such materials as stated above, and a single particle film in which closest packed in two dimensions can be formed. However, a hydrophobic liquid is selected as the substratum water when particles and a solvent such as hydrophilic are selected. It is preferred for the particle concentration of dispersed fluid which drips onto the substratum water is 1 to 10% by mass. In addition, it is preferable for the drip speed to be 0.001 to 0.01 ml/second. A single particle film in which each particle is precisely closest packed in two dimensions is easily obtained because the tendency of pitches between particles to widen; particles agglutinate cluster to cause second layer and higher in part; and a defect which particles do not exit can be suppressed when the concentration or the dripped amount of the particles in the dispersed fluid is in the above range. Among the particles that mentioned in the above as particles of hydrophobic in surface, particles such as organic polymers such as polypstyrene, which its surface is hydrophobic can be used. Besides, particles of hydrophilic in surface can be used as hydrophobic with hydrophobizing agent. For example, surfactant, metallic alkoxysilane can be used for a hydrophobizing agent. A method to use a surfactant as a hydrophobic agent is effective for hydrophobing a wide variety of materials such as metallic particles and metallic oxides. Cationic surfactants such as bromination hexadecyl trimethylammonium, and bromination decyl trimethylammonium; and anionic surfactants such as sodium dodecyl sulfate, and 4-sodium octyl benzenesulfonate are preferred as a surfactant. Alkanethiol, a disulphide compound, tetradecanoic acid, octadecanoic acid can also be used. A hydrophobing step using the above-mentioned surfactants may be conducted in a liquid, such as a solvent or water which disperse particles therein, or may be conducted for a particle in a dry condition. When hydrophobing step is conducted in the liquid, a particle to be hydrophobed is added to a volatile organic solvent including more than one of, for example, chloroform, methanol, ethanol, isopropanol, acetone, methyl ethyl ketone, ethyl ethyl ketone, toluene, hexane, cyclohexane, ethyl acetate, and butyl acetate to disperse particles. After that, a surfactant is added to continue dispersion. Thus, particles are dispersed in advance and then a surfactant is added. This more uniformly hydrophobes a surface. Such dispersed fluid after hydrophobing can be used as dispersed fluid to drip in the surface of substratum water in drip step. A method to extract hydrophobic treated particles by adding an organic solvent by oil phase extraction is effective after a hydrophobing step of a particle surface in water phase has been conducted by adding surfactant to the dispersed moisture when particles to hydrophobe is in dispersed moisture condition. Obtained dispersed fluid (the dispersed fluid which particles dispersed in an organic solvent) can be used as a dispersed fluid to drip in the surface of substratum water in drip step. In addition, it is preferred to select and combine adequately various organic solvent and various surfactants in order to raise particle dispersibility of this dispersed fluid. A single particle film in which each particle is precisely closest packed in two dimensions is easily obtained with the use of a dispersed fluid of high particle dispersibility because it can suppress agglutinate cluster. For example, it is preferable to use bromination decyl trimethylammonium as a surfactant when chloroform is selected as an organic solvent. In addition, it is more preferable to combine with ethanol and sodium dodecyl sulfate, combine with methanol and 4-sodium octyl benzenesulfonate, a combination with methyl ethyl ketone and Okuda capric acid. The ratio of particles to be hydrophobed to a surfactant is preferably in a range of ⅓ to 1/15 times mass of a surfactant against particles to be hydrophobing. In addition, stirring a dispersed fluid and radiating an ultrasonic to a dispersed fluid during a hydrophobic processing step is effective to improve particle dispersibility. It is effective to hydrophobe particles, such as Si, Fe, Al; and hydrophobe oxide particles, such as AlO2, SiO2, and TiO2 as a method to hydrophobe particles with metallic alkoxysilane as a hydrophobic agent. However, the method is not limited to the above particles but also the method can be applied to particles having a hydroxyl group on their surface. Monomethyl trimethoxysilane, monomethyl triethoxysilane, dimethyl diethoxysilane, phenyl triethoxysilane, hexyl trimethoxysilane, decyl trimethoxysilane, vinyl trichlorosilane, vinyl trimethoxysilane, vinyl triethoxysilane, 2-(3,4-epoxycyclohexyl)ethyl trimethoxysilane, 3-glycidoxypropyl trimethoxysilane, 3-glycidoxypropyl methyl diethoxysilane, 3-glycidoxypropyl triethoxysilane, p-styryl trimethoxysilane, 3-methacryloxy propyl methyl diemethoxysilane, 3-methacryloxy propyl trimethoxysilane, 3-methacryloxy propyl methyl diethoxysilane, 3-methacryloxy propyl triethoxysilane, 3-acryloxy propyl trimethoxysilane, N-2(aminoethyl)-3-amino propyl methyl diemethoxysilane, N-2 (amino ethyl)-3-amino propyl trimethoxysilane, N-2(aminoethyl)3-amino propyltriethoxysilane, 3-amino propyl trimethoxysilane, 3-amino propyl triethoxysilane, N-phenyl-3-amino propyl trimethoxysilane, 3-ureido propyl triethoxysilane, 3-chloropropyl trimethoxysilane, 3-mercapto propyl methyl diemethoxysilane, 3-mercapto propyl trimethoxysilane, and 3-isocyanate propyl triethoxysilane can be used as the metallic alkoxysilane. An alkoxysilyl group of metallic alkoxysilane is hydrolyzed to silanol group when metallic alkoxysilane is used as a hydrophobic agent. Hydrophobizing is conducted so that the silanol group is dehydrated and condensed to hydroxyl group of particle surfaces. Therefore, it is preferable to conduct hydrophobing with the use of metallic alkoxysilane in the water. It is preferable to stabilize the dispersion of particles before hydrophobing is conducted with a combination use of dispersion agent, such as a surfactant and the dispersed particles when hydrophobing is conducted in the water. However, the hydrophobing effect of metal alkoxysilane can be reduced depending on the kind of a dispersing agent, and a combination of a dispersing agent and metal alkoxysilane is required. For a practical method of hydrophobing a metallic alkoxysilane, at first a particle is dispersed in the water and mixed with a metal alkoxysilane water solution (a water solution including hydrolyzate of metallic alkoxysilane), then is preferably reacted for 6 to 12 hours at a room temperature of 40 degree Celsius while being stirred for a predetermined amount of time. The reaction progresses properly under such condition, and dispersed fluid of fully hydrophobing particles can be obtained. When the reaction progresses excessively, silanol group responds to each particle to bond. The single particle film obtained tends to be made up of more than two layers which particles agglutinate cluster partially because particle dispersibility of dispersed fluid declines. On the other hand, if the hydrophobing reaction is insufficient, hydrophobing of particle surface will be insufficient. Therefore an obtaining a single particle film will be included with particles wide pitches. In addition, metallic alkoxysilane other than amines is hydrolyzed in acid or alkaline conditions. Therefore, the pH of the metallic alkoxysilane other than amines needs to be adjusted to be made more acidic or alkaline during the reaction. There is no limitation for method used to adjust the pH. However, a method of adding 0.1 to 2.0% by mass of acetic acid is preferred because an effect of silanol group stabilization rather than hydrolysis acceleration is obtained. The ratio of metallic alkoxysilane to particles to be hydrophobed is preferably in the range of 1/10 to 1/100 times. More than one kind of the aforementioned volatile organic solvents is added to the dispersed fluid after the reaction has continued for a predetermined amount of time. The hydrophobidized particles in the water are extracted using oil phase extraction. For example, the amount of the organic solvent added is preferred in a range of 0.3 to 3 times by volume of the dispersed fluid before the organic solvent was added. The dispersed fluid (the dispersed fluid in which particles are dispersed in an organic solvent) can be used as a dispersed fluid to be dripped into a surface of substratum water in a drip step. In addition, the hydrophobing step preferably includes, for example stirring, ultrasonic irradiation to raise the particle dispersibility of a dispersed stepping fluid in the a hydrophobing step. It is easy to obtain a close packed single particle film in which each particle is preceisely closed packed in two dimensions because particles agglutinate cluster by increasing the dispersibility of the particles in the dispersed fluid. In addition, it is preferable to remove aggregated particles (second particles made up of multiple primary particles) which exist in the dispersed fluid in order to increase the precision of the formation of a single particle film by fine filtration of the dispersed fluid using a membrane filter before the dispersed fluid is dripped onto the surface of the liquid. Thus, fine filtration in advance enables the production of a highly precise single particle film with fewer defects such as two layered and higher particle films or partially no particles in the particle films. It is difficult to obtain a highly precise single particle film etching mask without being detected a difference of surface pressure even if an LB filter trough device which has a movable barrier that compresses a single particle film in the direction of liquid surface, and a surface pressure sensor which measures the surface pressure of a single particle film in the transcription step discussed below if there are defects several μm in size to several tens of μm in size. Even more particularly, it is preferable to conduct such a single particle film formation step in an ultrasonic irradiation condition. Conducting a single particle film production step with ultrasonic sound irradiated from substratum water to water surface progresses a closest packed particle and a closest packed single particle film in which each particle is precisely closest packed in two dimension as is obtained. In this case, 1 to 1200 W of ultrasonic output is preferable, and 50-600 W is more preferable. In addition, ultrasonic frequency does not have a limit in particular, but, for example, 28 kHz to 5 MHz is preferable and 700 kHz to 2 MHz is more preferable. Generally, as the frequency becomes increases, energy absorption of water molecule begins, and steam rises from the surface of the water. Therefore, it is unfavorable for use as the LB method of the present invention. In addition, the cavitation radius of what in substratum water grows large when the frequency is decreased, and bubbles generated in the water move towards the surface of the water. A single particle film formation of the present invention becomes inconvenient because surface smoothness of the water is lost if such bubbles integrate beneath a single particle film. In addition, a stationary waves are generated on the surface of the water by ultrasonic irradiation. It is necessary to be careful so that a single particle film is abolished when output of ultrasonic is too high in any frequency, and when the pulse height of the water surface is too high due to the ultrasonic frequency and the tuning of transmitter. A closest packed of particles can be effectively promoted without abolishing a formed single particle film when ultrasonic frequency is set to “normal” with care. Character frequency calculated from the diameter of the particles is targeted to perform an ultrasonic irradiation. However, it is difficult to provide ultrasonic oscillation as a result of calculation because particles with a diameter less than 100 nm have an extremely high character frequency. In such case, required frequency of ultra sonic can be reduced to a practical range if the character frequency which corresponds to the mass of a particle of dimmer, trimer, . . . 20 mer is hypotheticall calculated. Improvement of particle packing develops when the ultrasonic oscillation corresponding to the character frequency of particulate association is given. Enough ultrasonic irradiation time makes particles rearrange and the time required for ultrasonic irradiation depends on the diameter of the particles, the ultrasonic frequency, and the temperature of the water. However, it is preferable to conduct the production step in 10 seconds to 60 minutes under usual production conditions, and more preferably in 3 minutes to 30 minutes. Other than the advantage to obtain particles closest packed by ultrasonic irradiation (a closest packed of random array to half dozen); destroying soft-aggregates of particles which tend to be produced during preparation of nano particle dispersed fluid; and restoring point defects, line defects or crystal transformation that once formed are effective. Formation of the above explained single particle film is due to self assembly of particles. The principle is that when particles gather, surface tension acts on the particles existing in the dispersed fluid. As a result, a closest packed structure in two dimensions is automatically formed instead of random particles. It may be also said that closest packed by such surface tension is called arrangement by capillarity force in a transverse direction. Particles with high uniformity in diameter and round like a colloidal silica contact in the form of three colloidal silicas floating on a water surface. Surface tension acts so that the total length of the draft line of the particle group minimum. The three particles P shown in FIG. 1 stabilize at a place based on an equilateral triangle, shown as T in FIG. 1. Such self assembly does not occur and a single particle film would not be formed if the draft line comes to a peak of particle group, in other words, when particle P goes under the surface of the water. Therefore, it is important not to let a particle group go under the surface of the water. When one of particle or substratum is hydrophobic, the other needs to be hydrophilic. It is preferable to use water in substratum water as stated above. One formed a closest packing arrangement tends to stabilize on the surface of a liquid due to an action of comparatively large surface free energy if water is used. (Transfer Step) A single particle film formed on the surface of a liquid by a single particle film formation step is moved onto a substrate which is an etching object by keeping the single layer (transfer step). A substrate may be planar, or may have curve surfaces, slants, or non-planar shapes such as level differences in part or all of the substrate. A single particle film of the present invention is able to coat a surface of a substrate by maintaining closest packed in two dimensions without having a planar surface of the substrate. There is no particular limitation in the method used to transfer a single particle film to a substrate. For example, a transfer method to transfer a single particle film to a substrate by affinity of hydrophobic substrate and a single particle film by keeping the hydrophobic substrate and the single particle film substantially parallel and letting the substrate contact the single particle film from above. Also, there is a method to transfer a single particle film to a substrate by descending a liquid surface gradually after forming the single particle film on the liquid surface by displacing the substrate substantially horizontal to substratum water of a water tank before the single particle film is formed. A single particle film can be transferred to a substrate without using a special device using to these methods. However, it is preferable to use an LB trough method in a point of transferring the single particle film while keeping the closest packed in two dimensions when the single particle film is a large-sized single particle film (Journal of Materials and Chemistry, Vol. 11, 3, 333 (2001), Journal of Materials and Chemistry, Vol. 12, 3, 268 (2002)). FIG. 2 represents an outline of a typical LB trough method. In this approach, substrate 11 is dipped into substratum water 12 in a water tank beforehand in substantially a vertical direction. The aforementioned drip step and a single particle film formation step are conducted in the state above, and a single particle film F is formed (FIG. 2A). Then, the single particle film F is transferred to the substrate 11 by raising the substrate 11 upward after a single particle film formation step takes place (FIG. 2B). In addition, FIG. 2B shows the state of transfer of a single particle film F to both sides of the substrate 11. A single particle film F can be transferred to one side of the substrate when micro embosses pattern such as an antireflection is produced on one side of the substrate. Even if the temperature in the transfer step (of the substratum water) or the speed the substrate 11 is pulled up is changed by some measure, the single particle film F is less likely to be multi layered by the collapse of the single particle film F in the transfer step because the single particle film F has already been formed in a single layer state on the surface of a liquid in the single particle film formation step. In addition, the temperature of the substratum water usually depends on the surrounding temperature which fluctuates by season or weather, and the temperature is approximately 10 to 30 degrees Celsius. In addition, a single particle film F of a large area can be transferred more stably to the substrate 11 with the use of LB trough device having a movable barrier of substantially diagrammatic representation to compress the single particle film F in the direction along with a liquid surface, and a surface pressure sensor as a principles of Wilhelmy plate of substantially represented in a diagram to measure surface pressure of the single particle film F as a water tank. The single particle film F can be compressed increasing the (density) to a preferred diffusion pressure while measuring the surface pressure of the single particle film F by the devices. Also, the single particle film can be moved in constant speed toward the substrate 11. Therefore a trouble such as a single particle film of a small area only being able to transfer to a substrate is less likely to happen because the transfer of the single particle film F from the surface of the liquid to the substrate 11 proceeds smoothly. The preferable diffusion pressure is 5 to 80 mNm−1, and the more preferable diffusion pressure is 10-40 mNm−1. A single particle film F in which each particle is precisely closest packed in two dimensions is easily obtained in the diffusion pressures mentioned above. In addition, the speed, the substrate 11 is pulled up is preferably 0.5-20 mm/min. The temperature of the substratum water is usually 10 to 30 degrees Celsius as mentioned above. In addition, an LB trough device can be obtained as a commercial product. The material of a substrate can be arbitrarily selected according to the use thereof. For example, semiconductors such as silicon and gallium arsenic; metals such as aluminum, iron and copper; metallic oxide such as glass, silica glass, mica and sapphire (Al2O3); and high polymeric materials such as polyethylene terephthalate (PET), polyethylenenaphthalate, triacetylcellulose can be used. In addition, a surface of a substrate may be coated with other materials, and may also be changed chemically. For example, a transparent body such as glass, silica glass, or a high polymeric material having transparency is preferable as a substrate material when a micro structure obtained from the later etching step is used as antireflection. Silica glass and silicon as substrate materials can be used widely as etching objects when they are used as the original plates of a nano imprint mold in order to produce an antireflection. In addition, it is preferable to use highly smooth materials. The thickness of a substrate is not particularly limited. However, it is preferable to use a substrate with a thickness of 10 to 500 μm when a micro structure is used as an antireflection and improvement of visibility is conducted by attaching a micro structure which obtained from the following etching step, to surfaces such as PC displays, display windows, display frames, a variety of lenses, and a variety of display windows. On the other hand, an obtained micro structure may be used on transparent materials such as display windows, PC displays, display windows, display frames, a variety of lenses and a variety of displays windows. In such cases, the thickness of the micro structure can be set to a preferable thickness. In addition, it is preferable to use a substrate with a thickness of 100 to 5000 μm when an obtained micro structure is used as the original plate of a nano imprint mold or a mold for injection molding. A single particle film etching mask can be formed on a substrate by such transfer step. However, a fixing step to fix the formed single particle film etching mask to the substrate can be conducted after the transfer step. The possibility of particles moving over the substrate during the etching step described later can be suppressed by fixing the single particle film on the substrate, and highly precise etching can be conducted more stably. In particular, the possibility of moving particles over the substrate during the etching step will be larger in the final stage of the etching step which diameter of each particle is gradually getting smaller. Methods which use a binder or a sintering can be used as a fixing step. In a method using a binder, provide a binder solution is provided the single particle film side of the substrate where the single particle film etching mask was formed. Then, soak the single particle film formed on the substrate in the binder solution between the single particle film etching mask and the substrate. The amount of consumed binder is preferably 0.001 to 0.02 times the mass of the single particle film etching mask. A particle can be fixed enough in the above range without negatively affecting the precision of the single particle film etching mask because of the presence too much binder which causes a clog between particles. To remove the extra binder solution, use a spin coater or tilt a substrate after the single particle film formed on the substrate has been soaked in the binder solution if too much binder solution had been provided. Metallic alkoxysilane which was mentioned earlier as a hydrophobic agent, general organic binder, and an inorganic binder can be used as the binder. Arbitrarily heat treatment may be conducted for a particular type of binder after r the single particle film formed on the substrate has been soaked in the binder solution. It is preferable to heat-treat the substrate at 40 to 80 degrees Celsius for 3 to 60 minutes when metallic alkoxysilane is used as the binder. A single particle film etching mask having each particle is fuse bonded to a substrate by heating the substrate of which the single particle film etching mask is formed during a sintering step. The heating temperature to be used can be determined by the materials of which the particles or substrate is formed. However, particles with a diameter less than or equal to 1 μmφ start their surface reaction at a lower temperature than the fusing point of the original material. Therefore, all of the sintering is at a comparatively low temperature. The particulate fusion area increases when the heating temperature is too high. As a result, it is possible to affect the precision such that the shape of the single particle film etching mask changes. Also, heating in the air can make the substrate and each particle oxidize. Therefore, it is necessary to set etching condition based on the oxidation possibility during the later described etching step. For example, a silicon substrate is used as a substrate. When the substrate is sintered at 1,100 degrees Celsius, a thermal oxidation layer is formed at about a 200 nm thickness at the surface of the substrate. The method to produce a single particle film etching mask includes a drip step which a dispersion liquid of dispersed particles in a solvent is dripped into the surface of a liquid in a water tank, a single particle film formation step which forms a single particle film of particles obtained from a volatized solvent, and a transfer step which transfers the single particle film to a substrate. Therefore, the production method is much superior to particle adsorption method which is described in the patent document 1 or the thin liquid method which is described in Nature, Vol. 361, Jan., 26 (1993) because the production method forms a precise single layer is easy to manipulate, can be applied to a large area, and it repeatable. Therefore, the method can be conducted at industrial production level. [Micro Structure and the Production Method] Many conically-shaped micro projections can be formed on one side of a substrate in a surface processing step (etching step) by gas phase etching the substrate which has the single particle film etching mask are on the side. Etching gas goes through the gap of single particle film F having each particle P when a gas phase etching is initiated as shown in FIG. of 3A, and the gas arrives at the surface of the substrate 11. Then, a ditch is formed on the substrate 11, and column 11′ appears in a location corresponding to each particle P is located. If gas phase etching is applied continuously, particle P on the each column 11′ would be gradually decrease in size due to etching and the ditch of the substrate 11 would simultaneously be deepened (FIG. 3B). Then, each particle P finally is removed by etching. Instead, a conically-shaped micro projection is formed on one side of the substrate 11 (FIG. 3C). The obtained micro structure C can be used as an antireflection in the surface of a PC, a cellular phone, a variety of displays units (for example, an LCD, a plasma display, a rear projector, an FED, and an FPD such as OLED), window glass such as a display window, display frames, a variety of displays window, optical lenses, a solar battery, and optical materials having advertising displays and/or road signs. The micro structure C can also be used as an original plate of a nano imprint mold to produce these antireflections. It is preferable to form each conically-shaped micro projection with a bottom diameter of 3 to 380 nm from the antireflection effect point of view by suppressing optical scattering in the micro structure of such applications. Particles with an average particle diameter A of 3 to 380 nm can be used for the single particle film etching mask as previously mentioned. In addition, the height of each conically-shaped micro projection is preferably more than or equal to 50 nm and the aspect ratio of the conically-shaped micro projection of the height to the diameter of the bottom circle is preferably more than or equal to 0.5. The height and the aspect ratio enables the suppression of Fresnel reflection of incident light which comes from the conically-shaped micro projection side because enough refraction index inclined effect can be obtained at the portion where a conically-shaped micro projection is formed. However, the micro structure of the present invention is not limited to the antireflective purpose stated above. The micro structure of the present invention can also be used for optical scattering purposes when the pitch is bigger such as from 2 μm to 40 μm. A conically-shaped micro projection with a height more than or equal to 50 nm is preferable, a height more than or equal to 100 nm height is more preferable, and a height more than or equal to 300 nm height is much more preferable. The upper limit of the height of a preferred conically-shaped micro projection is 20 μm. An aspect ratio of a conically-shaped micro projection of the height to the diameter of the bottom circle is preferably more than or equal to 1.0, and more preferably more than or equal to 2.0. On the other hand, the upper limit of a preferred aspect ratio is 10 when a micro structure is used as antireflection purpose. Preferred upper limit of aspect ratio is 5.0 when a micro structure is used as an original plate of a nano imprint mold or a mold for injection molding. Etching gases used for gas phase etching are, for example, Ar, SF6, F2, CF4, C4F8, C5F8, C2F6, C3F6, C4F6, CHF3, CH2F2, CH3F, C3F8, Cl2, CCl4, SiCl4, BCl2, BCl3, BC2, Br2, Br3, HBr, CBrF3, HCl, CH4, NH3, O2, H2, N2, CO, and CO2. However, the present invention is not limited to the above examples and other gases can be used. More than one of etching gases can be used corresponding to a material of the substrate or particles including a single particle film etching mask. A gas phase etching is conducted in an anisotropic etching where the etching speed is faster in the vertical direction than in the horizontal direction of a substrate. Usable etching devices such as a reactive etching device and an ion beam etching device enable to carry out anisotropic etching. There is no particular limitation of a formula of a plasma outbreak, a structure of electrode, a structure of a chamber, and frequency of a high frequency source if an etching device can produce a biased electric field of s minimum of approximately 200 W. It is necessary for a single particle film etching mask and substrate to be etched at different speed in order to conduct anisotropic etching. It is preferable to set each etching condition (material of particle making up the single particle film etching mask, the substrate material, the type of etching gas used, the bias power, the antenna power, the flow rate and pressure of the gas, and the etching time) in the etching selectivity ratio of preferably more than or equal to 1, more preferably more than or equal to 2, and much more preferably more than or equal to 3. For example, a gold particle is selected as a particle making up a single particle film etching mask when a glass is selected as the substrate, the etching speed of the gold particle decreased if an etching gas such as CF4 or CHF3 that is reactive with glass are used, and the glass substrate would be etched. A colloidal silica particle is selected as a particle arranging a single particle film etching mask when a PET substrate is selected as the substrate, selective physical etching of a relatively soft PET substrate can be conducted by using an inert gas such as Ar as the etching gas. In addition, positive charged particles in the etching gas with plasma state is accelerated and incident almost perpendicularly to a substrate at high-speed when bias of electric field is set from several tens Watt to several hundreds Watt. Therefore, the reaction speed of physical etching in a vertical direction can be increased if a gas which reacts with the substrate is used. Isotropic etching by a radical which was generated by plasma is also generated along with a gas phase etching in some combination of substrate material and types of etching gas. An etching by a radical is chemical etching, and is conducted isotropically in any direction on an etching object. The radical does not have an electric charge, etching speed by setting of the bias power cannot be controlled, and therefore etching speed can be controlled by the density of etching gas (flow quantity) in the chamber. When a reactive gas is used, the influence of a radical cannot be null, because some gas pressure has to be maintained in order to conduct an anisotropic etching using an electrically-charged particle. However, a technique which slows the reaction speed of a radical by cooling a substrate is broadly used. Therefore, it is preferable to use a device which possesses these features. In addition, it is necessary for the shape of a formed projection to be a cone, when an obtained micro structure is used as an antireflection and when an original plate of a nano imprint mold or a mold for injection molding is used for production of antireflection. However, a side of a cone (side wall) is etched in the step of change from column-shape to conical-shape of a projection as shown in FIG. 3 in the actual etching step. As a result, the slant of the side wall of the formed conically-shaped micro projection tends to be big and the ditch shape of the longitudinal section of the cones that lie next to each other tend to be U-shaped rather than V-shaped. Restraint of Fresnel reflection of an incident light may become insufficient because enough refraction index inclined effect cannot be exerted when such shape is formed. Therefore, it is preferable to make the projection shape a more ideal conical shape by protecting the formed side wall by etching with an improved of aspect ratio by applying a so-called Bosch method in the etching step of the present invention. In other words, after disassembly of an etching gas of Freon, such as C4F8, C5F8, C2F6, C3F6, C4F6, CHF3, CH2F2, CH3F, or C3F8 was in a plasma state, the disassembled gas is polymerized by binding. Formation of a deposited film consisting of a material such as Teflon (a registered trademark) in the surface of an etching object is known. Such a deposited film acts as an etching coating film because it has an etching tolerance. In addition, a top coat of SiO2 can denature the side wall which was formed by an etching by introducing O2 as a part of the etching gas when the etching selectivity ratio that etching gas to use for silicon is high and the substrate is a silicon substrate. In addition, an etching protective film of the hydrocarbon can be set by using a mixture of CH4 and H2 as the etching gas. Therefore, it is preferable to perform an etching step while forming an etching protective film at the point that can form an ideal conically-shaped micro projection by selecting a proper etching gas. Regarding the obtained micro structure, the average pitch C of an array of the conically-shaped micro projections is calculated by a method similar to the one used to calculate the average pitch B of an inter-particle in the previously mentioned single particle film etching mask. The value of the average pitch C is approximately the same as the average pitch B of single particle film etching mask used. In addition, an average pitch C of an array is equivalent to the average value of diameter d of the circular bottom of a conically-shaped micro projection. Even more, a misalignment D′(%) of an array which defined in the following equation (2) is less than or equal to 10%.D′[%]=|C−A|×100/A  (2) However, in the equation (2), A is the average particle size of the particles constituting the single particle film etching mask used. [Mold for Nano Imprint or Injection Molding, and the Production Method] A conically-shaped micro projection of a micro structure is transcribed to a metal layer by removing the metal layer (transcription production-step), for example, after the metal layer is formed on the surface of the conically-shaped micro projection of the micro structure when a nano imprint mold or a mold for injection molding is produced with the use of a micro structure as an original plate of a nano imprint mold or a mold for injection molding. As a result, a metal layer having conically-shaped micro projections can be obtained in the surface and this can be used as a nano imprint mold or a mold for injection molding. A plating method is preferred as a method to form a metal layer on the surface of the conically-shaped micro projection of the micro structure. In particular, nonelectrolytic plating or evaporation coating of more than one of the following metals is selected: nickel, copper, gold, silver, platinum, titanium, cobalt, tin, zinc, chromium, gold-cobalt alloy, gold-nickel alloy, nickel-cobalt-phosphorus alloy solder, copper-nickel-chromium alloy, tin-nickel alloy, nickel-palladium alloy, or nickel-cobalt-phosphorus alloy. In addition, a method to increase the thickness of the metal layer by electrolysis plating by metal more than one kind chosen among these metal is preferable. A thickness of the metal layer more than or equal to 10 nm achieved by nonelectrolytic plating or evaporation coating is preferable. A thickness more than or equal to 100 nm is more preferable. However, a thickness of 50 nm is generally required for a conductive layer. The bias of the current density in the cover plating side can be suppressed in the next step of electrolytic plating if the thickness of the film is set to be within the range above. Therefore, a nano imprint mold or a mold for injection molding of uniformed-thickness can be easily obtained. It is preferable to remove the metal layer from the original plate after thickening the metal layer to a final thickness of 10 to 3000 μm in the following electrolyic plating step. The current density in electrolyic plating does not have the limit in particular. However, 0.03 to 10 A/m2 of the current density during electrolyic plating is preferred because it can form a metal layer by suppressing a bridge and in a relatively short amount of time. Nickel is preferably the material used to form the metal layer, and Nickel is also preferable for the both electroless plating/vapor deposition to perform first and electrolysis plating to perform later from the point of the re-workability, such as abrasion resistance of a nano imprint or a mold for injection molding, removing and pasting of metals. The average pitch E of the conically-shaped micro projection array is calculated by a method similar to the one used to calculate the average pitch B of an inter-particle in the previously mentioned single particle film etching mask in the obtained nano imprint mold or mold for injection molding. The value of the average pitch E is approximately the same as the average pitch B of the single particle film etching mask used. In addition, the average pitch E of the conically-shaped micro projection array is equivalent to the average value of diameter d of circular bottom of a conically-shaped micro projection. Even more, a misalignment D″(%) of an array which is defined in the following equation (3) is less than or equal to 10% in nano imprint mold or mold for injection molding.D″(%)=|E−A|×100/A  (3) However, in the equation (3), A is the average particle size of the particles constituting the single particle film etching mask used. [Device of Nano Imprint or Injection Molding, and Product of Nano Imprint or Injection Molding] A highly precise conically-shaped micro projection can be formed, and a nano imprint product or injection molding product (micro structure) which is suitable for antireflection can be stably and repeatedly produced in large quantity by device of a nano imprint or an injection molding that have a nano imprint mold or a mold for injection molding, respectively. There is no limitation in formalities in a nano imprint device or an injection molding device. There are some known methods of such as; a heat imprint method which transcribes a micro pattern which is formed in a nano imprint to the substrate is produced by pressing a mold for nano imprint against a substrate which is made in thermoplastic resin of softens by heat and then removes the mold for nano imprint from the substrate after cooling the substrate. Light (UV) imprint method which transcribes micro pattern formed in the mold for nano imprint to the substrate is produced by pressing a mold for nano imprint against a substrate of visible light curing resin of uncured resin and then removes the mold for nano imprint from the substrate after hardening visible light curing resin by irradiating ultraviolet light. Injection molding method which transcribes micro pattern formed in the mold for injection molding to the surface of the molding is produced by projection flowing melted resin to a mold in high voltage, and then removes the mold after cooling step of the whole mold. Nano imprinting device of a heat imprint method has a skeleton framework of nano imprint mold which has a press method, and temperature control method which controls the temperature of a substrate. Nano imprinting device of a light (UV) imprint method has a skeleton framework of nano imprint mold which has a press method, and ultraviolet curing method which irradiates ultraviolet to a substrate. In addition, an injection molding device has a skeleton framework and possesses the features of mold clamping, melting of plastic materials, pressure infusion for an air pocket of closed mold and cooling step in an injection molding producing machine which sealed mold is set in a injection molding device. The average pitch F of an array of the conically-shaped micro projection is calculated using a method similar to the method used to calculate the average pitch B of inter-particle in the previously mentioned single particle film etching mask about the obtained nano imprint or mold for injection molding. The average pitch F is approximately the same as the average pitch B of the single particle film etching mask used. In addition, the average pitch F of an array is equivalent to an average same as the diameter d of circular bottom of a conically-shaped micro projection. Even more, a misalignment D′″(%) of the array which is defined in the following equation (4) is less than or equal to 10% in nano imprint product or mold for injection molding product.D′″(%)=|F−A|×100/A  (4) However, in the equation (4), A is the average particle size of the particles constituting the single particle film etching mask used. As already discussed above, the single particle film etching mask on which each particle is precisely aligned and closest packed in two dimensions. A micro structure, such as a highly precise antireflection, an original plate of a nano imprint mold or a mold for injection molding can be produced by using the previously discussed single particle film etching mask. A nano imprint mold or a mold for injection molding is produced when an original plate of a nano imprint mold or a mold for injection molding is produced in particular. Antireflection, such as a nano imprint product or an injection molding product (micro structure), can be stably and repeatedly produced in large amounts by using a nano imprint device or an injection molding device which has the feature above. Also, it is suitable for use in industry. Dispersed moisture (dispersed fluid) of 5.0% by mass of spherical colloidal silica with an average particle diameter is 298.2 nm and the variation coefficient of particle diameter is 6.7%, was prepared. In addition, the variation coefficient of a particle diameter and an average particle diameter was calculated from the peak which it was obtained from Gaussian curve fitted with the particle size distribution based on particle dynamic light scattering method. For a measuring device, a Zetasizer Nano-ZS made by Malvern Instruments Ltd Corporation which can measure the diameter of particles with a particle diameter less than or equal to 10 nm to an accuracy of about 3 μm using dynamic light scattering. Then, this dispersed fluid is filtered using a membrane filter within an aperture of 1.2 μmφ, and a hydrolyzate water solution of phenyltriethoxysilane of 1.0% by mass is added to the dispersed fluid which has passed through the membrane filter. The solution was reacted at approximately 40 degree Celsius for 3 hours. Dispersed fluid and a hydrolysis water solution were mixed so that the mass of phenyltriethoxysilane became 0.02 times mass of a colloidal silica particle. An amount of methyl ethyl ketone 4 times as large by volume as the amount of dispersed fluid was added to the dispersed fluid which has completed the previous reaction, and stirred well. Then, hydrophobing colloidal silica was extracted by oil phase extraction. 0.91% by mass of a dispersed hydrophobing colloidal silica was dripped at a drip speed of 0.01 ml/second to a liquid surface (water was used as substratum water, and water temperature was 25 degrees Celsius) of a water tank (LB trough device) containing a movable barrier which compressed a single particle film to the direction along the liquid surface, and a surface pressure sensor which measures the surface pressure of a single particle film. In addition, using a four-inch silicon wafer (thickness: 525 μm) as a substrate was dipped beforehand in substantially vertical direction in a substratum water of a water tank. After that, a single particle film was formed by volatilizing a methyl ethyl ketone which is a solvent of dispersed fluid with inducing particles to be closest packed in two dimensions by irradiation ultrasonic wave (300 W output, 950 kHz frequency) for 10 minutes facing to the liquid surface from the substratum water. Then, the single particle film was transcribed to one surface of a substrate by compressing the single particle film to be 25 mNm−1 diffusion pressure by movable barrier, and pulling the four-inch silicon wafer at a speed of 5 mm/minute. Next, an extra hydrolyzed solution was removed by a spin coater (3000 rpm) for one minute after soaking the hydrolyzed solution of 1% by mass of monomethyl trimethoxysilane as a binder on the silicon wafer that single-particle film was formed. After that, a silicon substrate with a single particle film etching mask having colloidal silica was obtained by letting the binder react with the substrate which was heated at 100 degrees Celsius for 10 minutes. An atomic force microscope image of the formed single particle film etching mask is shown in FIG. 4 with two different levels of magnifications. On the other hand, an atomic force microscope image of the area of 10 μm X10 μm which an area is randomly selected in the single particle film etching mask. In addition, the waveform separation of the image by Fourier transform was conducted and an FFT image shown in FIG. 5 was obtained. Then, the distance from the zero peak to the primary peak was calculated from the profile obtained from the FFT image of FIG. 6. In addition, the inverse number of the distance from the zero peak to the primary peak was calculated. This inverse number is the average pitch B1 between particles in the area. The step was conducted in a total of 25 areas of 10 μm×10 μm, and the average pitch of B1 to B25 of each area was calculated. Then, the average of these was calculated, and the average was expressed as the average pitch B in equation (1). In addition, each area was set so that each area of next to each other was separated in 5 mm to 1 cm. The calculated average pitch B was 296.3 nm as shown in table 1. Thus the misalignment D of the array of the particle on the single particle film etching mask was 0.7% as shown in table 1 when the average diameter of the particle A of 298.2 nm and the average pitch B of 296.3 nm were substituted to the equation (1). In addition, a primary peak area (a relative value) in a profile of FIG. 6 was calculated and the value was shown in table 1. Then, gas phase etching was performed to a substrate having a single particle film etching mask using a mixed gas of SF6 and CH2F2 at a ratio of 25:75 to 75:25. The etching conditions were an antenna power of 1,500 W, a bias power of 50 to 300 W, a gas flow of 30 to 50 sccm, and etching selection ratio of 1.1 to 1.5. FIG. 7 is a scanning electron microscope image of a surface profile of the substrate with a single particle film etching mask varying with such a gas phase etching. When a gas phase etching is initiated as shown in FIG. 7A, etching gas goes through a gap of each particle arranging single particle film etching mask, and the gas arrives at the surface of the substrate. Then, a ditch is formed at the site, and an each column appeared right under the each particle. A particle on each column is gradually etched and it becomes smaller as shown in FIG. 7B, as gas phase etching continues. At the same time, a ditch of the substrate is deepened, and each particle disappears by an etching as shown in FIG. 7C for the last. Also, a micro structure body which conically-shaped micro projections were formed on one side of the substrate was obtained. According to the scanning electron microscope image, the obtained micro structure has a figure of longitudinal section of the micro structure in FIG. 8. The average height h of a conically-shaped micro projection measured from the atomic force microscope image was 330 nm, and the average pitch C (average diameter d of circular bottom) of the conically-shaped micro projections which were calculated by the same methods used in the single particle film etching mask was 296.3 nm. The aspect ratio calculated was 1.1. In addition, the average height h of a conically-shaped micro projection was calculated as follows. At first an atomic force microscope image was obtained from one area of 5 μm×5 μm selected in a micro structure at random, and a profile along a diagonal line direction of an image was made. Then, the average of the unevenness area showed in the area was calculated. This step was conducted similarly at randomly selected areas of total 25 areas of 5 μm×5 μm each, and the average value in each area was calculated. The each averaged value of the 25 places of the obtained value was further averaged to obtain a height h. Projections of 23±2 are included on each diagonal line. Then, a misalignment D′ of the array of the conically-shaped micro projections calculated by equation (2) for this micro structure was 0.7%. In addition, in the micro structure, the results that determined visible light reflectance by a vertical incidence are represented in FIG. 9. An obtained micro structure was used as an original plate of a mold for nano imprint. Ni electroless plating was conducted in the surface which conically-shaped micro projection was formed to produce a Ni layer with a thickness of 50 nm. Then, Ni electrolytic plating (nickel sulfamic acid bath was used) was conducted for current density of 8 A/m3 with an attaching electrode jig to the surface on which a conically-shaped micro projection was formed. The thickness of the final Ni layer was adjusted to be approximately 300 μm. The Ni layer was slowly removed from the micro structure after the plating step, and a mold for nano imprint made by Ni was obtained. Dispersed moisture (dispersed fluid) of 3.0% by mass of spherical colloidal silica with the average particle diameter of the spherical colloidal silica is 118.5 m and the variation coefficient of particle diameter is 4.2%, was prepared. In addition, the variation coefficient of the spherical colloidal silica diameter and an average particle diameter of the spherical colloidal silica were obtained as in example 1. Then, this dispersed fluid is filtered in a membrane filter with an aperture 200 nmφ, and a 50% by mass of bromination hexadecyltrimethylammonium (surfactant) was added to the dispersed fluid which passed through the membrane filter so that the concentration is 2.5 mmol/L. The solution was stirred for 30 minutes, and the bromination hexadecyltrimethylammonium was absorbed by the surface of the colloidal silica particle. Dispersed fluid and bromination hexadecyltrimethylammonium were mixed so that mass of bromination hexadecyltrimethylammonium became 0.04 times mass of a colloidal silica particle. In addition, the volume of this dispersed fluid and the same volume of the chloroform were added to this dispersed fluid, and it was stirred well. Then, a hydrophobic colloidal silica was extracted by oil phase extraction. A hydrophobing colloidal silica dispersed fluid of 1.5% by mass was dripped at a drip speed of 0.01 ml/second into a liquid surface (water was used as the substratum water, and the water temperature was 25 degrees Celsius) of a water tank (LB trough device) which possessed the mobile barrier which compressed a single particle film in the direction along the surface, and a surface sensor which measures the surface pressure of a single particle film. In addition, a substrate was soaked in a substratum water of a water tank as in example 1. After that, a single particle film was formed by volatilizing Chloroform which is a solvent of the dispersed fluid with inducing particles to be closest packed in two dimensions by irradiation of an ultrasonic wave (15 W output, 80 kHz frequency) for 20 minutes in the substratum water. Then, the single particle film was transcribed to one surface of a substrate by compressing the single particle film to diffusion pressure of 18 mNm−1 with a movable barrier, and pulling the four-inch silicon wafer at a speed of 5 mm/minutes. Next, an extra hydrolyzed solution was removed by a spin coater (3000 rpm) for one minute after letting the hydrolysis liquid of tetra ethoxysilane of 0.5% by mass as a binder on the silicon wafer that a single particle film was formed. After that, a silicon substrate with a single particle film etching mask having colloidal silica was obtained by letting the binder react with the heated substrate at 100 degree Celsius for 10 minutes. Other than a scanning range of an atomic force microscope was 4.1 μm×4.1 μm, the pitch B was obtained using the same method as used in example 1 and the misalignment D of the array of the formed single particle film etching mask was also obtained. In addition, a primary peak area (a relative value) in a profile of an FFT image was obtained. The result is shown in Table 1. In addition, gas phase etching was performed to a substrate having a single particle film etching mask using a mixed gas of Ar and CHF3 at a rate of 50:50 to 75:25, and a micro structure body in which conically-shaped micro projections were formed on one side of the substrate was obtained. In addition, the same etching condition was used as in example 1. The average height h of a conically-shaped micro projection measured as in example 1 was 208 nm, and the average pitch C of a conically-shaped micro projection measured as in example 1 (average diameter d of circular bottom) was 121.5 nm. The aspect ratio calculated was 1.7. Then, a misalignment D′ of the array of the conically-shaped micro projections calculated by equation (2) for this micro structure was 2.5%. In addition, in the micro structure, the results that determined the visible light reflectance by vertical incidence are represented in FIG. 10. Dispersed moisture (dispersed fluid) of 5.0% by mass of spherical colloidal silica with an average particle diameter is 298.2 nm and the variation coefficient of particle diameter is 6.7%, was prepared. In addition, the variation coefficient of the spherical colloidal silica diameter and an average particle diameter of the spherical colloidal silica was calculated from the peak which was obtained from Gaussian curve fitted with the particle size distribution based on particle dynamic light scattering method. Then, the dispersed fluid is filtered in a membrane filter with an aperture 1.2 μmφ, and a 1.0% by mass hydrolyzate water solution of phenyltriethoxysilane is added to the dispersed fluid which passed through the membrane filter. The solution was reacted at approximately 40 degrees Celsius for 3 hours. The dispersed fluid and a hydrolysis water solution were mixed so that the mass of phenyltriethoxysilane became 0.02 times mass of a colloidal silica particle. A methyl ethyl ketone of the volume of 4 times of the volume of the dispersion liquid was added to the dispersed fluid which has completed the previous reaction, and stirred well. Then, hydrophobing colloidal silica was extracted by oil phase extraction. A 0.91% by mass of hydrophobing colloidal silica dispersed fluid was dripped at a drip speed of 0.01 ml/second into a liquid surface (water was used as a substratum water, and the water temperature was 25 degrees Celsius) of a water tank (LB trough device) containing of a movable barrier which compressed a single particle film to the direction along the liquid surface, and a surface sensor which measures the surface pressure of a single particle film. In addition, a glass lens as a substrate (circular with a diameter of 75 mm and a thickness at the thickest portion of 10 mm and at the thinnest portion of 6.5 mm) was soaked beforehand in substantially vertical direction. After that, a single particle film was formed by volatilizing methyl ethyl ketone which is a solvent of dispersed fluid with inducing particles to be closest packed in two dimensions by irradiation of ultrasonic wave (300 W output, 950 kHz frequency) for 10 minutes facing to the liquid surface from the substratum water. Then, the single particle film was transcribed to one surface of a substrate by compressing the single particle film to be 25 mNm−1 diffusion pressure by movable barrier, and pulling the four-inch silicon wafer at a speed of 5 mm/minutes. Next, an extra hydrolyzed solution was removed by a spin coater (3000 rpm) for one minute after soaking the hydrolyzed solution of 1% by mass monomethyl trimethoxysilane as a binder on the silicon wafer that single-particle film was formed. After that, a glass substrate with a single particle film etching mask having colloidal silica was obtained by letting the binder react with the substrate which was heated at 100 degree Celsius for 10 minutes. An average pitch B was obtained the same way as in example 1, and a misalignment D of the particle array of the formed single particle film etching mask was also obtained. In addition, a primary peak area (a relative value) in a profile of an FFT image was obtained. The results are shown in Table 1. In addition, gas phase etching was performed to a substrate having a single particle film etching mask using a mixed gas of Ar and CHF3 at a ratio of 50:50 to 75:25, and a micro structure body on which conically-shaped micro projections were formed on one side of the substrate was obtained. In addition, the same etching condition was used as the example 1. The average height h of a conically-shaped micro projection measured as in example 1 was 325 nm, and the average pitch C of a conically-shaped micro projection measured as in example 1 (average diameter d of circular bottom) was 302.0 nm. The aspect ratio calculated was 1.1. Then, a misalignment D′ of the array of the conically-shaped micro projections calculated by equation (2) for this micro structure was 1.3%. Dispersed moisture (dispersed fluid) of 2.5% by mass of spherical colloidal silica with the same variation coefficient and the same average particle diameter as in example 1 was prepared. Then, the dispersed fluid is filtered in a membrane filter with an aperture of 1.2 μmφ as in example 1, and 3.5% by mass of a hydrolyzate water solution of 2-(3,4-epoxycyclohexyl)ethyl trimethoxysilane was added to the dispersed fluid which passed through the membrane filter. The solution was reacted at approximately 30 degrees Celsius for 24 hours, and the surface of a colloidal particle was modified (dispersed fluid (1)). On the other hand, a silane coupling agent was reacted with a substrate surface by heating at 80 degrees Celsius for 20 minutes, after a 1.0% by mass hydrolyzate water solution of 3-aminopropyltriethoxysilane was spin coated for 2000 rpm on a glass substrate of 3 cm×4 cm. Then, the dispersed fluid (1) was dripped on a glass substrate after reaction at a drip speed of 0.01 ml/second. It was spin coated for 2000 rpm and dried so that a particle was arranged in a substrate surface. Then, the substrate on which particles appeared in the substrate surface was heated at 120 degrees Celsius for 12 hours, and the particles of the first layer of the substrate surface was selectively reacted with the substrate. Extra particles of the second layer and the higher were removed by ultrasonic cleaning equipment, and a silicon substrate with a single particle film etching mask made up of colloidal silica was obtained. An average pitch B was obtained as in example 1, and a misalignment D of the particle array of the formed single particle film etching mask was also obtained. In addition, a primary peak area (a relative value) in a profile of an FFT image was obtained. The results are shown in Table 1. In addition, FIG. 11 is the atomic force microscope image of the single particle film etching mask which particles aligned highly precisely was selected, and it is shown at two different magnifications. In addition, FIG. 12 shows an FFT image and FIG. 13 shows a profile of the FFT image. In addition, gas phase etching was performed in a substrate with single particle film etching mask as in example 1, and a micro structure body on which conically-shaped micro projections were formed on one side of the substrate was obtained. The average height h of a conically-shaped micro projection measured as in example 1 was 395 nm, and the average pitch C of the conically-shaped micro projections (average diameter d of circular bottom) was 363.2 nm. The aspect ratio calculated was 1.1. Then, a misalignment D′ of the array of the conically-shaped micro projections calculated by equation (2) for this micro structure was 21.8%. Even more, in the micro structure, the results that determined visible light reflectance by a vertical incidence is represented in FIG. 14. Dispersed moisture (dispersed fluid) of spherical colloidal silica which has the same average particle diameter and the same variation coefficient of particle diameter in example 2 was prepared, and the dispersed fluid was filtered in a membrane filter with aperture of 1.21 mφ as in example 2. Then, the dispersed fluid was poured between a pair of parallel plates (area 2 cm×2 cm) which displaced in substantially horizontal direction so that the dispersed fluid makes the gap (interval) of the plates to be about 1 mm, after having adjusted a concentration of colloidal silica in 7% by mass. In addition, the upper part of the plate (made by glass) was moved in parallel by 5 to 50 mm per minute, and a single particle film was formed on the lower part of the plate (Silicon wafer). In addition, a silicon wafer was warmed to about 60 degrees Celsius with a heater, the outside ambient air (experimental environment) was set to 23 degrees Celsius, and the humidity was set to be 50% of constant temperature and humidity. Next, extra hydrolyzed solution was removed by a spin coater (3000 rpm) for one minute after soaking the hydrolyzed solution of 1% by mass monomethyl trimethoxysilane as a binder on the silicon wafer on which a single-particle film is formed. After that, a silicon substrate with a single particle film etching mask having colloidal silica was obtained by letting the binder react with the substrate which is heated at 100 degree Celsius for 10 minutes. An average pitch B was obtained by the same measurement used in example 2, and a misalignment D of the particle array was also obtained about the formed single particle film etching mask. In addition, a primary peak area (a relative value) in a profile of an FFT image was obtained. The results are shown in Table 1. In addition, gas phase etching was performed in a substrate with a single particle film etching mask in the same way as example 1 and a micro structure body on which conically-shaped micro projections was formed on one side of the substrate was obtained. The average height h of conically-shaped micro projection obtained in the same way as example 2 was 259 nm, and the average pitch C (the average diameter d of circular bottom) of the conically-shaped micro projections was 169.9 nm. The aspect ratio calculated was 1.5. Then, a misalignment D′ of the array of the conically-shaped micro projections calculated by equation (2) for this micro structure was 43.4%. In addition, in the micro structure, the results which determined the visible light reflectance by a vertical incidence are shown in FIG. 15. A hard coat layer (about 3 μm thickness) of acrylic hardening resin was formed on one surface of optics grade PET film (188 μm thickness) using a spin coating method, and, the hard coat layer was coated with a fluorinated resin layer (about 100 nm thickness). Then, a laminate film was obtained by conducting a backside treatment (deaerated after applying a black color adhesive tape) on the other side of optic grade PET film. In the fluorinated resin layer of the laminate film, the results that measured visible light reflectance by vertical incidence are shown in FIG. 16. TABLE 1ComparativeComparativeExample 1Example 2Example 3Example 1Example 2SubstrateSilicon WaferGlass lenseSilicon WaferSingleAverage298.2118.5298.2298.2118.5particle filmdiameter A ofetching maskparticle (nm)Variation6.74.26.76.74.2coefficient ofparticle diameter(%)Distance from3.37558.23313.37552.7535.886zero peak toprimary peak inFTT imageprofile(1/μm)Average pitch B296.3121.5302.0363.2169.9(nm)Misalignment D0.72.51.321.843.4of array (%)Primary peak135444614029961335140287703216010area (−)MicroAverage height h330208325395259structureof conically-shaped microprojection (nm)Average pitch C296.3121.5302.0363.2169.9of array ofconically-shapedmicro projection(nm)Aspect ratio of1.11.71.11.11.5conically-shapedmicro projection(−)Misalignment D′0.72.51.321.843.4of conically-shaped microprojection (%) From the above results, a single particle film etching mask less than or equal to 10% misalignment D of particle array which defined in equation (1) was obtained according to the example 1 and 2. Also, reflectivity in the whole visible light area is less than or equal to 0.2% which is very small (FIGS. 9 and 10) by using these single particle film etching mask. Furthermore, a micro structure having better antireflection effect compared to a film by conventional dry method (AR film) was able to be produced. In addition, according to the examples, area of a primary peak in a profile of FFT image in the example 1 was 4.7 times of that of the comparative example 1, and area of a primary peak in a profile of FFT image in the example 2 was 6.5 times of that of the comparative example 2. This suggests that the various pitches between the particles were restrained. In contrast, a misalignment D of an array in a single particle film etching mask obtained from the comparative example 1 (particulate adsorption step), comparative example 2 (liquid microfilm method) was respectively 21.8% and 43.4% which was quite big. In addition, reflectivity of visible light of the micro structure obtained with use of these single particles etching mask was bigger than those of the example 1 and 2. From these results, a micro structure obtained with the use of these single particles etching mask was produced by the drip step, the single particle formation step and the transcription step of the present invention. According to the single particle film etching mask which the misalignment D of the array is less than or equal to 10%, a micro structure which preferred as an antireflection can be produced relatively easily compared to a single particle film etching mask formed by a particle absorption method or a liquid microfilm method. In addition, a laminated film of the comparative example 3 (wet method) differs from each example or comparative example 1 and 2 in the principle that a reflection prevention effect develops. Wavelength dependence of reflectivity in a laminated film of the comparative example 3 is big because the antireflection effect is obtained by interference to shift a phase of incident light and a reflected light by half. Antireflection effect was unable to be obtained in the whole visible light area. According to the present invention, a single particle film etching mask on which each particle is precisely aligned and closest packed in two dimensions, a single particle film etching mask production method which produces the single particle film etching mask, a micro structure production method which uses the single particle film produced by the single particle film production method, and a highly precise micro structure obtained by the micro structure production method can be provided. In addition, a nano imprint mold with use of a micro structure, a nano imprint device which possesses the nano imprint mold, and a highly precise nano imprint product obtained by the device are provided.
061047724
claims
1. In a method for introducing a self-propelled in-pipe manipulator into a pipeline having an opening and branching off from a nuclear steam generator, the improvement which comprises: providing a hollow body having at least one open end; positioning the in-pipe manipulator in the hollow body; positioning the hollow body in the steam generator such that the hollow body is entirely disposed within the steam generator at a location remote from the opening of the pipeline; and subsequently; positioning the hollow body in the steam generator at the opening of the pipeline; and driving the in-pipe manipulator on its own from the hollow body into the pipeline. providing a hollow body having at least one open end; pivotally fixing the hollow body on a boom; introducing the hollow body into the steam generator through a manhole in the steam generator with the boom; positioning the in-pipe manipulator in the hollow body; positioning the hollow body in the steam generator by displacement of the boom and by swiveling above the opening of the pipeline for substantially aligning a center axis of the hollow body and a center axis of the pipeline with one another in the vicinity of the opening; and driving the in-pipe manipulator on its own from the hollow body into the pipeline. a hollow body for receiving the in-pipe manipulator and for entering into the steam generator, said hollow body having at least one open end; and a positioning device to be introduced at least partway into the steam generator, said positioning device associated with said hollow body for positioning said hollow body at the opening of the pipeline and permitting the in-pipe manipulator to drive on its own into the pipeline, said positioning device including a displaceable boom pivotally mounted to said at least one open end of said hollow body. 2. The method according to claim 1, which comprises selecting a hollow cylinder as the hollow body. 3. The method according to claim 1, which comprises positioning the hollow body centrally relative to the opening of the pipeline. 4. In a method for introducing a self-propelled in-pipe manipulator into a pipeline having an opening and branching off from a nuclear steam generator, the improvement which comprises: 5. In an apparatus for introducing a self-propelled in-pipe manipulator into an interior of a pipeline having an opening and branching off from a steam generator, the improvement comprising: 6. The apparatus according to claim 5, wherein said hollow body is a hollow cylinder.
abstract
Nuclear fuel structures and methods for fabricating are disclosed herein. The nuclear fuel structure includes a plurality of fibers arranged in the structure and a multilayer fuel region within at least one fiber of the plurality of fibers. The multilayer fuel region includes an inner layer region made of a nuclear fuel material, and an outer layer region encasing the nuclear fuel material. A plurality of discrete multilayer fuel regions may be formed over a core region along the at least one fiber, the plurality of discrete multilayer fuel regions having a respective inner layer region of nuclear fuel material and a respective outer layer region encasing the nuclear fuel material. The plurality of fibers may be wrapped around an inner rod or tube structure or inside an outer tube structure of the nuclear fuel structure, providing both structural support and the nuclear fuel material of the nuclear fuel structure.
description
This invention relates to a device for transferring powder or mixtures of powder offering improved flow. In this application, the term “powder” means a granular medium comprised of granular particles having a diameter between 1 nm and several dozen centimeters. In the nuclear field, the manufacture of nuclear fuel in the form of a pellet with a uranium oxide base or of a mixture of uranium oxide and plutonium intended for nuclear reactors is based on a method of powder metallurgy. This method comprises three steps: preparing the powder, compacting the powder according to an object of sought shape and consolidating this object by heat treatment. Until the operation of forming via compaction, the actinide oxide or oxides are in powdery form and are transferred into various hoppers in order to supply the equipment required for the preparation of the granular medium which is compacted. The last piece of equipment with powder is the press mould wherein the powder is compacted. However, emptying hoppers often gives rise to problems. In certain cases, arches or domes form during the filling of the hoppers or during the emptying thereof which reduce the flow speed by causing it to fluctuate, which even stops or prevents the flow of powders. The forming of theses arches depends on the properties of the powder and in particular on the capacity the powder has to be sheared, on the relationship between the dimension of the particles and the dimension of the discharge opening of the hopper, on the shape of the hopper and on the coefficient of friction between the powder and the walls of the hopper. In other cases, particles of very small size cake under the effect of electrostatic forces, Van der Waals forces or capillary forces. In the case of a supply hopper, for example of the moulds of a nuclear fuel press, the supply of powder is discontinuous and is interrupted during the compaction of the powder and the ejection of the pellet. The powder must therefore pass from a state wherein it flows to a state wherein it does not flow. However the coefficient of static friction between the hopper and the powder is always higher than the coefficient of dynamic friction, this results in a delay in the flow when the supply is desired. This deferred and fluctuating flow rate is highly penalising for the instantaneous control of the material exiting the container or for the reproducibility of the filling capacity such as press moulds. In particular, this behaviour limits the filling speed and the filling homogeneity of the press moulds and consequently the rates of production and the output. Technical solutions have been proposed in order to attempt to improve the flow of the powder. For example, intrusive mechanical systems such as scrapers/“dome-breakers” installed in the powder bed can be used. On the one hand, these mechanical systems may not be sufficient. On the other hand, a certain number of inconveniences results from the use thereof, in particular difficult maintenance and cleaning. Furthermore, mechanical systems can undergo wear and tear by abrasion and cause the powder to become polluted, for example when it entails using powders that are relatively abrasive such as actinide powders. Furthermore, they can alter the agglomerates and significantly change the characteristics of powders. Moreover, certain ducts/hoppers, through their geometry and their dimensions may not make it possible to implement such mechanical systems. There are however unclogging systems of the pneumatic type that, through insufflation of gas attempt to facilitate the flow. Implementing such systems on dense powders induces reduced levels of effectiveness. Moreover, gas insufflation can sometimes cause a compaction of the granular medium or on the contrary increased risks of potentially penalising dispersion especially for contaminating powders such as those of actinides. There are also systems that generate vibrations on the wall of the hopper, for example, of the “woodpecker” type or formed by ultrasonic emitters. The waves generated reduce the interaction between the powder and the wall and disturb the stacking of the powder particles. However, if these disturbances lead to breaking the arches, they also modify the stacking which leads to an increase in the compactness which facilitates the forming of arches. If the vibrations are excessively strong, the phenomenon of forming arches due to the increase in the compactness predominates with respect to the phenomenon of decreasing the interaction between the powder and the walls. If the vibrations are excessively weak, they have no effect on the flow of the powder. In general, the operation of the system of the “woodpecker” type is not continuous because it generates excessively strong vibrations resulting in an increase of the compactness. Ultrasound has a weak action on the interaction between the powder and the walls. It is then, most of the time associated with a system or systems of the woodpecker type. However, when operating discontinuously, the system of the “woodpecker” type generates a fluctuation in the emptying flow rate which is as detrimental as the emptied volume is low. The purpose of this invention is therefore to offer a device for transferring powder offering an improved flow of powder. The purpose mentioned hereinabove is achieved by a device for transferring powder contained in a container with axisymmetric shape which comprises at least one discharge opening, the discharge opening being in a lower zone of the container in the emptying phase, with the device comprising means for rotating a movable portion of the wall of the container about an axis whereon the discharge opening is, said means able to apply to the movable portion of the wall of the container at least one acceleration of a sufficient value to ensure a continuous sliding of the powder with respect to the wall of the container. In other words, a relative movement is provided between the powder and at least one portion of the container such that the powder does not adhere to the wall of the container which prevents the appearance of static bearing on which the domes could rest. The flow is then not blocked by the domes. The device provides at least for certain period of time a flow of the powder. Thanks to the invention, it is possible to obtain a constant emptying flow rate regardless of the volume emptied. Furthermore, contrary to the application of vibration of the container via a system of the “woodpecker” type, the setting into rotation of the container does not modify the stack of the particles of powder, the compactness of the powder is then not altered which makes it possible to obtain a constant mass flow during the entire flow. In the case of powders that flow naturally, the means apply an initial acceleration that is sufficient to ensure the sliding of the powder with respect to the container and then maintain the rotation advantageously at a constant rotating speed in order to ensure that the wall of the container is permanently moving with respect to the powder. The powder then flows as soon as the discharge opening is open, the flow of powder occurs without delay and the flow rate is substantially constant during the entire phase of flow. In the case of powders that do not flow naturally, the sufficient acceleration provides a shear between the particles close to the wall of the container and those farther away from the wall, this shear leads to a dilatancy of the powder which causes the rupture of the arches, the result of this is a flow. It can be provided that, when the flow is interrupted due to the reforming of domes, the rotation of the container is stopped and another acceleration is applied to the container in order to break the domes again. Very advantageously, it can be provided that the means for displacing the container in rotation apply a displacement in rotation in one direction and in the other in such a way as to maintain the flow. The means of displacement impose a movement of oscillation of the container around the axis of rotation. Indeed, implementing an oscillating rotating movement makes it possible to suppress the static catching zones between the powder and the wall through variation of the relative speed between the hopper and the granular medium. This subject-matter of the invention then is a device for transferring a given powder or a mixture of given powders contained in a container, said container comprising a side wall and at least one discharge opening, the container with axisymmetric shape having an axis of rotation that is arranged in the transfer device such that the discharge opening thereof is located in a lower portion of said container, the transfer device comprising means for displacing the container in rotation about its axis of revolution, on which the discharge opening is located, and control means for controlling the means for displacing in rotation such that the means for displacing in rotation impose on at least one portion of the side wall of the container, referred to as movable portion, a first moving phase wherein an acceleration is applied to the movable portion, this acceleration being greater than or equal to a minimum acceleration able to cause the sliding of the powder relative to the movable portion. Very advantageously, the minimum acceleration is greater than or equal to the product of the coefficient of static friction, of the force exerted by the powder on the side wall of the container and of the radius of the container divided by the moment of inertia of the powder. In an embodiment, the control means control the means for displacing in rotation such that, during a second phase after the first phase, they maintain the displacement in rotation of the movable portion. Preferably, the control means are such that the means for displacing in rotation displace the movable portion at a constant speed during the second phase. In another embodiment, the control means are such that the means for displacing in rotation impose on the movable portion a succession of first phases separated by phases at a low or zero speed. Preferably, the control means are such that the means for displacing in rotation impose a displacement of the movable portion such that its direction of rotation is inverted between two successive first phases, in such a way as to impose an oscillating rotating movement. Advantageously, the oscillating rotating movement is periodical. For example, the oscillating rotating movement has a frequency between 5 Hz and 50 Hz. The transfer device can comprise dynamic means of sealing between the movable portion and fixed portions of the transfer device. For example, the container is a hopper. Another subject-matter of the invention is a device for manufacturing nuclear fuel elements comprising a device for transferring powder according to the invention, a press provided with a table wherein at least one mould is formed and means for compressing the powder in the mould, with the emptying end of the container able to be placed facing said mould during a filling phase of the mould and be sealed off outside of a filling phase. Another subject-matter of the invention is a method for transferring a given powder or a mixture of given powders contained in a container, comprising a side wall and a discharge opening, said discharge opening being arranged in a lower position, with the method comprising at least the step of: a) setting in rotation a portion at least of the side wall of the container about an axis whereon the discharge opening with an acceleration that is greater than a minimum acceleration causing the sliding of the determined powder with respect to the side wall. Preferably, the minimum acceleration is greater than or equal to the product of the coefficient of static friction, of the force exerted by the powder on the side wall of the container and of the radius of the container divided by the moment of inertia of the powder. In an embodiment, the method for transferring comprises a later step b) of maintaining the movement of rotation of the side wall. The movement of rotation is carried out preferably at a constant speed. In another embodiment, steps a) are repeated successively separated by steps at low or zero speed. The direction of rotation can be inverted between two successive steps a), advantageously periodically. According to standard ISO 4490, a powder naturally flows through an orifice if it begins to flow when the opening is opened. The invention relates to a device for transferring powder. This device can implement a hopper intended to fill recipients or to supply a manufacturing unit using a powder such as for example the moulds for producing nuclear fuel pellets. Alternatively, it can implement a recipient that is sought to be emptied, the latter being removable relative to the device. FIG. 1 diagrammatically shows a device for transferring powder according to the invention. In the non-limiting example described the transfer device comprises an axisymmetric hopper 2 comprising an upper end 4 through which it is supplied with powder P and a lower end 6 through which the powder P is removed, and a side wall 8 between the upper end 4 and the lower end 6. The hopper comprises means 10 for temporarily sealing off the lower end 6. The hopper 2 has an axis of revolution X oriented vertically in the representation of FIG. 1. The entire hopper can be set in rotation. Alternatively, only an axial portion of the hopper can be set in rotation, in this case it is more preferably an axial portion located on the side of the lower end. Preferably, means of dynamic sealing (not shown) with powder are provided between the hopper and the other fixed portions or between the movable portion of the hopper and the fixed portion of the hopper. The device also comprises means 12 for displacing the side wall of the hopper in rotation about its axis X and control means CU of the means 12. In this application, “rotation of the hopper” or more generally “rotation of the container” mean a movement of the hopper or of the container forms of complete revolutions or of a movement of oscillation between two angular positions, with the understanding that the two angular positions can be separated at most by more than 360°. The means CU control the displacement means 12 such that the acceleration that they apply to the hopper is adjusted to a value greater than or equal to a minimum value amin about the X axis and that they then drive the side wall in rotation according a speed that is constant or not. The minimum value of acceleration amin is chosen in such a way as to cause a sliding of at least one portion of the powder with respect to the side wall 8. The acceleration is such that it induces a force that is greater than or equal to the forces of static friction between the powder and the side wall. In FIG. 2, the force of friction Fs or Fd and the force induced Fi by the rotation of the wall of the hopper can be seen. Fs is the force of static friction and Fd is the force of dynamic friction. It can be seen that beyond a certain value of force induced by the rotation of the wall, the force of friction is only dynamic and is weaker than the force of static friction, with this force not preventing the flow. Indeed, before the setting into relative movement between the powder and the wall of the hopper, the forces of friction F between the powder and the wall are proportional to the normal component of the reaction (N) of the powder on the surface of the wall. The coefficient of proportionality is the coefficient of apparent powder/wall friction or coefficient of static friction μs which depends on several parameters such as the surface condition and the roughness of the solids in contact. The maximum value of the friction is given by the coefficient of static friction knowing the normal reaction of the wall on the powder:Fm=μs×N Generally, the coefficient of dynamic friction that corresponds to the forces of friction induced in the case where the powder and the wall are in relative movement in relation to one another. This coefficient noted as μd is less than the coefficient μs by about 10% to 20% in general. The coefficient of static friction can be defined as follows: μs=tan θs where θs represents the angle with respect to the horizontal starting from which the powder is about to slide on the wall. The coefficient of dynamic friction can be defined with the same expression but by using θd the angle starting from which the powder slides continuously on the wall. A powder in a hopper exerts through its weight, a force against the walls of the latter. The minimum acceleration of the hopper is chosen in such a way as to be higher than the product of the coefficient of static friction, of the force exerted by the powder on the wall of the hopper and of the radius of the hopper divided by the moment of inertia of the powder. For a UO2 powder in cylindrical column with an inner diameter of 10 cm containing a height of powder greater than 15 cm, the relative acceleration must be greater than 1.2 in order to not drive the powder in rotation with the duct. For the same UO2 powder contained in a duct with a diameter of 8 mm less than the diameter of the natural flow of this powder which is 10 mm, the relative acceleration must be greater than 5. It is sought to obtain a displacement between the powder and the side wall that is greater than the size of the particles of powder. For example, if the particles have a diameter of 100 μm, the displacement can be 500 μm. This minimum acceleration therefore induces a sliding of the powder with respect to the side wall and a flow of the powder. In an embodiment that is particularly suited to the manipulation of powder that flows naturally, the means of displacing in rotation are controlled such that, after having applied a minimum acceleration amin, they impose on the wall of the hopper a permanent rotating speed, preferably constant, and this regardless of the state of sealing of the discharge opening. By maintaining the rotation of the side wall of the hopper, a relative movement is maintained between the powder and the side wall, only the dynamic friction between the powder and the side wall is then to be considered and this whether the discharge opening is open or closed. The sliding between the powder and the side wall is maintained. As such, as long as the sliding is maintained, as soon as the discharge opening is open, the powder flows instantly with a constant flow rate. FIG. 3 shows the linear speed V1 as a function of the time t for two examples of movements that can be imposed on the hopper subassembly. The speed designated as V1 describes the linear speed in the case of a device suited for powders that flow naturally, the speed V1 is constant. Alternatively, the speed could be variable monotonously or not. The speed designated as V2 designates the speed in the case of a device suited for powders that do not flow naturally, this method of operation shall be described hereinbelow. The powder flows when the acceleration is higher than a certain acceleration of the side wall of the hopper. Since the speed of rotation cannot be increased indefinitely, the direction of rotation of the hopper is inverted. The change in the direction of rotation induces a reversal in the direction of shear of the powder close to the surface of the hopper. The coefficient of friction will then decrease to approach zero then will increase again. The flow is then as such facilitated. The acceleration increases then above the minimum acceleration. Preferably, the relative acceleration is greater than 5 for the UO2 powders that do not flow naturally in order to obtain a constant flow rate. In an embodiment particularly suited to the manipulation of powders that do not flow naturally, the movement of the hopper is intermittent with successive phases of rotation comprising a starting at an acceleration amin, a rotation in one direction and a stopping. In FIG. 3, it is possible to see an example of a movement that can be imposed on the hopper, designated by V2, which is the linear speed. The latter has a sawtooth shape and changes sign periodically, illustrating a change in the direction of rotation of the side wall of the hopper. This movement is preferred but is not exclusive of other movements, such as for example non-periodical movements. Starting at an acceleration amin causes a rupture of the arches that has reformed. A rotation of the hopper after the rupture of the arches maintains the flow as long as the arches have not reformed again. Very advantageously, the direction of rotation of the side wall is inverted at each phase of rotation. A relative oscillating movement is therefore applied which makes it possible to create sufficient shear between the particles close to the wall and those that are farther away. This shear leads to a dilatancy of the powder that causes the rupture of the arches. This rupture allows the powder to flow. More particularly, the oscillating rotating movement can be broken down into two phases: When the arches have been reformed, the powder no longer flows. The direction of rotation of the hopper is inverted. The forces of friction change direction. However, under the effect of inertia, the powder tends to retain the same direction of rotation. In this phase, the side wall of the hopper and the powder rotate in the opposite direction. The powder slides on the side wall of the hopper and the stresses generated between the particles that slide still with friction on the side wall of the hopper and those farther away lead to an intense local shear of the powder. This shear causes a dilatancy of the powder on the wall which breaks the arches and as such allows for the flow. When the forces of friction become greater than the forces of inertia, the powder is again driven in rotation by the side wall of the hopper. The direction of rotation of the container is then again inverted in order to retain the flow of the powder. Preferably, the side wall of the hopper has a periodical movement. The amplitude of the relative displacement of the powder with respect to the side wall of the hopper is according to the acceleration of the side wall of the hopper, of the inertia of the powder and of the friction between the powder and the side wall of the hopper. This relative displacement provokes the forming of shear stress in the powder in the vicinity of the walls which create a dilatancy of the powder, which drives the rupture of the arches which may have formed and prevents the forming of new arches. The frequency of the oscillating movement is chosen preferably in order to obtain a permanent flow, i.e. the direction of rotation is inverted before the flow is interrupted by the forming of arches. For example frequencies between 5 Hz and 50 Hz make it possible to have a permanent flow for UO2 powders. It could however be provided that the direction of rotation be inverted only when the stopping of the flow is detected, in the case of a flow sensor, for example optical, would inform the means of displacement. In the case of a powder flowing naturally, it can be provided to apply to the hopper an oscillating rotating movement which has for effect to increase the flow rate of the emptying of the powder. This invention implements a rotating movement that generates on the particles forces that are mostly directed tangentially in relation to the surface of revolution which causes the appearance of an intense shear in a zone close to the wall, contrary to the forces caused by implementing ultrasound or a system of the woodpecker type which are, primarily normal to the surface. In the case of an oscillating rotating movement, the thickness affected by the shear is advantageously much lower than that which is when a system of the woodpecker type is used. This low shear volume has the advantage of not allowing the powder to thicken significantly contrary to what happens under the effect of the vibrations caused by a system of the woodpecker type. Compacting the powder and therefore penalising the flow is thus avoided. The rotation means 12 can be formed by a motor indexed in position of which the shaft is coaxial to the axis of the hopper and is secured in rotation to the means for suspending the hopper. The means for suspending are then directly engaged with the shaft of the motor. As such a setting into rotation of the shaft causes a displacement in rotation of the hopper. The motor is controlled by the control means in acceleration or in speed and in amplitude of angular displacement in the case of the transfer of powders that do not flow naturally. The control means are formed for example by a computer comprising the control programs of the motor, the control program is chosen according to the powder or the mixture of powder to be transmitted. The computer can for example be connected to a power source of the motor. The device for transferring powder can be used to supply for example the press mould or moulds of a device for manufacturing nuclear fuel elements. Such a device for manufacturing nuclear fuel elements is shown diagrammatically in FIG. 4. It comprises a press 27 provided with a table 28 wherein at least one mould 30 is carried out, preferably several moulds. In the example shown, the evacuation end of the hopper 2 is open in order to fill the mould 30 and is directly sealed off by the surface of the table during the compaction of the powder and the ejection of the compacted powder. The evacuation end slides on the table 28 and when it is facing the mould, the latter is open. Thanks to the invention, the powder flows instantaneously into the mould 30 at a substantially constant flow rate. The powder is then compacted. As such it is possible to obtain a homogeneous filling of the mould at each step of filling and to obtain pellets of which the characteristics are substantially identical. The delay in the flow that is generally observed during the filling of the dies of the press is suppressed, the speed of the flow is increased and the quantity of material poured into the mould is homogeneous over the entire height of the mould thanks to the mass flow which is constant. The invention makes it possible to increase not only the speed of production by decreasing the filling time but also the quality of the product after compaction since the latter is in part a function of the homogeneity of the material obtained after filling. The two embodiments apply to the filling of moulds for the manufacture of nuclear fuel pellets. Preferably, the putting into rotation of the side wall begins prior to a series of fillings of moulds in order to be sure that the powder will flow as soon as the evacuation end is opened. In the example described, the element containing the powder to be emptied is a hopper, but this could more generally be a container intended to contain powder that is sought to be emptied, with the container intended to be filled while it is in place in the transfer device, such as a hopper or with the container being filled beforehand then set in place in the transfer device and on which the means for displacement 12 apply a relative movement according to the invention. The device for transferring powder according to the invention is suited for transferring any type of powder in all fields of activity that implement powder.
claims
1. A bottom end-piece of a support skeleton for a fuel assembly of a light water nuclear reactor, the bottom end-piece comprising:direction nozzles directing the flow of water of the reactor along the lower longitudinal ends of fuel rods supported by the skeleton, the direction nozzles being arranged at nodes of a substantially regular network of the skeleton so as to be placed in a longitudinal continuation of at least some of the fuel rods and/or at least some connection elements extending between the bottom end-piece and a top end-piece of the support skeleton, andan anti-debris device delimiting water flow channels, at least some of the water flow channels being arranged at the nodes of the substantially regular network and each including one of the direction nozzles arranged at least partially in a corresponding one of the water flow channels, the direction nozzles arranged at least partially in the water flow channels and the anti-debris device delimiting water passages therebetween, the anti-debris device and a corresponding one of the direction nozzles at at least one of the water passages delimiting a first section and a second section which are mutually offset radially relative to a central axis of the corresponding direction nozzle and form a baffle,wherein the at least one water passage is delimited such that water passing longitudinally through the water passage cannot pass rectilinearly. 2. The bottom end-piece according to claim 1 wherein the anti-debris device and the corresponding direction nozzle at the at least one water passage further delimit a third section, the third section being radially offset relative to the second section with respect to the central axis of the corresponding direction nozzle, the first section, the second section and the third section following each other in order to form two successive baffles of opposing directions. 3. The bottom end-piece according to claim 1 wherein the at least one water passage surrounds the corresponding direction nozzle. 4. The bottom end-piece according to claim 1 wherein the at least one water passage has a downstream portion diverging in the flow direction of the water. 5. The bottom end-piece according to claim 1 wherein the at least one water passage has an upstream portion converging in the flow direction of the water. 6. The bottom end-piece according to claim 1 wherein the direction nozzles and the anti-debris device are integral. 7. The bottom end-piece according to claim 1 wherein the bottom end-piece comprises at least one additional grid for retaining debris which is fitted upstream and/or downstream of the water passages. 8. The bottom end-piece according to claim 1 further comprising at least one debris retaining sleeve extending longitudinally between the downstream end of the at least one water flow channel and a block covering the corresponding direction nozzle. 9. The bottom end-piece according to claim 8 wherein the sleeve comprises a zone which has no water flow opening and which delimits a cavity for trapping debris with the corresponding direction nozzle. 10. The bottom end-piece according to claim 1 further comprising a device laterally retaining the lower longitudinal ends of substantially all the fuel rods. 11. The bottom end-piece according to claim 10 wherein the retention device includes holes for receiving the lower longitudinal ends of the fuel rods which are provided in blocks which cover the direction nozzles. 12. A fuel assembly for a nuclear reactor, the assembly comprising:fuel rods including lower end plugs at lower longitudinal ends thereof; anda skeleton for supporting the fuel rods, the fuel rods extending in a longitudinal direction and being arranged at nodes of a substantially regular network of the skeleton, the support skeleton comprising a bottom end-piece and a top end-piece and elements for connecting the end-pieces, the fuel rods being arranged longitudinally between the end-pieces, the bottom end-piece comprising:direction nozzles directing the flow of water of the reactor along the lower longitudinal ends of the fuel rods supported by the skeleton, the direction nozzles being arranged at the nodes of the substantially regular network so as to be placed longitudinally below the fuel rods or elements for connecting the end-pieces in a longitudinal continuation of at least some of the fuel rods and/or at least some connection elements extending between the bottom end-piece and the top end-piece of the support skeleton, andan anti-debris device delimiting water flow channels, at least some of the water flow channels being arranged at the nodes of the substantially regular network and each including one of the direction nozzles arranged at least partially in a corresponding one of the water flow channels, the direction nozzles arranged at least partially in the water flow channels and the anti-debris device delimiting water passages therebetween, the anti-debris device and a corresponding one of the direction nozzles at at least one of the water passages delimiting a first section and a second section which are mutually offset radially relative to a central axis of the corresponding direction nozzle and form a baffle. 13. The bottom end-piece according to claim 1 further comprising blocks receiving the lower longitudinal ends of at least some of the fuel rods. 14. The bottom end-piece according to claim 13 wherein the direction nozzles extend downward from the blocks into the anti-debris device. 15. The bottom end-piece according to claim 14 wherein the fuel rods include lower end plugs at the lower longitudinal ends thereof, the blocks receiving the lower end plugs. 16. The bottom end-piece according to claim 1 wherein a bottom of the anti-debris device is below the direction nozzles. 17. The bottom end-piece according to claim 1 wherein the corresponding direction nozzle and the anti-debris device are configured such that the first section is above the second section and the first section is positioned a greater distance from the central axis of the corresponding direction nozzle than the second section. 18. The bottom end-piece according to claim 17 wherein the corresponding direction nozzle and the anti-debris device are configured such that an outer diameter of the second section is less than or equal to an inner diameter of the first section. 19. The bottom end-piece according to claim 1 wherein the anti-debris device includes a grid of partitions, at least two of the partitions forming one of the water flow channels, the at least two partitions varying in thickness to form the first and second sections. 20. The bottom end-piece according to claim 19 wherein the at least two partitions each include a protrusion preventing the water passing longitudinally through the water passage from passing rectilinearly. 21. The bottom end-piece according to claim 20 wherein the at least two partitions each includes a top portion above the protrusion and a bottom portion below the protrusion, each of the top portion and the bottom portion being thinner than the protrusion.
abstract
In a complex, multi-processor software controlled system, such as proton beam therapy system (PBTS), it may be important to provide treatment configurable parameters that are easily modified by an authorized user to prepare the software controlled systems for various modes of operation. This particular invention relates to a configuration management system for the PBTS that utilizes a database to maintain data and configuration parameters and also to generate and distribute system control files that can be used by the PBTS for treatment delivery. The use of system control files reduces the adverse effects of single point failures in the database by allowing the PBTS to function independently from the database. The PBTS accesses the data, parameter, and control settings from the database through the system control files, which insures that the data and configuration parameters are accessible when and if single point failures occur with respect to the database.
claims
1. An EUV light source for generating an EUV light for an exposure device, the EUV light source comprising:a chamber;a target supply device configured for supplying a target into the chamber;an optical system for introducing laser light from a driver laser into the chamber and irradiating the target with the laser light to turn the target into plasma from which EUV light is emitted; andan EUV collector mirror in the chamber, the EUV collector mirror comprising a multilayered reflecting surface with grooves and including an aperture through which the laser light from the driver laser propagates toward the target, the EUV collector mirror being configured for collecting the EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser. 2. The EUV light source according to claim 1, wherein a wavelength of light to be diffracted by the grooves is approximately 10.6 μm. 3. The EUV light source according to claim 1, wherein the driver laser is a carbon dioxide (CO2) laser. 4. The EUV light source according to claim 1, further comprising a magnetic field generator for generating a magnetic field around the plasma. 5. The EUV light source according to claim 4, wherein the magnetic field generator comprises a plurality of coils for generating the magnetic field. 6. The EUV light source according to claim 1, wherein the target supply device is configured for providing the target to the chamber in the form of droplets. 7. The EUV light source according to claim 6, wherein a material for the target is Sn. 8. The EUV light source according to claim 1, wherein the chamber contains at least one of a hydrogen gas, a halogen gas, a hydrogenated halogen gas, and an argon gas. 9. The EUV light source according to claim 8, further comprising a heater for heating the EUV collector mirror. 10. The EUV light source according to claim 8, further comprising a radio wave generator configured for generating a radio wave to excite the at least one of the hydrogen gas, the halogen gas, the hydrogenated halogen gas, and the argon gas in the chamber. 11. The EUV light source according to claim 1, further comprising a light shielding device placed between the EUV collector mirror and the exposure device, and configured for passing light to be collected to the focal spot by the EUV collecting mirror. 12. The EUV light source according to claim 11, wherein the light shielding device is placed between a region where the target is turned into the plasma and the exposure device. 13. The EUV light source according to claim 11, wherein the light shielding device includes a cooling device for cooling the light shielding device. 14. The EUV light source according to claim 11, wherein the light shielding device includes a through-hole through which the light to be collected to the focal spot passes. 15. The EUV light source according to claim 14, wherein the through-hole of the light shielding device has a diameter equal to or less than around 10 mm. 16. The EUV light source according to claim 14, wherein the through-hole of the light shielding device has a diameter in a range of 4 mm to 6 mm. 17. The EUV light source according to claim 1, wherein the EUV collector mirror has a first focus and a second focus. 18. The EUV light source according to claim 17, wherein the grooves are arranged concentrically with respect to an axis going through the first focus and the second focus. 19. The EUV light source according to claim 1, wherein the EUV collector mirror is one of an ellipsoidal mirror and a paraboloidal mirror. 20. The EUV light source according to claim 19, wherein the grooves are arranged concentrically with respect to an axis of symmetry of the multilayered reflecting surface of the one of the ellipsoidal mirror and the paraboloidal mirror. 21. The EUV light source according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 300 μm to 800 μm. 22. The EUV light source according to claim 1, further comprising a surface coating on a surface of the multilayered reflecting surface. 23. The EUV light source according to claim 22, wherein a material of the surface coating includes ruthenium. 24. The EUV light source according to claim 1, wherein the EUV collector mirror is positioned to first reflect the EUV light from the plasma. 25. The EUV light source according to claim 1, wherein the EUV collector mirror is positioned so that the EUV light from the plasma is directly incident on the EUV collector mirror. 26. The EUV light source according to claim 1, wherein the grooves are configured for diffracting light to be reflected or scattered by the target. 27. The EUV light source according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target. 28. The EUV light source according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target to focus the reflected or scattered laser light on a position. 29. The EUV light source according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target to focus the reflected or scattered laser light on a position where no focal spot on which the EUV light is focused is placed. 30. The EUV light source according to claim 1, wherein pitches of the grooves are varied in accordance with locations on the multilayered reflecting surface. 31. An EUV light source for generating an EUV light for an exposure device, the EUV light source comprising:a chamber;a target supply device configured for supplying a target into the chamber;an optical system for introducing laser light from a driver laser into the chamber and irradiating the target with the laser light to turn the target into plasma from which EUV light is emitted; andan EUV collector mirror in the chamber, the EUV collector mirror comprising a multilayered reflecting surface with grooves and including an aperture through which the laser light from the driver laser propagates toward the target, the EUV collector mirror being configured for collecting the EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe EUV collector mirror is an ellipsoidal mirror. 32. The EUV light source according to claim 31, wherein the EUV collector mirror has a first focus and a second focus, and is configured for focusing on around the second focus the EUV light from the plasma to be generated around the first focus. 33. The EUV light source according to claim 31, wherein the multilayered reflecting surface is configured for focusing the EUV light on the focal spot by Bragg reflection. 34. The EUV light source according to claim 32, wherein the grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser, the diffracted light being focused on a position where no focal spot on which the EUV light is focused is placed. 35. An EUV light source for generating an EUV light for an exposure device, the EUV light source being configured for irradiating a target with laser light from a driver laser to turn the target into plasma from which the EUV light is emitted, the EUV light source comprising:a chamber;a target supply device configured for supplying the target into the chamber; andan EUV collector mirror in the chamber, the EUV collector mirror comprising a multilayered reflecting surface with grooves and including an aperture through which the laser light from the driver laser propagates toward the target, the EUV collector mirror being configured for collecting the EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe EUV collector mirror is an ellipsoidal mirror. 36. The EUV light source according to claim 35, wherein the EUV collector mirror has a first focus and a second focus, and is configured for focusing on around the second focus the EUV light from the plasma to be generated around the first focus. 37. The EUV light source according to claim 35, wherein the multilayered reflecting surface is configured for focusing the EUV light on the focal spot by Bragg reflection. 38. The EUV light source according to claim 36, wherein the grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser, the diffracted light being focused on a position where no focal spot on which the EUV light is focused is placed. 39. An EUV light source for generating an EUV light for an exposure device, the EUV light source being configured for irradiating a target with laser light from a driver laser to turn the target into plasma from which the EUV light is emitted, the EUV light source comprising:a chamber;a target supply device configured for supplying the target into the chamber; andan EUV collector mirror in the chamber, the EUV collector mirror comprising a multilayered reflecting surface with grooves and including an aperture through which the laser light from the driver laser propagates toward the target, the EUV collector mirror being configured for collecting the EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser, andpitches of the grooves are varied in accordance with locations on the multilayered reflecting surface.
052981967
claims
1. An apparatus for holding and laterally enclosing an object during transporting to and from a furnace and heating in said furnace, said object occupying a smaller volume after being heated than before being heated, said apparatus comprising: a crucible having an internal volume at least equal to the volume of said object after being heated; and a sleeve removably engaging said crucible, said crucible and said sleeve, when engaged, having an internal volume at least equal to the volume of said object before heating so that said sleeve and said crucible hold and laterally enclose said object before heating but said sleeve can be removed from engagement with said crucible after said object has been heated, said object then being held and completely laterally enclosed only by said crucible. a furnace having sides and a top, said top and sides defining an interior, and an opening formed in said furnace opposite said top; means for holding and enclosing said object when said object is moved to and from said surface and is being heated within said furnace, said holding and closing means entering said furnace through said opening, wherein said holding and enclosing means has means formed in said furnace and in communication with said interior of said furnace for drawing gases and vapors from said interior. a containment defining an interior space, said containment including at least a portion of said furnace within said space, said portion including said opening; means carried by said containment for controlling the atmosphere proximate to said furnace; and means carried by said containment for recovering gases that escape from said opening of said furnace. lowering a sleeve onto a crucible to form a sleeve/crucible assembly, said sleeve/crucible dimensioned to enclose laterally said object within said sleeve/crucible after said object is placed in said sleeve/crucible; placing said object in said sleeve/crucible, said crucible dimensioned to hold and laterally enclose said object after said object has been heated; purging the air in said interior of said furnace after said furnace is sealed; and filling said interior of said furnace with a non-reactive gas. 2. The apparatus as recited in claim 1, wherein said crucible has a bottom and sides and said sleeve has a skirt depending therefrom, said skirt fitting within the sides of said crucible. 3. The apparatus as recited in claim 1, wherein said crucible has a flange and said sleeve has a flange, said flange of said crucible engaging said flange of said sleeve. 4. An apparatus for use in heating an object, said apparatus comprising: 5. The apparatus as recited in claim 4, further comprising a trap positioned in said drawing means, said trap containing means for condensing at least a portion of said vapors drawn from said interior of said furnace. 6. The apparatus as recited in claim 4, further comprising means in spaced relation to said opening of said furnace for lifting said holding and enclosing means into said furnace and for closing said opening of said furnace. 7. The apparatus as recited in claim 4, further comprising means for recovering gases that escape from said opening of said furnace. 8. The apparatus as recited in claim 4, further comprising means for controlling the atmosphere proximate to said furnace. 9. The apparatus as recited in claim 4, further comprising: 10. The apparatus as recited in claim 9, further comprising a trap positioned in said drawing means, said trap containing means for condensing at least a portion of said vapors drawn from said interior of said furnace. 11. The apparatus as recited in claim 9, further comprising means in spaced relation to said opening of said furnace and in said containment for lifting said holding and enclosing means into said interior and for closing said opening of said furnace. 12. A method for processing an object for disposal, said object generating recoverable gases when heated and occupying a smaller volume after being heated than before being heated, said method comprising the steps of: 13. The method as recited in claim 12, wherein said furnace has sides and a top, said sides and top cooperating to define an interior of said furnace, said furnace having an opening opposing said top, said object being placed into said furnace by lifting said object into said furnace through said opening. 14. The method as recited in claim 12, further comprising the step of disposing said covered crucible. 15. The method as recited in claim 12, further comprising the step of condensing at least a portion of said extracted vapors on metal shavings. 16. The method as recited in claim 12, wherein at least a portion of said furnace is in a containment having an airlock and said method further comprises the step of moving said object in to said containment through said airlock. 17. The method as recited in claim 16, wherein said method further comprises the step of stripping any gases that escape from said furnace from the atmosphere in said containment. 18. The method as recited in claim 16, wherein said method further comprises the steps of:
abstract
The invention relates to an underwater electricity generation module provided with a base. The electricity generation module is of the type that comprises an elongate cylindrical casing (12) incorporating an electricity generation unit (25a, 25b) comprising a nuclear boiler (28, 29) associated with electricity generation means (30, 31) connected to an external electricity distribution post (7) by means of electric cables (6). The lower portion of the casing (12) is provided with a base (14) that rests on the bed of the water body and anchoring means (15) for anchoring the module (1) to the bed. The invention is characterised in that the base (14) is essentially in the form of a sledge which extends from one end of the casing (12) to the other and the ends (50, 51) of which are curved in the form of a spatula at each end of the casing (12), said base including zones for absorbing variations in the length of the casing (12), linked to the variation in the pressure applied thereto when submerged or surfaced.
description
This application is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016, which is: a continuation-in-part of U.S. patent application Ser. No. 15/152,479 filed May 11, 2016, which: is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/087,096 filed Apr. 14, 2011, which claims benefit of U.S. provisional patent application No. 61/324,776 filed Apr. 16, 2010; and is a continuation-in-part of U.S. patent application Ser. No. 13/788,890 filed Mar. 7, 2013; is a continuation-in-part of U.S. patent application Ser. No. 14/952,817 filed Nov. 25, 2015, which is a continuation-in-part of U.S. patent application Ser. No. 14/293,861 filed Jun. 2, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 12/985,039 filed Jan. 5, 2011, which claims the benefit of U.S. provisional patent application No. 61/324,776, filed Apr. 16, 2010; is a continuation-in-part of U.S. patent application Ser. No. 14/860,577 filed Sep. 21, 2015, which is a continuation of U.S. patent application Ser. No. 14/223,289 filed Mar. 24, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 12/985,039 filed Jan. 5, 2011, which claims the benefit of U.S. provisional patent application No. 61/324,776, filed Apr. 16, 2010; and is a continuation-in-part U.S. patent application Ser. No. 15/073,471 filed Mar. 17, 2016, which claims benefit of U.S. provisional patent application No. 62/304,839 filed Mar. 7, 2016, is a continuation-in-part of U.S. patent application Ser. No. 14/860,577 filed Sep. 21, 2015, which is a continuation of U.S. patent application Ser. No. 14/223,289 filed Mar. 24, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/572,542 filed Aug. 10, 2012, which is a continuation-in-part of U.S. patent application Ser. No. 12/425,683 filed Apr. 17, 2009, which claims the benefit of U.S. provisional patent application No. 61/055,395 filed May 22, 2008, now U.S. Pat. No. 7,939,809 B2; all of which are incorporated herein in their entirety by this reference thereto. The invention relates generally to imaging and treating a tumor. Cancer Treatment Proton therapy works by aiming energetic ionizing particles, such as protons accelerated with a particle accelerator, onto a target tumor. These particles damage the DNA of cells, ultimately causing their death. Cancerous cells, because of their high rate of division and their reduced ability to repair damaged DNA, are particularly vulnerable to attack on their DNA. Patents related to the current invention are summarized here. Proton Beam Therapy System F. Cole, et. al. of Loma Linda University Medical Center “Multi-Station Proton Beam Therapy System”, U.S. Pat. No. 4,870,287 (Sep. 26, 1989) describe a proton beam therapy system for selectively generating and transporting proton beams from a single proton source and accelerator to a selected treatment room of a plurality of patient treatment rooms. Imaging P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,274,018 (Sep. 25, 2007) and P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,045,781 (May 16, 2006) describe a charged particle beam apparatus configured for serial and/or parallel imaging of an object. K. Hiramoto, et. al. “Ion Beam Therapy System and its Couch Positioning System”, U.S. Pat. No. 7,193,227 (Mar. 20, 2007) describe an ion beam therapy system having an X-ray imaging system moving in conjunction with a rotating gantry. C. Maurer, et. al. “Apparatus and Method for Registration of Images to Physical Space Using a Weighted Combination of Points and Surfaces”, U.S. Pat. No. 6,560,354 (May 6, 2003) described a process of X-ray computed tomography registered to physical measurements taken on the patient's body, where different body parts are given different weights. Weights are used in an iterative registration process to determine a rigid body transformation process, where the transformation function is used to assist surgical or stereotactic procedures. M. Blair, et. al. “Proton Beam Digital Imaging System”, U.S. Pat. No. 5,825,845 (Oct. 20, 1998) describe a proton beam digital imaging system having an X-ray source that is movable into a treatment beam line that can produce an X-ray beam through a region of the body. By comparison of the relative positions of the center of the beam in the patient orientation image and the isocentre in the master prescription image with respect to selected monuments, the amount and direction of movement of the patient to make the best beam center correspond to the target isocentre is determined. S. Nishihara, et. al. “Therapeutic Apparatus”, U.S. Pat. No. 5,039,867 (Aug. 13, 1991) describe a method and apparatus for positioning a therapeutic beam in which a first distance is determined on the basis of a first image, a second distance is determined on the basis of a second image, and the patient is moved to a therapy beam irradiation position on the basis of the first and second distances. Problem There exists in the art of charged particle cancer therapy a need for near simultaneous imaging using a secondary imaging system of a tumor in a treatment position. The invention comprises a hybrid imaging/charged particle tumor treatment apparatus and method of use thereof. Elements and steps in the figures are illustrated for simplicity and clarity and have not necessarily been rendered according to any particular sequence. For example, steps that are performed concurrently or in different order are illustrated in the figures to help improve understanding of embodiments of the present invention. The invention relates generally to imaging a tumor using one or more imaging systems positionable about the tumor and treating the tumor using positively charged particles. In one embodiment, an imaging system translating on a linear path past a patient operates alternatingly with and/or during a gantry rotating a treatment beam around the patient. More particularly, a method for both imaging a tumor and treating the tumor of a patient using positively charged particles includes the steps of: (1) rotating a gantry support and/or gantry, connected to at least a portion of a beam transport system configured to pass a charged particle treatment beam, circumferentially about the patient and a gantry rotation axis; (2) translating a translatable imaging system past the patient on a path parallel to an axis perpendicular to the gantry rotation axis; (3) imaging the tumor using the translatable imaging system; and (4) treating the tumor using the treatment beam. In another embodiment a method for imaging and treating a tumor of a patient with positively charged particles, comprises the steps of: (1) using a rotatable gantry support to support and rotate a section of a positively charged particle beam transport line about a rotation axis and a tumor of a patient; (2) using a rotatable and optionally extendable secondary support to support, circumferentially position, and laterally position a primary and optional secondary imaging system about the tumor; (3) image the tumor using the primary and optional secondary imaging system as a function of rotation and/or translation of the secondary support; and (4) treat, optionally concurrently, the tumor using the positively charged particles as a function of circumferential position of the section of the charged particle beam about the tumor. In another embodiment a method and apparatus for imaging a tumor of a patient using positively charged particles and X-rays, comprises the steps of: (1) transporting the positively charged particles from an accelerator to a patient position using a beam transport line, where the beam transport line comprises a positively charged particle beam path and an X-ray beam path; (2) detecting scintillation induced by the positively charged particles using a scintillation detector system; (3) detecting X-rays using an X-ray detector system; (4) positioning a mounting rail through linear extension/retraction to: at a first time and at a first extension position of the mounting rail, position the scintillation detector system opposite the patient position from the exit nozzle and at a second time and at a second extension position of the mounting rail, position the X-ray detector system opposite the patient position from the exit nozzle; (5) generating an image of the tumor using output of the scintillation detector system and the X-ray detector system; and (6) alternating between the step of detecting scintillation and treating the tumor via irradiation of the tumor using the positively charged particles. In another embodiment, a method or apparatus for tomographically imaging a sample, such as a tumor of a patient, using positively charged particles is described. Position, energy, and/or vectors of the positively charged particles are determined using a plurality of scintillators, such as layers of chemically distinct scintillators where each chemically distinct scintillator emits photons of differing wavelengths upon energy transfer from the positively charged particles. Knowledge of position of a given scintillator type and a color of the emitted photon from the scintillator type allows a determination of residual energy of the charged particle energy in a scintillator detector. Optionally, a two-dimensional detector array additionally yields x/y-plane information, coupled with the z-axis energy information, about state of the positively charged particles. State of the positively charged particles as a function of relative sample/particle beam rotation is used in tomographic reconstruction of an image of the sample or the tumor. In another example, a method or apparatus for tomographic imaging of a tumor of a patient using positively charged particles respectively positions a plurality of two-dimensional detector arrays on multiple surfaces of a scintillation material or scintillator. For instance, a first two-dimensional detector array is optically coupled to a first side or surface of a scintillation material, a second two-dimensional detector array is optically coupled to a second side of the scintillation material, and a third two-dimensional detector array is optically coupled to a third side of the scintillation material. Secondary photons emitted from the scintillation material, resultant from energy transfer from the positively charged particles, are detected by the plurality of two-dimensional detector arrays, where each detector array images the scintillation material. Combining signals from the plurality of two-dimensional detector arrays, the path, position, energy, and/or state of the positively charged particle beam as a function of time and/or rotation of the patient relative to the positively charged particle beam is determined and used in tomographic reconstruction of an image of the tumor in the patient or a sample. Particularly, a probabilistic pathway of the positively charged particles through the sample, which is altered by sample constituents, is constrained, which yields a higher resolution, a more accurate and/or a more precise image. In another example, a scintillation material is longitudinally packaged in a circumferentially surrounding sheath, where the sheath has a lower index of refraction than the scintillation material. The scintillation material yields emitted secondary photons upon passage of a charged particle beam, such as a positively charged residual particle beam having transmitted through a sample. The internally generated secondary photons within the sheath are guided to a detector element by the difference in index of refraction between the sheath and the scintillation material, similar to a light pipe or fiber optic. The coated scintillation material or fiber is referred to herein as a scintillation optic. Multiple scintillation optics are assembled to form a two-dimensional scintillation array. The scintillation array is optionally and preferably coupled to a detector or two-dimensional detector array, such as via a coupling optic, an array of focusing optics, and/or a color filter array. In another embodiment, an ion source is coupled to the apparatus. The ion source extraction system facilitates on demand extraction of charged particles at relatively low voltage levels and from a stable ion source. For example, a triode extraction system allows extraction of charged particles, such as protons, from a maintained temperature plasma source, which reduces emittance of the extracted particles and allows use of lower, more maintainable downstream potentials to control an ion beam path of the extracted ions. The reduced emittance facilitates ion beam precision in applications, such as in imaging, tumor imaging, tomographic imaging, and/or cancer treatment. In another embodiment, a state of a charged particle beam is monitored and/or checked, such as against a previously established radiation plan, in a position just prior to the beam entering the patient. In one example, the charged particle beam state is measured after a final manipulation of intensity, energy, shape, and/or position, such as via use of an insert, a range filter, a collimator, an aperture, and/or a compensator. In one case, one or more beam crossing elements, sheets, coatings, or layers, configured to emit photons upon passage therethrough by the charged particle beam, are positioned between the final manipulation apparatus, such as the insert, and prior to entry into the patient. In another embodiment, a patient specific tray insert is inserted into a tray frame to form a beam control tray assembly, the beam control tray assembly is inserted into a slot of a tray receiver assembly, and the tray assembly is positioned relative to a gantry nozzle. Optionally, multiple tray inserts, each used to control a beam state parameter, are inserted into slots of the tray receiver assembly. The beam control tray assembling includes an identifier, such as an electromechanical identifier, of the particular insert type, which is communicated to a main controller, such as via the tray receiver assembly. Optionally and preferably, a hand control pendant is used in loading and/or positioning the tray receiver assembly. In another embodiment, a gantry positions both: (1) a section of a beam transport system, such as a terminal section, used to transport and direct positively charged particles to a tumor and (2) at least one imaging system. In one case, the imaging system is orientated on a same axis as the positively charged particle, such as at a different time through rotation of the gantry. In another case, the imaging system uses at least two crossing beamlines, each beamline coupled to a respective detector, to yield multiple views of the patient. In another case, one or more imaging subsystem yields a two-dimensional image of the patient, such as for position confirmation and/or as part of a set of images used to develop a three-dimensional image of the patient. In still another embodiment, multiple linked control stations are used to control position of elements of a beam transport system, nozzle, and/or patient specific beam shaping element relative to a dynamically controlled patient position and/or an imaging surface, element, or system. In yet another embodiment, a tomography system is optionally used in combination with a charged particle cancer therapy system. The tomography system uses tomography or tomographic imaging, which refers to imaging by sections or sectioning through the use of a penetrating wave, such as a positively charge particle from an injector and/or accelerator. Optionally and preferably, a common injector, accelerator, and beam transport system is used for both charged particle based tomographic imaging and charged particle cancer therapy. In one case, an output nozzle of the beam transport system is positioned with a gantry system while the gantry system and/or a patient support maintains a scintillation plate of the tomography system on the opposite side of the patient from the output nozzle. In another example, a charged particle state determination system, of a cancer therapy system or tomographic imaging system, uses one or more coated layers in conjunction with a scintillation material, scintillation detector and/or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment, such as to determine an input vector of the charged particle beam into a patient and/or an output vector of the charged particle beam from the patient. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system. The cancer therapy system uses the same injector, accelerator, and guided delivery system in delivering charged particles to the cancerous tumor. For example, the tomography apparatus and cancer therapy system use a common raster beam method and apparatus for treatment of solid cancers. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle accelerator used in: (1) tomography and (2) cancer therapy. Optionally, the system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered radiation beam energy, delivered radiation beam intensity, beam velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue. In another embodiment, a treatment delivery control system (TDCS) or main controller is used to control multiple aspects of the cancer therapy system, including one or more of: an imaging system, such as a CT or PET; a positioner, such as a couch or patient interface module; an injector or injection system; a radio-frequency quadrupole system; a ring accelerator or synchrotron; an extraction system; an irradiation plan; and a display system. The TDCS is preferably a control system for automated cancer therapy once the patient is positioned. The TDCS integrates output of one or more of the below described cancer therapy system elements with inputs of one or more of the below described cancer therapy system elements. More generally, the TDCS controls or manages input and/or output of imaging, an irradiation plan, and charged particle delivery. In yet another embodiment, one or more trays are inserted into the positively charged particle beam path, such as at or near the exit port of a gantry nozzle in close proximity to the patient. Each tray holds an insert, such as a patient specific insert for controlling the energy, focus depth, and/or shape of the charged particle beam. Examples of inserts include a range shifter, a compensator, an aperture, a ridge filter, and a blank. Optionally and preferably, each tray communicates a held and positioned insert to a main controller of the charged particle cancer therapy system. The trays optionally hold one or more of the imaging sheets configured to emit light upon transmission of the charged particle beam through a corresponding localized position of the one or more imaging sheets. For clarity of presentation and without loss of generality, throughout this document, treatment systems and imaging systems are described relative to a tumor of a patient. However, more generally any sample is imaged with any of the imaging systems described herein and/or any element of the sample is treated with the positively charged particle beam(s) described herein. Charged Particle Beam Therapy Throughout this document, a charged particle beam therapy system, such as a proton beam, hydrogen ion beam, or carbon ion beam, is described. Herein, the charged particle beam therapy system is described using a proton beam. However, the aspects taught and described in terms of a proton beam are not intended to be limiting to that of a proton beam and are illustrative of a charged particle beam system, a positively charged beam system, and/or a multiply charged particle beam system, such as C4+ or C6+. Any of the techniques described herein are equally applicable to any charged particle beam system. Referring now to FIG. 1A, a charged particle beam system 100 is illustrated. The charged particle beam preferably comprises a number of subsystems including any of: a main controller 110; an injection system 120; a synchrotron 130 that typically includes: (1) an accelerator system 131 and (2) an internal or connected extraction system 134; a beam transport system 135; a scanning/targeting/delivery system 140; a patient interface module 150; a display system 160; and/or an imaging system 170. An exemplary method of use of the charged particle beam system 100 is provided. The main controller 110 controls one or more of the subsystems to accurately and precisely deliver protons to a tumor of a patient. For example, the main controller 110 obtains an image, such as a portion of a body and/or of a tumor, from the imaging system 170. The main controller 110 also obtains position and/or timing information from the patient interface module 150. The main controller 110 optionally controls the injection system 120 to inject a proton into a synchrotron 130. The synchrotron typically contains at least an accelerator system 131 and an extraction system 134. The main controller 110 preferably controls the proton beam within the accelerator system, such as by controlling speed, trajectory, and timing of the proton beam. The main controller then controls extraction of a proton beam from the accelerator through the extraction system 134. For example, the controller controls timing, energy, and/or intensity of the extracted beam. The controller 110 also preferably controls targeting of the proton beam through the scanning/targeting/delivery system 140 to the patient interface module 150. One or more components of the patient interface module 150, such as translational and rotational position of the patient, are preferably controlled by the main controller 110. Further, display elements of the display system 160 are preferably controlled via the main controller 110. Displays, such as display screens, are typically provided to one or more operators and/or to one or more patients. In one embodiment, the main controller 110 times the delivery of the proton beam from all systems, such that protons are delivered in an optimal therapeutic manner to the tumor of the patient. Herein, the main controller 110 refers to a single system controlling the charged particle beam system 100, to a single controller controlling a plurality of subsystems controlling the charged particle beam system 100, or to a plurality of individual controllers controlling one or more sub-systems of the charged particle beam system 100. In a first example, a positron emission tomography system is used to monitor, as a function of time, a precise and accurate location of the treatment beam 269 relative to the tumor 720. Signal from the positron emission tomography system is optionally: (1) recorded to provide a reviewable history of treatment of the tumor 720 with the positively charged particle beam or treatment beam 269 and/or (2) used to dynamically monitor the position of the treatment beam 269 and to function as a feedback control signal to dynamically adjust position of the treatment beam 269 as a function of time while scanning through treatment voxels of the tumor 720. Referring now to FIG. 1B, an example of a charged particle cancer therapy system 100 is provided. A main controller receives input from one, two, three, or four of a respiration monitoring and/or controlling controller 180, a beam controller 185, a rotation controller 147, and/or a timing to a time period in a respiration cycle controller 148. The beam controller 185 preferably includes one or more or a beam energy controller 182, the beam intensity controller 340, a beam velocity controller 186, and/or a horizontal/vertical beam positioning controller 188. The main controller 110 controls any element of the injection system 120; the synchrotron 130; the scanning/targeting/delivery system 140; the patient interface module 150; the display system 160; and/or the imaging system 170. For example, the respiration monitoring/controlling controller 180 controls any element or method associated with the respiration of the patient; the beam controller 185 controls any of the elements controlling acceleration and/or extraction of the charged particle beam; the rotation controller 147 controls any element associated with rotation of the patient 830 or gantry; and the timing to a period in respiration cycle controller 148 controls any aspects affecting delivery time of the charged particle beam to the patient. As a further example, the beam controller 185 optionally controls any magnetic and/or electric field about any magnet in the charged particle cancer therapy system 100. One or more beam state sensors 190 sense position, direction, intensity, and/or energy of the charged particles at one or more positions in the charged particle beam path. A tomography system 700, described infra, is optionally used to monitor intensity and/or position of the charged particle beam. Referring now to FIG. 1C, an illustrative exemplary embodiment of one version of the charged particle beam system 100 is provided. The number, position, and described type of components is illustrative and non-limiting in nature. In the illustrated embodiment, the injection system 120 or ion source or charged particle beam source generates protons. The injection system 120 optionally includes one or more of: a negative ion beam source, an ion beam focusing lens, and a tandem accelerator. The protons are delivered into a vacuum tube that runs into, through, and out of the synchrotron. The generated protons are delivered along an initial path 262. Optionally, focusing magnets 127, such as quadrupole magnets or injection quadrupole magnets, are used to focus the proton beam path. A quadrupole magnet is a focusing magnet. An injector bending magnet 128 bends the proton beam toward a plane of the synchrotron 130. The focused protons having an initial energy are introduced into an injector magnet 129, which is preferably an injection Lamberson magnet. Typically, the initial beam path 262 is along an axis off of, such as above, a circulating plane of the synchrotron 130. The injector bending magnet 128 and injector magnet 129 combine to move the protons into the synchrotron 130. Main bending magnets, dipole magnets, turning magnets, or circulating magnets 132 are used to turn the protons along a circulating beam path 264. A dipole magnet is a bending magnet. The main bending magnets 132 bend the initial beam path 262 into a circulating beam path 264. In this example, the main bending magnets 132 or circulating magnets are represented as four sets of four magnets to maintain the circulating beam path 264 into a stable circulating beam path. However, any number of magnets or sets of magnets are optionally used to move the protons around a single orbit in the circulation process. The protons pass through an accelerator 133. The accelerator accelerates the protons in the circulating beam path 264. As the protons are accelerated, the fields applied by the magnets are increased. Particularly, the speed of the protons achieved by the accelerator 133 are synchronized with magnetic fields of the main bending magnets 132 or circulating magnets to maintain stable circulation of the protons about a central point or region 136 of the synchrotron. At separate points in time the accelerator 133/main bending magnet 132 combination is used to accelerate and/or decelerate the circulating protons while maintaining the protons in the circulating path or orbit. An extraction element of an inflector/deflector system is used in combination with a Lamberson extraction magnet 137 to remove protons from their circulating beam path 264 within the synchrotron 130. One example of a deflector component is a Lamberson magnet. Typically the deflector moves the protons from the circulating plane to an axis off of the circulating plane, such as above the circulating plane. Extracted protons are preferably directed and/or focused using an extraction bending magnet 142 and optional extraction focusing magnets 141, such as quadrupole magnets, and optional bending magnets along a positively charged particle beam transport path 268 in a beam transport system 135, such as a beam path or proton beam path, into the scanning/targeting/delivery system 140. Two components of a scanning system 140 or targeting system typically include a first axis control 143, such as a vertical control, and a second axis control 144, such as a horizontal control. In one embodiment, the first axis control 143 allows for about 100 mm of vertical or y-axis scanning of the proton beam 268 and the second axis control 144 allows for about 700 mm of horizontal or x-axis scanning of the proton beam 268. A nozzle system 146 is used for imaging the proton beam, for defining shape of the proton beam, and/or as a vacuum barrier between the low pressure beam path of the synchrotron and the atmosphere. Protons are delivered with control to the patient interface module 150 and to a tumor of a patient. All of the above listed elements are optional and may be used in various permutations and combinations. Ion Extraction from Ion Source A method and apparatus are described for extraction of ions from an ion source. For clarity of presentation and without loss of generality, examples focus on extraction of protons from the ion source. However, more generally cations of any charge are optionally extracted from a corresponding ion source with the techniques described herein. For instance, C4+ or C6+ are optionally extracted using the ion extraction methods and apparatus described herein. Further, by reversing polarity of the system, anions are optionally extracted from an anion source, where the anion is of any charge. Herein, for clarity of presentation and without loss of generality, ion extraction is coupled with tumor treatment and/or tumor imaging. However, the ion extraction is optional used in any method or apparatus using a stream or time discrete bunches of ions. Diode Extraction Referring now to FIG. 2A and FIG. 2B, a first ion extraction system is illustrated. The first ion extraction system uses a diode extraction system 200, where a first element of the diode extraction system is an ion source 122 or first electrode at a first potential and a second element 202 of the diode extraction system is at a second potential. Generally, the first potential is raised or lowered relative to the second potential to extract ions from the ion source 122 along the z-axis or the second potential is raised or lowered relative to the first potential to extract ions from the ion source 122 along the z-axis, where polarity of the potential difference determines if anions or cations are extracted from the ion source 122. Still referring to FIG. 2A and FIG. 2B, an example of ion extraction from the ion source 122 is described. As illustrated in FIG. 2A, in a non-extraction time period, a non-extraction diode potential, A1, of the ion source 122 is held at a potential equal to a potential, B1, of the second element 202. Referring now to FIG. 2B, during an extraction time period, a diode extraction potential, A2, of the ion source 122 is raised, causing a positively charged cation, such as the proton, to be drawn out of the ion chamber toward the lower potential of the second element 202. Similarly, if the diode extraction potential, A2, of the ion source is lowered relative a potential, B1, then an anion is extracted from the ion source 122 toward a higher potential of the second element 202. In the diode extraction system 200, the voltage of a large mass and corresponding large capacitance of the ion source 122 is raised or lowered, which takes time, has an RC time constant, and results in a range of temperatures of the plasma during the extraction time period, which is typically pulsed on and off with time. Particularly, as the potential of the ion source 122 is cycled with time, the ion source 122 temperature cycles, which results in a range of emittance values, resultant from conservation of momentum, and a corresponding less precise extraction beam. Alternatively, potential of the second element 202 is varied, altered, pulsed, or cycled, which reduces a range of emittance values during the extraction process. Triode Extraction Referring now to FIG. 2C and FIG. 2D, a second ion extraction system is illustrated. The second ion extraction system uses a triode extraction system 210. The triode extraction system 210 uses: (1) an ion source 122, (2) a gating electrode 204 also referred to as a suppression electrode, and (3) an extraction electrode 206. Optionally, a first electrode of the triode extraction system 210 is positioned proximate the ion source 122 and is maintained at a potential as described, infra, using the ion source as the first electrode of the triode extraction system. Generally, potential of the gating electrode 204 is raised and lowered to, as illustrated, stop and start extraction of a positive ion. Varying the potential of the gating electrode 204 has the advantages of altering the potential of a small mass with a correspondingly small capacitance and small RC time constant, which via conservation of momentum, reduces emittance of the extracted ions. Optionally, a first electrode maintained at the first potential of the ion source is used as the first element of the triode extraction system in place of the ion source 122 while also optionally further accelerating and/or focusing the extracted ions or set of ions using the extraction electrode 206. Several example further describe the triode extraction system 210. In a second example, an imaging system images the tumor 720 as a function of imaging system paths, which is movement of at least a portion of the imaging system beam along a first path relative to the tumor 720, while the charged particle beam system 100 treats a series of voxels of the tumor 720 along a set of treatment beam paths. In various cases: (1) the imaging system paths and treatment beam paths are essentially parallel paths, such as the two paths forming an angle with the tumor of less than 10, 5, 2, or 1 degrees; (2) the imaging system paths and treatment beam paths are essentially perpendicular to one another, such as forming an angle with the tumor 720 of greater than 70, 80, 85, 88, or 89 degrees and less than 91, 92, 95, 100, or 110 degrees; (3) as the treatment beam 269 and gantry nozzle 610, of the particle beam system 100, rotates around the tumor 720 with rotation of the gantry 960 at a first rotational rate, the imaging system path rotates around the tumor 720 at a second rotational rate; and (4) as the treatment beam 269 and gantry nozzle 610, of the particle beam system 100, relatively rotates around the tumor 720, the imaging system paths translate along a vector, such as while the tumor 720 is treated along a set of rotated lines joined at the tumor, the imaging system paths form a set of essentially parallel lines, such as a set of vectors along a plane and/or a set of vectors passing through a first or prior side of the tumor. Referring now to FIGS. 19(A-C), a hybrid cancer treatment-imaging system 1800 is illustrated. Generally, the gantry 960, which optionally and preferably supports the gantry nozzle 610, rotates around the tumor 720, as illustrated in FIG. 19B, and/or an isocentre 263, as illustrated in FIG. 19A, of the charged particle beam. As illustrated, the gantry 960 rotates about a gantry rotation axis 1811, such as using a rotatable gantry support 1810. In one case, the gantry 960 is supported on a first end 962 by a first buttress, wall, or support, not illustrated, and on a second end 964 by a second buttress, wall, or support, not illustrated. A first optional rotation track 1813 and a second optional rotation track coupling the rotatable gantry support and the gantry 960 are illustrated, where the rotation tracks are any mechanical connection. Further, as illustrated, for clarity of presentation, only a portion of the gantry 960 is illustrated to provide visualization of the supported beam transport system 135 or a section of the beamline between the synchrotron 130 and the patient 730. To further clarify, the gantry 960 is illustrated, at one moment in time, supporting the gantry nozzle 610 of the beam transport system 135 in an orientation resulting in a vertical vector of the treatment beam 269. As the rotatable gantry support 1810 rotates, the gantry 960, the beam transport line 135, the gantry nozzle 610 and the treatment beam 269 rotate about the gantry rotation axis 1811, illustrated as the x-axis, forming a set of treatment beam vectors originating at circumferential positions about tumor 720 or isocentre 263 and passing through the tumor 720. Optionally, an X-ray beam path 1801, from an X-ray source, runs through and moves with the dynamic gantry nozzle 610 parallel to the treatment beam 269. Prior to, concurrently with, intermittently with, and/or after the tumor 720 is treated with the set of treatment beam vectors, one or more elements of the imaging system 170 image the tumor 720 of the patient 730. Still referring to FIG. 19A, the hybrid cancer treatment-imaging system 1800 is illustrated with an optional set of rails 1820 and an optional rotatable imaging system support 1812 that rotates the set of rails 1820, where the set of rails 1820 optionally includes n rails where n is a positive integer. Elements of the set of rails 1820 support elements of the imaging system 170, the patient 730, and/or a patient positioning system. The rotatable imaging system support 1812 is optionally concentric with the rotatable gantry support 1810. The rotatable gantry support 1810 and the rotatable imaging system support 1812 optionally: co-rotate, rotate at the same rotation rate, rotate at different rates, or rotate independently. A reference point 1815 is used to illustrate the case of the rotatable gantry support 1810 remaining in a fixed position, such as a treatment position at a third time, t3, and a fourth time, t4, while the rotatable imaging system support 1812 rotates the set of rails 1820. Still referring to FIG. 19A, any rail of the set of rails optionally rotates circumferentially around the x-axis, as further described infra. For instance, the first rail 1822 is optionally rotated as a function of time with the gantry 960, such as on an opposite side of the gantry nozzle 610 from the tumor 720 of the patient 730. Still referring to FIG. 19A, a first rail 1822 of the set of rails 1820 is illustrated in a first retracted position at a first time, t1, and at a second extended position at a second time, t2. The first rail 1822 is illustrated with a set of n detector types 1830, such as a first detector 1832 or first detector array at a first extension position of the first rail 1822 and a second detector 1834 or second detector array at a second extension position of the first rail 1822, where n is a positive integer, such as 1, 2, 3, 4, 5, or more. The first detector 1832 and the second detector 1834 are optionally and preferably two detector array types, such as an X-ray detector and a scintillation detector. In use, the scintillation detector is positioned, at the second extended position of the first rail 1822, opposite the tumor 730 from the gantry nozzle 610 when detecting scintillation, resultant from passage of the residual charged particle beam 267 into the scintillation material 710, such as for generating tomograms, tomographic images, and/or a three-dimensional tomographic reconstruction of the tumor 720. In use, the first rail 1822 is positioned at a third extended position, not illustrated, which places the second detector or X-ray detector opposite the tumor 720 from the gantry nozzle 610, such as for generating an X-ray image of the tumor 720. Optionally, the first rail 1822 is attached to the rotatable gantry support 1810 and rotates with the first gantry support 1810. The first rail 1822 is optionally retracted, such as illustrated at the first time, t1, such as for some patient positions about the isocentre 263. Still referring to FIG. 19A and referring again to FIG. 19B and FIG. 19C, a second rail 1824 and a third rail 1826 of the set of rails 1820 are illustrated at a retracted position at a first time, t1, and an extended position at a second time, t2. Generally, the second rail 1824 and the third rail 1826 are positioned on opposite sides of the patient 730, such as a sinister side and a dexter side of the patient 730. Generally, the second rail 1824, also referred to as a source side rail, positions an imaging source system element and the third rail 1826, also referred to as a detector side rail, positions an imaging detector system element on opposite sides of the patient 730. Optionally and preferably, the second rail 1824 and the third rail 1826 extend away from the first buttress 962 and retract toward the first buttress 962 together, which keeps a source element mounted, directly or indirectly, on the second rail 1824 opposite the patient 730 from a detector element mounted, directly or indirectly, on the third rail 1826. Optionally, the second rail 1824 and the third rail 1826 translate, such as linearly, on opposite sides of an axis perpendicular to the gantry rotation axis 1811, as further described infra. Optionally, the second rail 1824 and the third rail 1826 position PET detectors for monitoring emissions from the tumor 720 and/or the patient 730, as further described infra. Still referring to FIG. 19B, a rotational imaging system 1840 is described. For example, the second rail 1824 is illustrated with: (1) a first source system element 1841 of a first imaging system, or first imaging system type, at a first extension position of the second rail 1824, which is optically coupled with a first detector system element 1851 of the first imaging system on the third rail 1826 and (2) a second source system element 1843 of a second imaging system, or second imaging system type, at a second extension position of the second rail 1824, which is optically coupled with a second detector system element 1853 of the second imaging system on the third rail 1826, which allows the first imaging system to image the patient 730 in a treatment position and, after translation of the first rail 1824 and the second rail 1826, the second imaging system to image the patient in the patient's treatment position. Optionally the first imaging system or primary imaging system and the second imaging system or secondary imaging system are supplemented with a tertiary imaging system, which uses any imaging technology. Optionally, first signals from the first imaging system are fused with second signals from the second imaging system to: (1) form a hybrid image; (2) correct an image; and/or (3) form a first image using the first signals and modified using the second signals or vise-versa. Still referring to FIG. 19B, the second rail 1824 and third rail 1826 are optionally alternately translated inward and outward relative to the patient, such as away from the first buttress and toward the first buttress. In a first case, the second rail 1824 and the third rail 1826 extend outward on either side of the patient, as illustrated in FIG. 19B. Further, in the first case the patient 730 is optionally maintained in a treatment position, such as in a constrained laying position that is not changed between imagining and treatment with the treatment beam 269. In a second case, the patient 730 is translated toward the first buttress 962 to a position between the second rail 1824 and the third rail 1826, as illustrated in FIG. 19B. In the second case, the patient is optionally imaged out of the treatment beam path 269, as illustrated in FIG. 19B. Further, in the second case the patient 730 is optionally maintained in a treatment position, such as in a constrained laying position that is not changed until after the patient is translated back into a treatment position and treated. In a third case, the second rail 1824 and the third rail 1826 are translated away from the first buttress 962 and the patient 730 is translated toward the first buttress 962 to yield movement of the patient 730 relative to one or more elements of the first imaging system type or second imaging system type. Optionally, images using at least one imaging system type, such as the first imaging system type, are collected as a function of the described relative movement of the patient 730, such as along the x-axis and/or as a function of rotation of the first imaging system type and the second imaging system type around the x-axis, where the first imaging type and second imaging system type use differing types of sources, use differing types of detectors, are generally thought of as distinct by those skilled in the art, and/or have differing units of measure. Optionally, the source is an emissions from the body, such as a radioactive emission, decay, and/or gamma ray emission, and the second rail 1824 and the third rail 1826 position and/or translate one or more emission detectors, such as a first positron emission detector on a first side of the tumor 720 and a second positron emission detector on an opposite side of the tumor 730. Still referring to FIG. 19B, a hybrid cancer treatment-rotational imaging system 1804 is illustrated. In one example of the hybrid cancer treatment-rotational imaging system 1804, the second rail 1824 and third rail 1826 are optionally circumferentially rotated around the patient 730, such as after relative translation of the second rail 1824 and third rail 1826 to opposite sides of the patient 730. As illustrated, the second rail 1824 and third rail 1826 are affixed to the rotatable imaging system support 1812, which optionally rotates independently of the rotatable gantry support 1810. As illustrated, the first source system element 1841 of the first imaging system, such as a two-dimensional X-ray imaging system, affixed to the second rail 1824 and the first detector system element 1851 collect a series of preferably digital images, preferably two-dimensional images, as a function of co-rotation of the second rail 1824 and the third rail 1826 around the tumor 720 of the patient, which is positioned along the gantry rotation axis 1811 and/or about the isocentre 263 of the charged particle beam line in a treatment room. As a function of rotation of the rotatable imaging system support 1812 about the gantry rotation axis 1811 and/or a rotation axis of the rotatable imaging system support 1812, two-dimensional images are generated, which are combined to form a three-dimensional image, such as in tomographic imaging. Optionally, collection of the two-dimensional images for subsequent tomographic reconstruction are collected: (1) with the patient in a constrained treatment position, (2) while the charged particle beam system 100 is treating the tumor 720 of the patient 730 with the treatment beam 269, (3) during positive charged particle beam tomographic imaging, and/or (4) along an imaging set of angles rotationally offset from a set of treatment angles during rotation of the gantry 960 and/or rotation of the patient 730, such as on a patient positioning element of a patient positioning system. Referring now to FIG. 19C, a hybrid tumor treatment-vertical imaging system 1806 is illustrated, such as with a translatable imaging system 1860 is described. In one example of the hybrid tumor treatment vertical imaging system 1806, the second rail 1824 and the third rail 1826 are used to acquire a set of images with linear translation of the second rail 1824 and the third rail 1826 past the tumor 720 of the patient 730, such as with movement along an axis as a function of time, such as, as illustrated, along a vertical axis at the fifth time, t5, and a sixth time, t6. As illustrated, the second rail 1824 and the third rail co-translate along a rail support 1864, where the rail support 1864 is optionally positioned inside the rotatable gantry support 1810 and/or the rotatable imaging system support 1812. Optionally and preferably, source elements and detector elements moving past the tumor 720 of the patient 730 on the second rail 1824 and third rail 1826, respectively, are used to collect a scanning set of images, such as PET images, of the tumor as a function of translation along the rail support 1864. In the hybrid tumor treatment-vertical imaging system 1806, the second rail 1824 and elements supported thereon and the third rail and elements supported thereon optionally extend and/or retract, as described supra. Further, in the hybrid tumor treatment-vertical imaging system 1806, the second rail 1824 and elements supported thereon and the third rail and elements supported thereon optionally rotate about the isocentre, such as with rotation of the rotatable gantry support 1810 and/or the rotatable imaging system support 1812. Optionally, any member of the set of rails 1820 extends/retracts, rotates, and/or translates past the tumor 720 of the patient 730 at the same time. Optionally, the vertical imaging system 1806 moves a PET system detector system element, such as a detector or coupling device, to a position corresponding to a depth of penetration of the treatment beam 269 into the tumor 720 of the patient 730. For clarity of presentation and without loss of generality, an example is provided where the treatment beam 269 is vertical and passes through the gantry nozzle 610 directly above the tumor 720. The treatment beam 269 is of a known energy at a known time, where the known energy is intentionally varied to yield a corresponding varied depth of penetration of the treatment beam 269 into the tumor, such as described by the peak of the Bragg peak. A detector system element of the positron emission tomography system, supported on the vertical imaging system 1806, it optionally translated vertically to observe the depth of penetration of the treatment beam 269. For instance, as the treatment beam energy is decreased, the depth of penetration of the treatment beam 269 into the tumor 720 of the patient 730 decreases and the detector system element of the positron emission tomography system is raised vertically. Similarly, as the treatment beam energy is increased, the depth of penetration of the treatment beam 269 into the tumor 720 of the patient 730 increases and the detector system element of the positron emission tomography system is lowered vertically. Optionally, as the gantry 960 rotates, the vertical imaging system rotates. Still referring to FIG. 19C, a reference point 1816 is used to illustrate the case of the rotatable gantry support 1810 rotating between a fifth time, t5, and a sixth time, t6, while the translatable imaging system 1860 moves the second rail 1824 and the third rail 1826 along a linear axis, illustrated as the z-axis or treatment beam axis. Optionally, one or more of the imaging systems described herein monitor treatment of the tumor 720 and/or are used as feedback to control the treatment of the tumor by the treatment beam 269. Multiple Beam Energies Optionally, the sample, patient, and/or tumor is imaged using two or more energies of the treatment beam 269. In analysis, resulting images or responses using a first beam energy and a second beam energy, of the two or more energies, are used in an analysis that removes at least one background signal or error from one or more voxels and/or pixels of the obtained images, such as by: taking a ratio of the two signals, calculating a difference between the two signals, by normalizing the images, and/or by comparing the images. By comparing images, tomograms, values, and/or signals obtained with at least two incident beam energies of the treatment beam 269, background interference is reduced and/or removed. In the case of imaging a tumor, the process of comparing signals with differing incident beam energies reduces and/or removes interference related to skin, collagen, elastic, protein, albumin, globulin, water, urea, glucose, hemoglobin, lactic acid, cholesterol, fat, blood, interstitial fluid, extracellular fluid, intracellular fluid, a sample constituent, temperature, and/or movement of the sample so that the intended element for imaging, such as the tumor, is enhanced in terms of at least one of resolution, accuracy, precision, identification, and spatial boundary. Still yet another embodiment includes any combination and/or permutation of any of the elements described herein. The main controller, a localized communication apparatus, and/or a system for communication of information optionally comprises one or more subsystems stored on a client. The client is a computing platform configured to act as a client device or other computing device, such as a computer, personal computer, a digital media device, and/or a personal digital assistant. The client comprises a processor that is optionally coupled to one or more internal or external input device, such as a mouse, a keyboard, a display device, a voice recognition system, a motion recognition system, or the like. The processor is also communicatively coupled to an output device, such as a display screen or data link to display or send data and/or processed information, respectively. In one embodiment, the communication apparatus is the processor. In another embodiment, the communication apparatus is a set of instructions stored in memory that is carried out by the processor. The client includes a computer-readable storage medium, such as memory. The memory includes, but is not limited to, an electronic, optical, magnetic, or another storage or transmission data storage medium capable of coupling to a processor, such as a processor in communication with a touch-sensitive input device linked to computer-readable instructions. Other examples of suitable media include, for example, a flash drive, a CD-ROM, read only memory (ROM), random access memory (RAM), an application-specific integrated circuit (ASIC), a DVD, magnetic disk, an optical disk, and/or a memory chip. The processor executes a set of computer-executable program code instructions stored in the memory. The instructions may comprise code from any computer-programming language, including, for example, C originally of Bell Laboratories, C++, C#, Visual Basic® (Microsoft, Redmond, Wash.), Matlab® (MathWorks, Natick, Mass.), Java® (Oracle Corporation, Redwood City, Calif.), and JavaScript® (Oracle Corporation, Redwood City, Calif.). Herein, any number, such as 1, 2, 3, 4, 5, is optionally more than the number, less than the number, or within 1, 2, 5, 10, 20, or 50 percent of the number. The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the present invention in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system. In the foregoing description, the invention has been described with reference to specific exemplary embodiments; however, it will be appreciated that various modifications and changes may be made without departing from the scope of the present invention as set forth herein. The description and figures are to be regarded in an illustrative manner, rather than a restrictive one and all such modifications are intended to be included within the scope of the present invention. Accordingly, the scope of the invention should be determined by the generic embodiments described herein and their legal equivalents rather than by merely the specific examples described above. For example, the steps recited in any method or process embodiment may be executed in any order and are not limited to the explicit order presented in the specific examples. Additionally, the components and/or elements recited in any apparatus embodiment may be assembled or otherwise operationally configured in a variety of permutations to produce substantially the same result as the present invention and are accordingly not limited to the specific configuration recited in the specific examples. Benefits, other advantages and solutions to problems have been described above with regard to particular embodiments; however, any benefit, advantage, solution to problems or any element that may cause any particular benefit, advantage or solution to occur or to become more pronounced are not to be construed as critical, required or essential features or components. As used herein, the terms “comprises”, “comprising”, or any variation thereof, are intended to reference a non-exclusive inclusion, such that a process, method, article, composition or apparatus that comprises a list of elements does not include only those elements recited, but may also include other elements not expressly listed or inherent to such process, method, article, composition or apparatus. Other combinations and/or modifications of the above-described structures, arrangements, applications, proportions, elements, materials or components used in the practice of the present invention, in addition to those not specifically recited, may be varied or otherwise particularly adapted to specific environments, manufacturing specifications, design parameters or other operating requirements without departing from the general principles of the same. Although the invention has been described herein with reference to certain preferred embodiments, one skilled in the art will readily appreciate that other applications may be substituted for those set forth herein without departing from the spirit and scope of the present invention. Accordingly, the invention should only be limited by the Claims included below. In a third example, referring now to FIG. 16C, as in the first example the scintillation material 710 described above is illustrated as an array of scintillation sections 1610, where individual longitudinal paths of the scintillation sections 1610 along the z-axis are separated by the cladding with the second lower index of refraction compared indices of refraction of a set of scintillation materials. However, in this example, the longitudinal paths of a given scintillation section comprises n sections of scintillation materials, where n is a positive integer of 2, 3, 4, 5, or more. As illustrated, longitudinal sections comprise the second scintillation material 712 between the first scintillation material 711 and the third scintillation material 713. Further, as illustrated at a first time, t1, the residual charged energy beam 267 strikes the first scintillation material generating a blue photon, B, detected at a third detector element 1417, where the blue photon is maintained in a resolved x/y-range by the surrounding cladding 1422. Similarly, at a second time, t2, and third time, t3, respectively, residual charged energy beams generate a green photon, G, and a red photon, R, respectively, which are detected with a fourth detector element 1418 and a fifth detector element 1419, respectively. Again, the surrounding cladding 1422 limits x/y-plane translation of the green photon and the red photon. As: (1) the color of the photon, B, G, R, is indicative of the z-axis energy of the residual charged particle beam 267 in the longitudinally segmented sections of the elements of the fiber optic array 1410 and (2) the x/y-plane position of the residual charged particle beam 267 is restricted by the cladding 1422 between the axially separated scintillation sections 1610 of the scintillation optic array, x, y, and z information or spatial position and energy information about the residual charged particle beam 267 is obtained as a function of time, which is used in a back calculation of the path of: (1) the treatment beam 269 or imaging beam and (2) presence and structure of constituents of the patient 730, such as the tumor 720, blood, bone, muscle, connective tissue, collagen, elastin, and/or fat. In another example, one or more imaging optic, such as a light directing optic and/or a focusing optic, used to image the scintillation material comprises the scintillation material 710. Enhanced Multi-Directional Scintillation Detection Photons emitted from the scintillation material, resultant from energy transfer from a passing residual charged particle beam 267, emit in many directions. Hence, detection and/or imaging of the photons in many planes or directions provides an opportunity for enhanced signal-to-noise, resolution, accuracy, and/or precision of determination of state of the residual charged particle beam 267 and from that enhanced resolution, accuracy, and precision of the imaged sample, such as the tumor 720 of the patient 730. Referring now to FIG. 17A, herein the scintillation material 710, in the form of a block or as segmented sections has a prior surface 714 or front surface, a posterior surface 715 or back surface, a dexter surface 716 or viewer's left surface, a sinister surface 717 or viewer's right surface, a top surface 718, and a bottom surface 719. Generally, the detector array 1410 and/or any of the accessories thereof, such as the micro-optics array 1412, color filter array 1414, axially separated sections, and/or longitudinally separated sections, is optionally used on any surface of the scintillation material 710. Further, referring now to FIG. 17B, the detector array 1410 is optionally a set of detector arrays 1700, such as n detector arrays where n is a positive integer. In FIG. 17B, the set of detector arrays 1700 includes: (1) a second detector array 1702 optically coupled to the posterior surface 715 of the scintillation material 710; (2) a fourth detector array 1704 optically coupled to the sinister surface 716 of the scintillation material 710; and (3) a fifth detector array 1705 optically coupled to the top surface 718 of the scintillation material 710. The use of multiple detector arrays, each configured to image the scintillation material 710, enhances accuracy and precision of knowledge of path of the residual charged particle beam 267 through enhanced accuracy, precision, and resolution of points of origin of the resultant emitted photons and as discussed above the resulting accuracy, precision, and resolution of the imaged object. As illustrated, use of three detector arrays set at orthogonal angles allows imaging of the scintillation material in three dimensions, which aids in determination of the path of the residual charged particle beam 267. Optionally, each of the set of detector arrays 1700 is set at any orientation in the x-, y-, z-axes space. Referring now to FIG. 17B, FIG. 17C, and FIG. 17D, the set of detector arrays 1700 is illustrated with six detector arrays: (1) a first detector array 1701 optically coupled to the prior surface 714 of the scintillation material 710; (2) a second detector array 1702 optically coupled to the posterior surface 715 of the scintillation material 710; (3) a third detector array 1703 optically coupled to the dexter surface 716 of the scintillation material 710; (4) a fourth detector array 1704 optically coupled to the sinister surface 717 of the scintillation material 710; (5) a fifth detector array 1705 optically coupled to the top surface 718 of the scintillation material 710; and (6) a sixth detector array 1706 optically coupled to the bottom surface 719 of the scintillation material 710. Use of a detector array on each surface of the scintillation material 710 allows detection of secondary photons, resultant from the residual charged particle beam 267, with a corresponding increase and/or maximum percentage of detection of the emitted photons. The larger number of detected photons, with the multiple detector arrays, yields a larger number of data points to more accurately and precisely determine state of the residual charged particle beam with a corresponding enhancement of the tomographic image, as described supra. Still referring to FIG. 17C, optionally, the prior surface 714 of the scintillation material 710 comprises an aperture 1710 through which the residual charged particle beam 267 passes. Optionally, no aperture is used on the prior surface 714 of the scintillation material 710 and the densities and pathlengths of the first detector array 1701 are used in a calculation of an energy of the residual charged particle beam 267. Imaging Generally, medical imaging is performed using an imaging apparatus to generate a visual and/or a symbolic representation of an interior constituent of the body for diagnosis, treatment, and/or as a record of state of the body. Typically, one or more imaging systems are used to image the tumor and/or the patient. For example, the X-ray imaging system and/or the positively charged particle imaging system, described supra, are optionally used individually, together, and/or with any additional imaging system, such as use of X-ray radiography, magnetic resonance imaging, medical ultrasonography, thermography, medical photography, positron emission tomography (PET) system, single-photon emission computed tomography (SPECT), and/or another nuclear/charged particle imaging technique. Referring now to FIG. 18, the imaging system 170 is further described. As described supra, the imaging system 170 optionally uses: a positive ion beam tumor irradiation system 171; two or more imaging systems 172, where the individual imaging systems generate data for a composite image of the sample; a concurrent treatment imaging system 173, where imaging occurs during treatment of the tumor 720 with the positively charged particle or in-between treatment of voxels of the tumor 720; an intermittent or periodic imaging system 174, where one or more update images, confirmation images, and/or adjustment images are collected to update a previous image, alter a treatment plan, and/or stop a current treatment of the tumor 720 with the treatment beam 269; a tomography beam imaging system 175 comprising generating tomograms from any radiology technology; a dynamic feedback system 176, such as use of a positron emission tomography signal to dynamically control state and/or movement of a positive ion tumor treatment beam; a relative rotational motion system 177 between the patient and an imaging beam; and/or a relative linear motion system 178 between the patient and a radiography imaging beam. To clarify the imaging system and without loss of generality several examples are provided. Still referring to FIG. 2C and FIG. 2D, a fifth example of using the triode extraction system 210 with varying types of ion sources is provided. The triode extraction system 210 is optionally used with an electron cyclotron resonance (ECR) ion source, a dual plasmatron ion source, an indirectly heated cathode ion source, a Freeman type ion source, or a Bernas type ion source. Generally, components of the tomography system, described supra, are combined in any combination and/or permutation. For instance, still referring to FIG. 15, a sixth example is provided using: (1) the first scintillation material 711 with the first pathlength, b1; (2) the second scintillation material 712 with the second pathlength, b2; (3) the third scintillation material 713 with the third pathlength, b3; (4) the color filter array 1414; (5) the micro-optics array 1412; and (6) the detector array 1410, all in two-dimensional configurations as part of an imaging system imaging the scintillation materials and secondary photons emitted therefrom, resultant from passage, transit, energy transfer from, interaction with, or termination of the residual charged particles in the residual charged particle beam 267. Calculation of position and direction of the residual charged particle beam 267, with or without use of an imaging sheet, allows a more accurate determination of an exit point of the treatment beam 269 or start of the residual energy beam 269 from the patient 730 and a corresponding path of the charged particle beam from the prior side of the patient 730, through the patient 730, and to the posterior exit point of the patient 730. Scintillation Array Referring now to FIG. 16A, the scintillation material 710 is optionally configured as an array of scintillation materials and/or as an array of scintillation sections 1610 in a multiplexed scintillation detector 1600, where elements of the array of scintillation sections 1610 are optionally physically separated. For clarity of presentation and without loss of generality examples follow that described and/or illustrate the array of scintillation sections 1610 as an element of the tomography system. Still referring to FIG. 2C and FIG. 2D, optionally and preferably geometries of the gating electrode 204 and/or the extraction electrode 206 are used to focus the extracted ions along the initial ion beam path 262. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is optionally and preferably coupled with a downbeam or downstream radio-frequency quadrupole, used to focus the beam, and/or a synchrotron, used to accelerate the beam. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is maintained through the synchrotron 130 and to the tumor of the patient resulting in a more accurate, precise, smaller, and/or tighter treatment voxel of the charged particle beam or charged particle pulse striking the tumor. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system reduces total beam spread through the synchrotron 130 and the tumor to one or more imaging elements, such as an optical imaging sheet or scintillation material emitting photons upon passage of the charged particle beam or striking of the charged particle beam, respectively. The lower emittance of the charged particle beam, optionally and preferably maintained through the accelerator system 134 and beam transport system yields a tighter, more accurate, more precise, and/or smaller particle beam or particle burst diameter at the imaging surfaces and/or imaging elements, which facilitates more accurate and precise tumor imaging, such as for subsequent tumor treatment or to adjust, while the patient waits in a treatment position, the charged particle treatment beam position. Any feature or features of any of the above provided examples are optionally and preferably combined with any feature described in other examples provided, supra, or herein. Ion Extraction from Accelerator Referring now to FIG. 3, both: (1) an exemplary proton beam extraction system 300 from the synchrotron 130 and (2) a charged particle beam intensity control system 305 are illustrated. For clarity, FIG. 3 removes elements represented in FIG. 1C, such as the turning magnets, which allows for greater clarity of presentation of the proton beam path as a function of time. Generally, protons are extracted from the synchrotron 130 by slowing the protons. As described, supra, the protons were initially accelerated in a circulating path, which is maintained with a plurality of main bending magnets 132. The circulating path is referred to herein as an original central beamline 264. The protons repeatedly cycle around a central point in the synchrotron 136. The proton path traverses through a radio frequency (RF) cavity system 310. To initiate extraction, an RF field is applied across a first blade 312 and a second blade 314, in the RF cavity system 310. The first blade 312 and second blade 314 are referred to herein as a first pair of blades. In the proton extraction process, an RF voltage is applied across the first pair of blades, where the first blade 312 of the first pair of blades is on one side of the circulating proton beam path 264 and the second blade 314 of the first pair of blades is on an opposite side of the circulating proton beam path 264. The applied RF field applies energy to the circulating charged-particle beam. The applied RF field alters the orbiting or circulating beam path slightly of the protons from the original central beamline 264 to an altered circulating beam path 265. Upon a second pass of the protons through the RF cavity system, the RF field further moves the protons off of the original proton beamline 264. For example, if the original beamline is considered as a circular path, then the altered beamline is slightly elliptical. The frequency of the applied RF field is timed to apply outward or inward movement to a given band of protons circulating in the synchrotron accelerator. Orbits of the protons are slightly more off axis compared to the original circulating beam path 264. Successive passes of the protons through the RF cavity system are forced further and further from the original central beamline 264 by altering the direction and/or intensity of the RF field with each successive pass of the proton beam through the RF field. Timing of application of the RF field and/or frequency of the RF field is related to the circulating charged particles circulation pathlength in the synchrotron 130 and the velocity of the charged particles so that the applied RF field has a period, with a peak-to-peak time period, equal to a period of time of beam circulation in the synchrotron 130 about the center 136 or an integer multiple of the time period of beam circulation about the center 136 of the synchrotron 130. Alternatively, the time period of beam circulation about the center 136 of the synchrotron 130 is an integer multiple of the RF period time. The RF period is optionally used to calculated the velocity of the charged particles, which relates directly to the energy of the circulating charged particles. The RF voltage is frequency modulated at a frequency about equal to the period of one proton cycling around the synchrotron for one revolution or at a frequency than is an integral multiplier of the period of one proton cycling about the synchrotron. The applied RF frequency modulated voltage excites a betatron oscillation. For example, the oscillation is a sine wave motion of the protons. The process of timing the RF field to a given proton beam within the RF cavity system is repeated thousands of times with each successive pass of the protons being moved approximately one micrometer further off of the original central beamline 264. For clarity, the approximately 1000 changing beam paths with each successive path of a given band of protons through the RF field are illustrated as the altered beam path 265. The RF time period is process is known, thus energy of the charged particles at time of hitting the extraction material or material 330, described infra, is known. With a sufficient sine wave betatron amplitude, the altered circulating beam path 265 touches and/or traverses a material 330, such as a foil or a sheet of foil. The foil is preferably a lightweight material, such as beryllium, a lithium hydride, a carbon sheet, or a material having low nuclear charge components. Herein, a material of low nuclear charge is a material composed of atoms consisting essentially of atoms having six or fewer protons. The foil is preferably about 10 to 150 microns thick, is more preferably about 30 to 100 microns thick, and is still more preferably about 40 to 60 microns thick. In one example, the foil is beryllium with a thickness of about 50 microns. When the protons traverse through the foil, energy of the protons is lost and the speed of the protons is reduced. Typically, a current is also generated, described infra. Protons moving at the slower speed travel in the synchrotron with a reduced radius of curvature 266 compared to either the original central beamline 264 or the altered circulating path 265. The reduced radius of curvature 266 path is also referred to herein as a path having a smaller diameter of trajectory or a path having protons with reduced energy. The reduced radius of curvature 266 is typically about two millimeters less than a radius of curvature of the last pass of the protons along the altered proton beam path 265. The thickness of the material 330 is optionally adjusted to create a change in the radius of curvature, such as about ½, 1, 2, 3, or 4 mm less than the last pass of the protons 265 or original radius of curvature 264. The reduction in velocity of the charged particles transmitting through the material 330 is calculable, such as by using the pathlength of the betatron oscillating charged particle beam through the material 330 and/or using the density of the material 330. Protons moving with the smaller radius of curvature travel between a second pair of blades. In one case, the second pair of blades is physically distinct and/or is separated from the first pair of blades. In a second case, one of the first pair of blades is also a member of the second pair of blades. For example, the second pair of blades is the second blade 314 and a third blade 316 in the RF cavity system 310. A high voltage DC signal, such as about 1 to 5 kV, is then applied across the second pair of blades, which directs the protons out of the synchrotron through an extraction magnet 137, such as a Lamberson extraction magnet, into a transport path 268. Control of acceleration of the charged particle beam path in the synchrotron with the accelerator and/or applied fields of the turning magnets in combination with the above described extraction system allows for control of the intensity of the extracted proton beam, where intensity is a proton flux per unit time or the number of protons extracted as a function of time. For example, when a current is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In another embodiment, instead of moving the charged particles to the material 330, the material 330 is mechanically moved to the circulating charged particles. Particularly, the material 330 is mechanically or electromechanically translated into the path of the circulating charged particles to induce the extraction process, described supra. In this case, the velocity or energy of the circulating charged particle beam is calculable using the pathlength of the beam path about the center 136 of the synchrotron 130 and from the force applied by the bending magnets 132. In either case, because the extraction system does not depend on any change in magnetic field properties, it allows the synchrotron to continue to operate in acceleration or deceleration mode during the extraction process. Stated differently, the extraction process does not interfere with synchrotron acceleration. In stark contrast, traditional extraction systems introduce a new magnetic field, such as via a hexapole, during the extraction process. More particularly, traditional synchrotrons have a magnet, such as a hexapole magnet, that is off during an acceleration stage. During the extraction phase, the hexapole magnetic field is introduced to the circulating path of the synchrotron. The introduction of the magnetic field necessitates two distinct modes, an acceleration mode and an extraction mode, which are mutually exclusive in time. The herein described system allows for acceleration and/or deceleration of the proton during the extraction step and tumor treatment without the use of a newly introduced magnetic field, such as by a hexapole magnet. Charged Particle Beam Intensity Control Control of applied field, such as a radio-frequency (RF) field, frequency and magnitude in the RF cavity system 310 allows for intensity control of the extracted proton beam, where intensity is extracted proton flux per unit time or the number of protons extracted as a function of time. Still referring FIG. 3, the intensity control system 305 is further described. In this example, an intensity control feedback loop is added to the extraction system, described supra. When protons in the proton beam hit the material 330 electrons are given off from the material 330 resulting in a current. The resulting current is converted to a voltage and is used as part of an ion beam intensity monitoring system or as part of an ion beam feedback loop for controlling beam intensity. The voltage is optionally measured and sent to the main controller 110 or to an intensity controller subsystem 340, which is preferably in communication or under the direction of the main controller 110. More particularly, when protons in the charged particle beam path pass through the material 330, some of the protons lose a small fraction of their energy, such as about one-tenth of a percent, which results in a secondary electron. That is, protons in the charged particle beam push some electrons when passing through material 330 giving the electrons enough energy to cause secondary emission. The resulting electron flow results in a current or signal that is proportional to the number of protons going through the target or extraction material 330. The resulting current is preferably converted to voltage and amplified. The resulting signal is referred to as a measured intensity signal. The amplified signal or measured intensity signal resulting from the protons passing through the material 330 is optionally used in monitoring the intensity of the extracted protons and is preferably used in controlling the intensity of the extracted protons. For example, the measured intensity signal is compared to a goal signal, which is predetermined in an irradiation of the tumor plan. The difference between the measured intensity signal and the planned for goal signal is calculated. The difference is used as a control to the RF generator. Hence, the measured flow of current resulting from the protons passing through the material 330 is used as a control in the RF generator to increase or decrease the number of protons undergoing betatron oscillation and striking the material 330. Hence, the voltage determined off of the material 330 is used as a measure of the orbital path and is used as a feedback control to control the RF cavity system. In one example, the intensity controller subsystem 340 preferably additionally receives input from: (1) a detector 350, which provides a reading of the actual intensity of the proton beam and/or (2) an irradiation plan 360. The irradiation plan provides the desired intensity of the proton beam for each x, y, energy, and/or rotational position of the patient/tumor as a function of time. Thus, the intensity controller 340 receives the desired intensity from the irradiation plan 350, the actual intensity from the detector 350 and/or a measure of intensity from the material 330, and adjusts the amplitude and/or the duration of application of the applied radio-frequency field in the RF cavity system 310 to yield an intensity of the proton beam that matches the desired intensity from the irradiation plan 360. As described, supra, the protons striking the material 330 is a step in the extraction of the protons from the synchrotron 130. Hence, the measured intensity signal is used to change the number of protons per unit time being extracted, which is referred to as intensity of the proton beam. The intensity of the proton beam is thus under algorithm control. Further, the intensity of the proton beam is controlled separately from the velocity of the protons in the synchrotron 130. Hence, intensity of the protons extracted and the energy of the protons extracted are independently variable. Still further, the intensity of the extracted protons is controllably variable while scanning the charged particles beam in the tumor from one voxel to an adjacent voxel as a separate hexapole and separated time period from acceleration and/or treatment is not required, as described supra. For example, protons initially move at an equilibrium trajectory in the synchrotron 130. An RF field is used to excite or move the protons into a betatron oscillation. In one case, the frequency of the protons orbit is about 10 MHz. In one example, in about one millisecond or after about 10,000 orbits, the first protons hit an outer edge of the target material 130. The specific frequency is dependent upon the period of the orbit. Upon hitting the material 130, the protons push electrons through the foil to produce a current. The current is converted to voltage and amplified to yield a measured intensity signal. The measured intensity signal is used as a feedback input to control the applied RF magnitude or RF field. An energy beam sensor, described infra, is optionally used as a feedback control to the RF field frequency or RF field of the RF field extraction system 310 to dynamically control, modify, and/or alter the delivered charge particle beam energy, such as in a continuous pencil beam scanning system operating to treat tumor voxels without alternating between an extraction phase and a treatment phase. Preferably, the measured intensity signal is compared to a target signal and a measure of the difference between the measured intensity signal and target signal is used to adjust the applied RF field in the RF cavity system 310 in the extraction system to control the intensity of the protons in the extraction step. Stated again, the signal resulting from the protons striking and/or passing through the material 130 is used as an input in RF field modulation. An increase in the magnitude of the RF modulation results in protons hitting the foil or material 130 sooner. By increasing the RF, more protons are pushed into the foil, which results in an increased intensity, or more protons per unit time, of protons extracted from the synchrotron 130. In another example, a detector 350 external to the synchrotron 130 is used to determine the flux of protons extracted from the synchrotron and a signal from the external detector is used to alter the RF field, RF intensity, RF amplitude, and/or RF modulation in the RF cavity system 310. Here the external detector generates an external signal, which is used in a manner similar to the measured intensity signal, described in the preceding paragraphs. Preferably, an algorithm or irradiation plan 360 is used as an input to the intensity controller 340, which controls the RF field modulation by directing the RF signal in the betatron oscillation generation in the RF cavity system 310. The irradiation plan 360 preferably includes the desired intensity of the charged particle beam as a function of time and/or energy of the charged particle beam as a function of time, for each patient rotation position, and/or for each x-, y-position of the charged particle beam. In yet another example, when a current from material 330 resulting from protons passing through or hitting material is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In still yet another embodiment, intensity modulation of the extracted proton beam is controlled by the main controller 110. The main controller 110 optionally and/or additionally controls timing of extraction of the charged particle beam and energy of the extracted proton beam. The benefits of the system include a multi-dimensional scanning system. Particularly, the system allows independence in: (1) energy of the protons extracted and (2) intensity of the protons extracted. That is, energy of the protons extracted is controlled by an energy control system and an intensity control system controls the intensity of the extracted protons. The energy control system and intensity control system are optionally independently controlled. Preferably, the main controller 110 controls the energy control system and the main controller 110 simultaneously controls the intensity control system to yield an extracted proton beam with controlled energy and controlled intensity where the controlled energy and controlled intensity are independently variable and/or continually available as a separate extraction phase and acceleration phase are not required, as described supra. Thus the irradiation spot hitting the tumor is under independent control of: time; energy; intensity; x-axis position, where the x-axis represents horizontal movement of the proton beam relative to the patient, and y-axis position, where the y-axis represents vertical movement of the proton beam relative to the patient.In addition, the patient is optionally independently translated and/or rotated relative to a translational axis of the proton beam at the same time.Beam Transport The beam transport system 135 is used to move the charged particles from the accelerator to the patient, such as via a nozzle in a gantry, described infra. Charged Particle Energy The beam transport system 135 optionally includes means for determining an energy of the charged particles in the charged particle beam. For example, an energy of the charged particle beam is determined via calculation, such as via equation 1, using knowledge of a magnet geometry and applied magnetic field to determine mass and/or energy. Referring now to equation 1, for a known magnet geometry, charge, q, and magnetic field, B, the Larmor radius, ρL, or magnet bend radius is defined as: ρ L = v ⊥ Ω c = 2 ⁢ Em qB ( eq . ⁢ 1 ) where: ν⊥ is the ion velocity perpendicular to the magnetic field, Ωc is the cyclotron frequency, q is the charge of the ion, B is the magnetic field, m is the mass of the charge particle, and E is the charged particle energy. Solving for the charged particle energy yields equation 2. E = ( ρ L ⁢ qB ) 2 2 ⁢ m ( eq . ⁢ 2 ) Thus, an energy of the charged particle in the charged particle beam in the beam transport system 135 is calculable from the know magnet geometry, known or measured magnetic field, charged particle mass, charged particle charge, and the known magnet bend radius, which is proportional to and/or equivalent to the Larmor radius. Nozzle After extraction from the synchrotron 130 and transport of the charged particle beam along the proton beam path 268 in the beam transport system 135, the charged particle beam exits through the nozzle system 146. In one example, the nozzle system includes a nozzle foil covering an end of the nozzle system 146 or a cross-sectional area within the nozzle system forming a vacuum seal. The nozzle system includes a nozzle that expands in x/y-cross-sectional area along the z-axis of the proton beam path 268 to allow the proton beam 268 to be scanned along the x-axis and y-axis by the vertical control element and horizontal control element, respectively. The nozzle foil is preferably mechanically supported by the outer edges of an exit port of the nozzle 146. An example of a nozzle foil is a sheet of about 0.1 inch thick aluminum foil. Generally, the nozzle foil separates atmosphere pressures on the patient side of the nozzle foil from the low pressure region, such as about 10−5 to 10−7 torr region, on the synchrotron 130 side of the nozzle foil. The low pressure region is maintained to reduce scattering of the circulating charged particle beam in the synchrotron. Herein, the exit foil of the nozzle is optionally the first sheet 760 of the charged particle beam state determination system 750, described infra. Charged Particle Control Referring now to FIG. 4A, FIG. 4B, FIG. 5, FIG. 6A, and FIG. 6B, a charged particle beam control system is described where one or more patient specific beam control assemblies are removably inserted into the charged particle beam path proximate the nozzle of the charged particle cancer therapy system 100, where the patient specific beam control assemblies adjust the beam energy, diameter, cross-sectional shape, focal point, and/or beam state of the charged particle beam to properly couple energy of the charged particle beam to the individual's specific tumor. Beam Control Tray Referring now to FIG. 4A and FIG. 4B, a beam control tray assembly 400 is illustrated in a top view and side view, respectively. The beam control tray assembly 400 optionally comprises any of a tray frame 410, a tray aperture 412, a tray handle 420, a tray connector/communicator 430, and means for holding a patient specific tray insert 510, described infra. Generally, the beam control tray assembly 400 is used to: (1) hold the patient specific tray insert 510 in a rigid location relative to the beam control tray 400, (2) electronically identify the held patient specific tray insert 510 to the main controller 110, and (3) removably insert the patient specific tray insert 510 into an accurate and precise fixed location relative to the charged particle beam, such as the proton beam path 268 at the nozzle of the charged particle cancer therapy system 100. For clarity of presentation and without loss of generality, the means for holding the patient specific tray insert 510 in the tray frame 410 of the beam control tray assembly 400 is illustrated as a set of recessed set screws 415. However, the means for holding the patient specific tray insert 510 relative to the rest of the beam control tray assembly 400 is optionally any mechanical and/or electromechanical positioning element, such as a latch, clamp, fastener, clip, slide, strap, or the like. Generally, the means for holding the patient specific tray insert 510 in the beam control tray 400 fixes the tray insert and tray frame relative to one another even when rotated along and/or around multiple axes, such as when attached to a charged particle cancer therapy system 100 dynamic gantry nozzle 610 or gantry nozzle, which is an optional element of the nozzle system 146, that moves in three-dimensional space relative to a fixed point in the beamline, proton beam path 268, and/or a given patient position. As illustrated in FIG. 4A and FIG. 4B, the recessed set screws 415 fix the patient specific tray insert 510 into the aperture 412 of the tray frame 410. The tray frame 410 is illustrated as circumferentially surrounding the patient specific tray insert 510, which aids in structural stability of the beam control tray assembly 400. However, generally the tray frame 410 is of any geometry that forms a stable beam control tray assembly 400. Still referring to FIG. 4A and now referring to FIG. 5 and FIG. 6A, the optional tray handle 420 is used to manually insert/retract the beam control tray assembly 400 into a receiving element of the gantry nozzle or dynamic gantry nozzle 610. While the beam control tray assembly 400 is optionally inserted into the charged particle beam path 268 at any point after extraction from the synchrotron 130, the beam control tray assembly 400 is preferably inserted into the positively charged particle beam proximate the dynamic gantry nozzle 610 as control of the beam shape is preferably done with little space for the beam shape to defocus before striking the tumor. Optionally, insertion and/or retraction of the beam control tray assembly 400 is semi-automated, such as in a manner of a digital-video disk player receiving a digital-video disk, with a selected auto load and/or a selected auto unload feature. Patient Specific Tray Insert Referring again to FIG. 5, a system of assembling trays 500 is described. The beam control tray assembly 400 optionally and preferably has interchangeable patient specific tray inserts 510, such as a range shifter insert 511, a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. As described, supra, any of the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 after insertion into the tray frame 410 are inserted as the beam control tray assembly 400 into the positively charged particle beam path 268, such as proximate the dynamic gantry nozzle 610. Still referring to FIG. 5, the patient specific tray inserts 510 are further described. The patient specific tray inserts comprise a combination of any of: (1) a standardized beam control insert and (2) a patient specific beam control insert. For example, the range shifter insert or 511 or compensator insert 514 used to control the depth of penetration of the charged particle beam into the patient is optionally: (a) a standard thickness of a beam slowing material, such as a first thickness of Lucite, an acrylic, a clear plastic, and/or a thermoplastic material, (b) one member of a set of members of varying thicknesses and/or densities where each member of the set of members slows the charged particles in the beam path by a known amount, or (c) is a material with a density and thickness designed to slow the charged particles by a customized amount for the individual patient being treated, based on the depth of the individual's tumor in the tissue, the thickness of intervening tissue, and/or the density of intervening bone/tissue. Similarly, the ridge filter insert 512 used to change the focal point or shape of the beam as a function of depth is optionally: (1) selected from a set of ridge filters where different members of the set of ridge filters yield different focal depths or (2) customized for treatment of the individual's tumor based on position of the tumor in the tissue of the individual. Similarly, the aperture insert is: (1) optionally selected from a set of aperture shapes or (2) is a customized individual aperture insert 513 designed for the specific shape of the individual's tumor. The blank insert 515 is an open slot, but serves the purpose of identifying slot occupancy, as described infra. Slot Occupancy/Identification Referring again to FIG. 4A, FIG. 4B, and FIG. 5, occupancy and identification of the particular patient specific tray insert 510 into the beam control tray assembly 400 is described. Generally, the beam control tray assembly 400 optionally contains means for identifying, to the main controller 110 and/or a treatment delivery control system described infra, the specific patient tray insert 510 and its location in the charged particle beam path 268. First, the particular tray insert is optionally labeled and/or communicated to the beam control tray assembly 400 or directly to the main controller 110. Second, the beam control tray assembly 400 optionally communicates the tray type and/or tray insert to the main controller 110. In various embodiments, communication of the particular tray insert to the main controller 110 is performed: (1) directly from the tray insert, (2) from the tray insert 510 to the tray assembly 400 and subsequently to the main controller 110, and/or (3) directly from the tray assembly 400. Generally, communication is performed wirelessly and/or via an established electromechanical link. Identification is optionally performed using a radio-frequency identification label, use of a barcode, or the like, and/or via operator input. Examples are provided to further clarify identification of the patient specific tray insert 510 in a given beam control tray assembly 400 to the main controller. In a first example, one or more of the patient specific tray inserts 510, such as the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 include an identifier 520 and/or and a first electromechanical identifier plug 530. The identifier 520 is optionally a label, a radio-frequency identification tag, a barcode, a 2-dimensional bar-code, a matrix-code, or the like. The first electromechanical identifier plug 530 optionally includes memory programmed with the particular patient specific tray insert information and a connector used to communicate the information to the beam control tray assembly 400 and/or to the main controller 110. As illustrated in FIG. 5, the first electromechanical identifier plug 530 affixed to the patient specific tray insert 510 plugs into a second electromechanical identifier plug, such as the tray connector/communicator 430, of the beam control tray assembly 400, which is described infra. In a second example, the beam control tray assembly 400 uses the second electromechanical identifier plug to send occupancy, position, and/or identification information related to the type of tray insert or the patient specific tray insert 510 associated with the beam control tray assembly to the main controller 110. For example, a first tray assembly is configured with a first tray insert and a second tray assembly is configured with a second tray insert. The first tray assembly sends information to the main controller 110 that the first tray assembly holds the first tray insert, such as a range shifter, and the second tray assembly sends information to the main controller 110 that the second tray assembly holds the second tray insert, such as an aperture. The second electromechanical identifier plug optionally contains programmable memory for the operator to input the specific tray insert type, a selection switch for the operator to select the tray insert type, and/or an electromechanical connection to the main controller. The second electromechanical identifier plug associated with the beam control tray assembly 400 is optionally used without use of the first electromechanical identifier plug 530 associated with the tray insert 510. In a third example, one type of tray connector/communicator 430 is used for each type of patient specific tray insert 510. For example, a first connector/communicator type is used for holding a range shifter insert 511, while a second, third, fourth, and fifth connector/communicator type is used for trays respectively holding a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. In one case, the tray communicates tray type with the main controller. In a second case, the tray communicates patient specific tray insert information with the main controller, such as an aperture identifier custom built for the individual patient being treated. Tray Insertion/Coupling Referring now to FIG. 6A and FIG. 6B a beam control insertion process 600 is described. The beam control insertion process 600 comprises: (1) insertion of the beam control tray assembly 400 and the associated patient specific tray insert 510 into the charged particle beam path 268 and/or dynamic gantry nozzle 610, such as into a tray assembly receiver 620 and (2) an optional partial or total retraction of beam of the tray assembly receiver 620 into the dynamic gantry nozzle 610. Referring now to FIG. 6A, insertion of one or more of the beam control tray assemblies 400 and the associated patient specific tray inserts 510 into the dynamic gantry nozzle 610 is further described. In FIG. 6A, three beam control tray assemblies, of a possible n tray assemblies, are illustrated, a first tray assembly 402, a second tray assembly 404, and a third tray assembly 406, where n is a positive integer of 1, 2, 3, 4, 5 or more. As illustrated, the first tray assembly 402 slides into a first receiving slot 403, the second tray assembly 404 slides into a second receiving slot 405, and the third tray assembly 406 slides into a third receiving slot 407. Generally, any tray optionally inserts into any slot or tray types are limited to particular slots through use of a mechanical, physical, positional, and/or steric constraints, such as a first tray type configured for a first insert type having a first size and a second tray type configured for a second insert type having a second distinct size at least ten percent different from the first size. Still referring to FIG. 6A, identification of individual tray inserts inserted into individual receiving slots is further described. As illustrated, sliding the first tray assembly 402 into the first receiving slot 403 connects the associated electromechanical connector/communicator 430 of the first tray assembly 402 to a first receptor 626. The electromechanical connector/communicator 430 of the first tray assembly communicates tray insert information of the first beam control tray assembly to the main controller 110 via the first receptor 626. Similarly, sliding the second tray assembly 404 into the second receiving slot 405 connects the associated electromechanical connector/communicator 430 of the second tray assembly 404 into a second receptor 627, which links communication of the associated electromechanical connector/communicator 430 with the main controller 110 via the second receptor 627, while a third receptor 628 connects to the electromechanical connected placed into the third slot 407. The non-wireless/direct connection is preferred due to the high radiation levels within the treatment room and the high shielding of the treatment room, which both hinder wireless communication. The connection of the communicator and the receptor is optionally of any configuration and/or orientation. Tray Receiver Assembly Retraction Referring again to FIG. 6A and FIG. 6B, retraction of the tray receiver assembly 620 relative to a nozzle end 612 of the dynamic gantry nozzle 610 is described. The tray receiver assembly 620 comprises a framework to hold one or more of the beam control tray assemblies 400 in one or more slots, such as through use of a first tray receiver assembly side 622 through which the beam control tray assemblies 400 are inserted and/or through use of a second tray receiver assembly side 624 used as a backstop, as illustrated holding the plugin receptors configured to receive associated tray connector/communicators 430, such as the first, second, and third receptors 626, 627, 628. Optionally, the tray receiver assembly 620 retracts partially or completely into the dynamic gantry nozzle 610 using a retraction mechanism 660 configured to alternately retract and extend the tray receiver assembly 620 relative to a nozzle end 612 of the gantry nozzle 610, such as along a first retraction track 662 and a second retraction track 664 using one or more motors and computer control. Optionally the tray receiver assembly 620 is partially or fully retracted when moving the gantry, nozzle, and/or gantry nozzle 610 to avoid physical constraints of movement, such as potential collision with another object in the patient treatment room. For clarity of presentation and without loss of generality, several examples of loading patient specific tray inserts into tray assemblies with subsequent insertion into an positively charged particle beam path proximate a gantry nozzle 610 are provided. In a first example, a single beam control tray assembly 400 is used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific range shifter insert 511, which is custom fabricated for a patient, is loaded into a patient specific tray insert 510 to form a first tray assembly 402, where the first tray assembly 402 is loaded into the third receptor 628, which is fully retracted into the gantry nozzle 610. In a second example, two beam control assemblies 400 are used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific ridge filter 512 is loaded into a first tray assembly 402, which is loaded into the second receptor 627 and a patient specific aperture 513 is loaded into a second tray assembly 404, which is loaded into the first receptor 626 and the two associated tray connector/communicators 430 using the first receptor 626 and second receptor 627 communicate to the main controller 110 the patient specific tray inserts 510. The tray receiver assembly 620 is subsequently retracted one slot so that the patient specific ridge filter 512 and the patient specific aperture reside outside of and at the nozzle end 612 of the gantry nozzle 610. In a third example, three beam control tray assemblies 400 are used, such as a range shifter 511 in a first tray inserted into the first receiving slot 403, a compensator in a second tray inserted into the second receiving slot 405, and an aperture in a third tray inserted into the third receiving slot 407. Generally, any patient specific tray insert 510 is inserted into a tray frame 410 to form a beam control tray assembly 400 inserted into any slot of the tray receiver assembly 620 and the tray assembly is not retracted or retracted any distance into the gantry nozzle 610. Tomography/Beam State In one embodiment, the charged particle tomography apparatus is used to image a tumor in a patient. As current beam position determination/verification is used in both tomography and cancer therapy treatment, for clarity of presentation and without limitation beam state determination is also addressed in this section. However, beam state determination is optionally used separately and without tomography. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system using common elements. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system that are part of the cancer therapy system, described supra. In various examples, the tomography imaging system is optionally simultaneously operational with a charged particle cancer therapy system using common elements, allows tomographic imaging with rotation of the patient, is operational on a patient in an upright, semi-upright, and/or horizontal position, is simultaneously operational with X-ray imaging, and/or allows use of adaptive charged particle cancer therapy. Further, the common tomography and cancer therapy apparatus elements are optionally operational in a multi-axis and/or multi-field raster beam mode. In conventional medical X-ray tomography, a sectional image through a body is made by moving one or both of an X-ray source and the X-ray film in opposite directions during the exposure. By modifying the direction and extent of the movement, operators can select different focal planes, which contain the structures of interest. More modern variations of tomography involve gathering projection data from multiple directions by moving the X-ray source and feeding the data into a tomographic reconstruction software algorithm processed by a computer. Herein, in stark contrast to known methods, the radiation source is a charged particle, such as a proton ion beam or a carbon ion beam. A proton beam is used herein to describe the tomography system, but the description applies to a heavier ion beam, such as a carbon ion beam. Further, in stark contrast to known techniques, herein the radiation source is preferably stationary while the patient is rotated. Referring now to FIG. 7, an example of a tomography apparatus is described and an example of a beam state determination is described. In this example, the tomography system 700 uses elements in common with the charged particle beam system 100, including elements of one or more of the injection system 120, accelerator 130, targeting/delivery system 140, patient interface module 150, display system 160, and/or imaging system 170, such as the X-ray imaging system. The scintillation material is optionally one or more scintillation plates, such as a scintillating plastic, used to measure energy, intensity, and/or position of the charged particle beam. For instance, a scintillation material 710 or scintillation plate is positioned behind the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure intensity and/or position of the charged particle beam after transmitting through the patient. Optionally, a second scintillation plate or a charged particle induced photon emitting sheet, described infra, is positioned prior to the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure incident intensity and/or position of the charged particle beam prior to transmitting through the patient. The charged particle beam system 100 as described has proven operation at up to and including 330 MeV, which is sufficient to send protons through the body and into contact with the scintillation material. Particularly, 250 MeV to 330 MeV are used to pass the beam through a standard sized patient with a standard sized pathlength, such as through the chest. The intensity or count of protons hitting the plate as a function of position is used to create an image. The velocity or energy of the proton hitting the scintillation plate is also used in creation of an image of the tumor 720 and/or an image of the patient 730. The patient 730 is rotated about the y-axis and a new image is collected. Preferably, a new image is collected with about every one degree of rotation of the patient resulting in about 360 images that are combined into a tomogram using tomographic reconstruction software. The tomographic reconstruction software uses overlapping rotationally varied images in the reconstruction. Optionally, a new image is collected at about every 2, 3, 4, 5, 10, 15, 30, or 45 degrees of rotation of the patient. Herein, the scintillation material 710 or scintillator is any material that emits a photon when struck by a positively charged particle or when a positively charged particle transfers energy to the scintillation material sufficient to cause emission of light. Optionally, the scintillation material emits the photon after a delay, such as in fluorescence or phosphorescence. However, preferably, the scintillator has a fast fifty percent quench time, such as less than 0.0001, 0.001, 0.01, 0.1, 1, 10, 100, or 1,000 milliseconds, so that the light emission goes dark, falls off, or terminates quickly. Preferred scintillation materials include sodium iodide, potassium iodide, cesium iodide, an iodide salt, and/or a doped iodide salt. Additional examples of the scintillation materials include, but are not limited to: an organic crystal, a plastic, a glass, an organic liquid, a luminophor, and/or an inorganic material or inorganic crystal, such as barium fluoride, BaF2; calcium fluoride, CaF2, doped calcium fluoride, sodium iodide, NaI; doped sodium iodide, sodium iodide doped with thallium, NaI(Tl); cadmium tungstate, CdWO4; bismuth germanate; cadmium tungstate, CdWO4; calcium tungstate, CaWO4; cesium iodide, CsI; doped cesium iodide; cesium iodide doped with thallium, CsI(Tl); cesium iodide doped with sodium CsI(Na); potassium iodide, KI; doped potassium iodide, gadolinium oxysulfide, Gd2O2S; lanthanum bromide doped with cerium, LaBr3(Ce); lanthanum chloride, LaCl3; cesium doped lanthanum chloride, LaCl3(Ce); lead tungstate, PbWO4; LSO or lutetium oxyorthosilicate (Lu2SiO5); LYSO, Lu1.8Y0.2SiO5(Ce); yttrium aluminum garnet, YAG(Ce); zinc sulfide, ZnS(Ag); and zinc tungstate, ZnWO4. In one embodiment, a tomogram or an individual tomogram section image is collected at about the same time as cancer therapy occurs using the charged particle beam system 100. For example, a tomogram is collected and cancer therapy is subsequently performed: without the patient moving from the positioning systems, such as in a semi-vertical partial immobilization system, a sitting partial immobilization system, or the a laying position. In a second example, an individual tomogram slice is collected using a first cycle of the accelerator 130 and using a following cycle of the accelerator 130, the tumor 720 is irradiated, such as within about 1, 2, 5, 10, 15 or 30 seconds. In a third case, about 2, 3, 4, or 5 tomogram slices are collected using 1, 2, 3, 4, or more rotation positions of the patient 730 within about 5, 10, 15, 30, or 60 seconds of subsequent tumor irradiation therapy. In another embodiment, the independent control of the tomographic imaging process and X-ray collection process allows simultaneous single and/or multi-field collection of X-ray images and tomographic images easing interpretation of multiple images. Indeed, the X-ray and tomographic images are optionally overlaid to from a hybrid X-ray/proton beam tomographic image as the patient 730 is optionally in the same position for each image. In still another embodiment, the tomogram is collected with the patient 730 in the about the same position as when the patient's tumor is treated using subsequent irradiation therapy. For some tumors, the patient being positioned in the same upright or semi-upright position allows the tumor 720 to be separated from surrounding organs or tissue of the patient 730 better than in a laying position. Positioning of the scintillation material 710 behind the patient 730 allows the tomographic imaging to occur while the patient is in the same upright or semi-upright position. The use of common elements in the tomographic imaging and in the charged particle cancer therapy allows benefits of the cancer therapy, described supra, to optionally be used with the tomographic imaging, such as proton beam x-axis control, proton beam y-axis control, control of proton beam energy, control of proton beam intensity, timing control of beam delivery to the patient, rotation control of the patient, and control of patient translation all in a raster beam mode of proton energy delivery. The use of a single proton or cation beamline for both imaging and treatment facilitates eases patient setup, reduces alignment uncertainties, reduces beam state uncertainties, and eases quality assurance. In yet still another embodiment, initially a three-dimensional tomographic proton based reference image is collected, such as with hundreds of individual rotation images of the tumor 720 and patient 730. Subsequently, just prior to proton treatment of the cancer, just a few 2-dimensional control tomographic images of the patient are collected, such as with a stationary patient or at just a few rotation positions, such as an image straight on to the patient, with the patient rotated about 45 degrees each way, and/or the patient rotated about 90 degrees each way about the y-axis. The individual control images are compared with the 3-dimensional reference image. An adaptive proton therapy is subsequently performed where: (1) the proton cancer therapy is not used for a given position based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images and/or (2) the proton cancer therapy is modified in real time based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images. Charged Particle State Determination/Verification/Photonic Monitoring Still referring to FIG. 7, the tomography system 700 is optionally used with a charged particle beam state determination system 750, optionally used as a charged particle verification system. The charged particle state determination system 750 optionally measures, determines, and/or verifies one of more of: (1) position of the charged particle beam, such as the treatment beam 269, (2) direction of the treatment beam 269, (3) intensity of the treatment beam 269, (4) energy of the treatment beam 269, (5) position, direction, intensity, and/or energy of the charged particle beam, such as a residual charged particle beam 267 after passing through a sample or the patient 730, and (6) a history of the charged particle beam. For clarity of presentation and without loss of generality, a description of the charged particle beam state determination system 750 is described and illustrated separately in FIG. 8 and FIG. 9A; however, as described herein elements of the charged particle beam state determination system 750 are optionally and preferably integrated into the nozzle system 146 and/or the tomography system 700 of the charged particle treatment system 100. More particularly, any element of the charged particle beam state determination system 750 is integrated into the nozzle system 146, the dynamic gantry nozzle 610, and/or tomography system 700, such as a surface of the scintillation material 710 or a surface of a scintillation detector, plate, or system. The nozzle system 146 or the dynamic gantry nozzle 610 provides an outlet of the charged particle beam from the vacuum tube initiating at the injection system 120 and passing through the synchrotron 130 and beam transport system 135. Any plate, sheet, fluorophore, or detector of the charged particle beam state determination system is optionally integrated into the nozzle system 146. For example, an exit foil of the nozzle 610 is optionally a first sheet 760 of the charged particle beam state determination system 750 and a first coating 762 is optionally coated onto the exit foil, as illustrated in FIG. 7. Similarly, optionally a surface of the scintillation material 710 is a support surface for a fourth coating 792, as illustrated in FIG. 7. The charged particle beam state determination system 750 is further described, infra. Referring now to FIG. 7, FIG. 8, and FIG. 9A, four sheets, a first sheet 760, a second sheet 770, a third sheet 780, and a fourth sheet 790 are used to illustrated detection sheets and/or photon emitting sheets upon transmittance of a charged particle beam. Each sheet is optionally coated with a photon emitter, such as a fluorophore, such as the first sheet 760 is optionally coated with a first coating 762. Without loss of generality and for clarity of presentation, the four sheets are each illustrated as units, where the light emitting layer is not illustrated. Thus, for example, the second sheet 770 optionally refers to a support sheet, a light emitting sheet, and/or a support sheet coated by a light emitting element. The four sheets are representative of n sheets, where n is a positive integer. Referring now to FIG. 7 and FIG. 8, the charged particle beam state verification system 750 is a system that allows for monitoring of the actual charged particle beam position in real-time without destruction of the charged particle beam. The charged particle beam state verification system 750 preferably includes a first position element or first beam verification layer, which is also referred to herein as a coating, luminescent, fluorescent, phosphorescent, radiance, or viewing layer. The first position element optionally and preferably includes a coating or thin layer substantially in contact with a sheet, such as an inside surface of the nozzle foil, where the inside surface is on the synchrotron side of the nozzle foil. Less preferably, the verification layer or coating layer is substantially in contact with an outer surface of the nozzle foil, where the outer surface is on the patient treatment side of the nozzle foil. Preferably, the nozzle foil provides a substrate surface for coating by the coating layer. Optionally, a binding layer is located between the coating layer and the nozzle foil, substrate, or support sheet. Optionally, the position element is placed anywhere in the charged particle beam path. Optionally, more than one position element on more than one sheet, respectively, is used in the charged particle beam path and is used to determine a state property of the charged particle beam, as described infra. Still referring to FIG. 7 and FIG. 8, the coating, referred to as a fluorophore, yields a measurable spectroscopic response, spatially viewable by a detector or camera, as a result of transmission by the proton beam. The coating is preferably a phosphor, but is optionally any material that is viewable or imaged by a detector where the material changes spectroscopically as a result of the charged particle beam hitting or transmitting through the coating or coating layer. A detector or camera views secondary photons emitted from the coating layer and determines a position of a treatment beam 269, which is also referred to as a current position of the charged particle beam or final treatment vector of the charged particle beam, by the spectroscopic differences resulting from protons and/or charged particle beam passing through the coating layer. For example, the camera views a surface of the coating surface as the proton beam or positively charged cation beam is being scanned by the first axis control 143, vertical control, and the second axis control 144, horizontal control, beam position control elements during treatment of the tumor 720. The camera views the current position of the charged particle beam or treatment beam 269 as measured by spectroscopic response. The coating layer is preferably a phosphor or luminescent material that glows and/or emits photons for a short period of time, such as less than 5 seconds for a 50% intensity, as a result of excitation by the charged particle beam. The detector observes the temperature change and/or observe photons emitted from the charged particle beam traversed spot. Optionally, a plurality of cameras or detectors are used, where each detector views all or a portion of the coating layer. For example, two detectors are used where a first detector views a first half of the coating layer and the second detector views a second half of the coating layer. Preferably, at least a portion of the detector is mounted into the nozzle system to view the proton beam position after passing through the first axis and second axis controllers 143, 144. Preferably, the coating layer is positioned in the proton beam path 268 in a position prior to the protons striking the patient 730. Referring now to FIG. 1 and FIG. 7, the main controller 110, connected to the camera or detector output, optionally and preferably compares the final proton beam position or position of the treatment beam 269 with the planned proton beam position and/or a calibration reference to determine if the actual proton beam position or position of the treatment beam 269 is within tolerance. The charged particle beam state determination system 750 preferably is used in one or more phases, such as a calibration phase, a mapping phase, a beam position verification phase, a treatment phase, and a treatment plan modification phase. The calibration phase is used to correlate, as a function of x-, y-position of the glowing response the actual x-, y-position of the proton beam at the patient interface. During the treatment phase, the charged particle beam position is monitored and compared to the calibration and/or treatment plan to verify accurate proton delivery to the tumor 720 and/or as a charged particle beam shutoff safety indicator. Referring now to FIG. 10, the position verification system 179 and/or the treatment delivery control system 112, upon determination of a tumor shift, an unpredicted tumor distortion upon treatment, and/or a treatment anomaly optionally generates and or provides a recommended treatment change 1070. The treatment change 1070 is optionally sent out while the patient 730 is still in the treatment position, such as to a proximate physician or over the internet to a remote physician, for physician approval 1072, receipt of which allows continuation of the now modified and approved treatment plan.
claims
1. An electron beam system, comprising:an electron gun for generating an electron beam;an electron beam column;a deflector for deflecting the electron beam;an anti-fogging baffle arrangement having a main baffle portion having a center opening allowing the electron beam to pass and positioned to collect scattered electrons from a workpiece surface and an inner baffle portion also having a center opening allowing the electron beam to pass and positioned above the main baffle portion;at least one bias voltage source connected to the inner baffle portion; andan electrical lead connected to the main baffle portion, wherein the main baffle portion and the inner baffle portion are electrically isolated from one another. 2. The electron beam system of claim 1, wherein the main baffle portion is electrically coupled to a support plate. 3. An anti-fogging baffle arrangement, comprising:a main baffle portion electrically isolated and positioned to collect electrons scattered from a workpiece surface and an inner baffle portion having a center opening allowing an electron beam to pass and positioned above the main baffle portion; anda lead for providing a signal indicating an amount of electrons collected by the main baffle portion. 4. The anti-fogging baffle arrangement of claim 3, further comprising an inner baffle portion having a center opening and positioned above the main baffle portion. 5. The anti-fogging baffle arrangement of claim 3, further comprising a negative bias source connected to the inner baffle portion, wherein the negative bias source is configured to negatively bias the inner baffle portion to repel scattered electrons. 6. The anti-fogging baffle arrangement of claim 3, further comprising a positive bias source connected to the main baffle portion, wherein the positive bias source is configured to positively bias the main baffle portion to attract scattered electrons. 7. The anti-fogging baffle arrangement of claim 5, further comprising a positive bias source connected to the main baffle portion. 8. A method for measuring an amount of electrons scattered from a workpiece surface, comprising:generating an electron beam in a beam tube;deflecting the electron beam onto the workpiece surface causing the production of scattered electrons;shielding a surface of the beam tube from scattered electrons with an anti-fogging baffle arrangement;collecting scattered electrons using the anti-fogging baffle arrangement;generating a current signal by electrically isolating a portion of the scattered electrons collected using the anti-fogging baffle arrangement; andgenerating an image of at least some portion of the workpiece surface using the current signal. 9. The method of claim 8, further comprising applying a bias voltage to at least a portion of the anti-fogging baffle arrangement. 10. The method of claim 9, wherein the bias voltage is applied in a manner designed to enhance scattered electron collection and to prevent electrons from traveling through the anti-fogging baffle arrangement and up the beam tube. 11. The method of claim 8, further comprising analyzing the image to detect a position of marks formed on the workpiece surface. 12. The method of claim 8, further comprising analyzing the image to inspect fiduciary marks to ensure that the electron beam is striking the workpiece surface in a desired location. 13. The method of claim 8, further comprising analyzing the image to determine if different portions of the workpiece surface have a same focus in the image. 14. The method of claim 9, wherein applying a bias voltage comprises applying a negative bias between about −5 and about −50 volts. 15. The method of claim 9, wherein applying a bias voltage comprises applying a positive bias between about 5 and about 50 volts. 16. An electron beam system, comprising:an electron gun for generating an electron beam;an electron beam column;a deflector for deflecting the electron beam;an anti-fogging baffle arrangement having a main baffle portion having a center opening allowing the electron beam to pass and positioned to collect scattered electrons from a workpiece surface and an inner baffle portion also having a center opening allowing the electron beam to pass and positioned above the main baffle portion; andat least one bias voltage source connected to the anti-fogging baffle arrangement, wherein the at least one bias voltage source provides a negative bias voltage to the anti-fogging baffle arrangement to prevent the scattered electrons from traveling up the electron beam column. 17. The electron beam system of claim 16, wherein the at least one bias voltage source provides a positive bias voltage to the main baffle portion to attract scattered electrons. 18. An electron beam system, comprising:an electron gun for generating an electron beaman electron beam column;a deflector for deflecting the electron beam;an anti-fogging baffle arrangement having a main baffle portion having a center opening allowing the electron beam to pass and positioned to collect scattered electrons from a workpiece surface and an inner baffle portion also having a center opening allowing the electron beam to pass and positioned above the main baffle portion; andat least one bias voltage source connected to the anti-fogging baffle arrangement, wherein the at least one bias voltage source provides a positive bias voltage to the main baffle portion to attract scattered electrons. 19. The electron beam system of claim 18, wherein the anti-fogging baffle arrangement includes a lead, the at least one bias voltage source is part of a processing unit electrically connected to the lead, wherein the processing unit is configured to generate an image of at least some portion of the workpiece surface using the current signal. 20. The electron beam system of claim 19, wherein the processing unit is configured to utilize image processing algorithms to analyze a generated image to detect a position of marks formed on the workpiece surface.
abstract
An apparatus for cooling a spent fuel pool having a heat exchanger includes a cooling water pool positioned above the spent fuel pool; a floating device configured to be elevated according to a water level of a cooling water in the spent fuel pool; and an emergency cooling water supply pipe configured to form a path through which the cooling water of the cooling water pool is moved to the spent fuel pool and configured to include a floating valve that opens or closes a flow passage of the cooling water in connection with the elevation of the floating device.
description
This application is a Divisional application of, and claims the benefit of, U.S. patent application Ser. No. 13/306,643, filed Nov. 29, 2011, which is hereby incorporated by reference in its entirety. U.S. patent application Ser. No. 13/306,643 is a Continuation-In-Part application of, and claims the benefit of, U.S. patent application Ser. No. 13/078,729, filed Apr. 1, 2011, which is hereby incorporated by reference in its entirety. The present disclosure relates to electromagnetic control of plasmas in fusion power reactor environments. In particular, it relates to liquid lithium (Li) first walls for electromagnetic control of plasmas in fusion power reactor environments. The present disclosure relates to an apparatus, system, and method for liquid lithium first walls for electromagnetic control of plasmas in fusion power reactor environments. In one or more embodiments, a method is disclosed for maintaining liquid lithium on a surface area of internal walls of a reactor chamber. The method involves installing at least one layer of at least one tile on the surface area of the internal walls of the reactor chamber. In one or more embodiments, a portion of the tile(s) facing the interior of the reactor chamber includes a plurality of channels. The method further involves applying an electric charge to the liquid lithium. Also, the method involves flowing the liquid lithium into the tile(s). In addition, the method involves circulating the liquid lithium through an interior network of the tile(s) to allow for the liquid lithium to flow into the channels and to reach the external surface of the tile(s) that faces the interior of the reactor chamber. Further, the method involves outputting the circulated liquid lithium from the tile(s). As illustrated above, in one or more embodiments, the interior network of the tile(s) and the channels of the tile(s) are manufactured from a high-temperature resistant, porous open-cell material. In at least one embodiment, the high-temperature resistant, porous open-cell material is a ceramic foam or a metal foam, and the channels are hydraulically and electrically separated from one another by solid ceramic strips. In other embodiments, the high-temperature resistant, porous open-cell material is a ceramic foam or a metal foam, and the channels are hydraulically and electrically separated from one another by ceramic foam strips treated with a lithium-blocking, electrically insulating coating. In at least one embodiment, as illustrated above, the tile(s) is manufactured from a high-temperature resistant, porous open-cell material. In some embodiments, the high-temperature resistant, porous open-cell material is a ceramic foam, and the channels are hydraulically and electrically separated from one another by strips of the ceramic foam that are treated with a lithium-blocking, nonconductive coating. As illustrated above, in one or more embodiments, the disclosed method further involves installing at least one magnetic coil between the tile(s) and the surface area of the internal walls of the reactor chamber. In at least one embodiment, at least one voltage source is used to provide the electric charge. In one or more embodiments, the reactor chamber is employed in a fusion reactor. In at least one embodiment, at least one tile has an irregular shape. In some embodiments, at least one tile has a regular shape. In at least one embodiment, as illustrated above, at least one tile contains at least one open cell in the interior of the tile(s), and the liquid lithium is circulated throughout the interior of the tile(s) via the open cell(s). In some embodiments, at least one tile has a constant porosity. In some embodiments, at least one tile has a varied porosity. As illustrated above, in one or more embodiments, at least one tile includes an input plenum, and the liquid lithium is inputted into the tile(s) via the input plenum. In at least one embodiment, the input plenum is a hollow piece of metal. In some embodiments, at least one tile includes an output plenum, and the liquid lithium is outputted from the tile(s) via the output plenum. In at least one embodiment, the output plenum is a hollow piece of metal. In one or more embodiments, the flow rate of the circulation of the liquid lithium within the interior network of at least one tile is varied over time. As illustrated above, in one or more embodiments, a system is disclosed for maintaining liquid lithium on a surface area of internal walls of a reactor chamber. The system involves at least one tile, where a portion of the tile(s) facing the interior of the reactor chamber includes a plurality of channels. The system also involves the reactor chamber, where at least one layer of the tile(s) is installed on the surface area of the internal walls of the reactor chamber. In addition, the tile(s) allows for electrically charged liquid lithium to be flowed into the tile(s). Additionally, the tile(s) further allows for the liquid lithium to be circulated throughout an interior network of the tile(s) to allow for the liquid lithium to flow into the channels and to reach an external surface of the tile(s) that faces the interior of the reactor chamber. Also, and the tile(s) further allows for the circulated liquid lithium to be outputted from the tile(s). In at least one embodiment, as illustrated above, a tile is disclosed for maintaining liquid lithium on a surface area of internal walls of a reactor chamber. The tile is manufactured from a high-temperature resistant, porous open-cell material. The tile includes a plurality of channels. The tile also includes at least one open cell in the interior of the tile for circulating electrically charged liquid lithium within the interior of the tile and the channels of the tile. The features, functions, and advantages can be achieved independently in various embodiments of the present inventions or may be combined in yet other embodiments. The methods and apparatus disclosed herein provide an operative system for electromagnetic control of plasmas in fusion power reactor environments. Specifically, this system relates to liquid lithium (Li) first walls for electromagnetic control of plasmas in fusion power reactor environments. In particular, the disclosed system uses a high-temperature, high-porosity open-cell material to maintain liquid lithium in a fusion energy reactor, thereby reducing damage to the internal reactor surfaces that have complex shapes. For example, the Boeing Rigid Insulation (BRI) material, which is a porous open-cell ceramic material with a high temperature tolerance and a good material compatibility, may be employed by the disclosed system. In addition, the disclosed system also provides for high-neutron flux exposure for the lithium for purposes of tritium breeding. BRI material is a porous, ceramic, fiber insulating material that comprises a unique combination of ceramic fibers, which are sintered together to form a low density, highly porous material with very low thermal conductivity. In addition, BRI material exhibits a high tensile strength and an outstanding dimensional stability. In particular, BRI material is manufactured from a combination of silica (SiO2) and alumina (Al2O3) fibers, and boron-containing powders, which help to aid in the fusion and sintering of the silica and alumina fibers. The preponderance of the insulative capability of the BRI material is provided by the silica fiber and the alumina fiber orientation. The BRI material exhibits very low thermal conductivity, particularly in the through-the-thickness direction. Further details discussing the composition of BRI and the method of producing BRI are disclosed in U.S. Pat. No. 6,716,782, which is expressly incorporated herein by reference. There are known difficulties in maintaining plasma control in fusion energy reactors. Among them, plasmas can be unstable at high power densities. Liquid lithium is known to help stabilize plasmas in reactor vessels. The plasma consists mostly of positive ions and negative electrons, and its outer sheath, near the reactor walls, is cooler than its core. In the sheath, the ions have a higher probability of acquiring electrons from the plasma and, thus, becoming neutral atoms than do ions in the core. Neutral atoms cannot be confined by magnetic fields, thus neutral atoms have a high probability of crossing the magnetic field that confines the plasma, and hitting the reactor vessel walls. In this process, the neutral atoms carry some energy from the plasma to the walls, thus causing a slight further cooling of the plasma sheath and a slight heating of the walls. In a fusion plasma, most of these neutral atoms are hydrogen, but other materials can be present, such as helium made by the fusion reactions and heavy elements (contaminants) that can be spalled off the reactor structure by accidental plasma impingement on the structure. If the walls are made of high temperature-tolerant ceramics or metals, the neutral atoms will stick to the walls for a short time, then drift back into the plasma sheath. However, the atoms reentering the plasma sheath from the walls are now quite cold in comparison to the plasma sheath, thus they cause considerable cooling of the plasma in the sheath. Normally, the plasma sheath is cooler than the plasma core, but if the sheath is cooled too much, the differential in temperature between the plasma core and the sheath increases the instability of the plasma. Lithium on the inside wall of the reactor tends to absorb and not release neutral atoms that drift into it. By absorbing and holding the neutral atoms that contact the walls, the lithium prevents the atoms from getting back into the plasma sheath as cold atoms, which helps the sheath to stay warmer and makes the overall plasma more stable. Currently, in experiments, liquid lithium is drizzled down the inside of the side walls of the reactor vessel from channels that lie just above where the side walls are nearly vertical (i.e. the channels lie just above the “equator” or midsection of the torus reactor vessel). Because of gravity, the liquid lithium does not stay in place, but rather runs down the side walls of the vessel from the channels, and is collected by other channels and drains that lie farther down in the vessel that remove the lithium. This particular method is able to coat the side walls of the vessel from the equator of the reactor to most of the way down to its bottom because gravity causes the lithium to flow down from the channels to the bottom of the reactor. But, this method clearly is not able to coat the side walls that are above the equator of the vessel. In the lowest parts of reactors, liquid lithium has also been used in pools and on coarse horizontal screens, neither of which method can be effectively applied to the upper walls. The disclosed system allows for liquid lithium to be maintained on the surface of all the inner walls of the reactor vessel. An additional advantage of the use of lithium on the reactor walls is that it is a low atomic number (low-Z) material. If high atomic number (high-Z) materials, such as iron from steel in reactor walls, enter into the plasma, their atoms can become electronically excited by absorbing kinetic energy from ions in the plasma. Typically, the excited high-Z materials lose their extra energy by radiating it as electromagnetic energy (photons). The plasma is transparent to most wavelengths of electromagnetic energy; thus, most photons emitted by excited high-Z materials escape from the plasma and are absorbed by the reactor walls. The net effect is an overall energy loss from the plasma and is called radiative cooling. The plasma gets colder and the reactor walls get hotter. That is the opposite of what is needed to maintain the fusion power reactions. Low-Z materials, such as lithium, have so few electrons that they have very few ways in which they can radiate energy, therefore, low-Z materials cause relatively little radiative cooling of the plasma. A further advantage to the use of lithium on the insides of fusion reactor walls is that one of the two elements of reactor fuel, tritium, is very rare naturally, but can be made efficiently by exposing lithium to the flux of high energy neutrons produced by the fusion reactor. Thus, if lithium can be placed in regions of the reactor close to the plasma where the neutron flux is most intense, the production of tritium from the lithium can be efficient. Because of liquid lithium's tendency to hold on to atoms of other materials in it, cycling the lithium through the reactor provides an effective way to introduce pure lithium into the reactor, produce tritium in the lithium, and remove the tritium from the reactor by pumping the tritiated lithium back out of the reactor and passing it through a chemical processing system that extracts the tritium from the lithium, thus providing tritium to fuel the reactor and clean lithium ready to be cycled once more through the reactor. The system of the present disclosure utilizes a porous, open-cell material that is capable of retaining liquid lithium in place on reactor vessel walls against gravity and electromagnetic forces. In addition, this material allows for the liquid lithium to be slowly pumped throughout the system in order to absorb contaminants from the plasma. During operation of the disclosed system, clean lithium is first pumped into the system to the inner surfaces of the reactor walls, where the lithium is exposed to the plasma. In that location, the clean lithium absorbs contaminants from the plasma. The contaminated lithium is then removed from the reactor, and is processed to remove the plasma contaminants from the lithium. After the contaminants are removed from the lithium, the cleaned lithium is re-circulated back into the system. Liquid lithium surfaces exposed to the plasma inside experimental tokamaks and other types of fusion energy experimental devices have been shown to help stabilize the plasma and to help the plasma maintain its high internal temperature. However, it should be noted that these reactor vessels typically are constructed to have very complex shapes as well as having many discontinuities and openings for various items, such as for instruments, vacuum pumping ports, and magnetic coils. Currently, no effective methods have been proposed for retaining liquid lithium on the inside of the reactor vessel walls that accommodates all the discontinuities and openings, and which retains the lithium against the effects of gravity and electromagnetic forces. The present disclosure teaches a method which can accommodate discontinuities, and which keeps slowly flowing liquid lithium in place on reactor walls regardless of the orientation of the reactor wall surface, and the effects of gravity and electromagnetic forces. To date, experiments with liquid lithium adjoining fusion plasmas have been more focused on the effect of lithium on the plasma than on how to build a liquid lithium wall. Five types of ad hoc approaches have been used to facilitate liquid lithium-hydrogen plasma interaction experiments. These five approaches are: (1) pools of liquid lithium placed in trays at the bottom of the toroidal reactor vessel, (2) metal screens wetted with liquid lithium that are placed horizontally at the bottom of the vessel, (3) a band placed about the mid-plane of the reactor vessel has liquid lithium flowing down its inner surface from the top of the band to the bottom of the band, (4) confining the plasma in spherical and cylindrical reactor vessels that are physically rotated so as to cause the liquid lithium to continually recoat the inner surface walls of the vessel from a pool at the bottom of the vessel, and (5) coating part of the vertical portion of the inside of the reactor vessel with a porous metal, which may be deposited for example by flame or plasma spraying, and pumping molten lithium through the porous metal. The first two listed approaches have limitations of only producing lithium surfaces for a small area in the bottom of the reactor. The third approach only coats a band about the middle of the reactor, and requires high flow rates to keep the surface of the band coated. High flow rates increases the pumping power required to operate the reactor, which subtracts from any energy the reactor might produce. The fourth approach is not being easily being employed by a toroidal vessel, which has the most effectively shaped magnetic fields for containing plasmas. Continually rotating the walls of a toroidal vessel is impossible because of the rigid materials used for the construction of these vessels. In addition, the fourth approach requires portions of the inside of the reactor vessel to constantly move, which interferes with the placement and the use of other devices that must be present within the vessel wall, such as vacuum pumping ports, sensors, and magnetic coils. The fifth approach has the drawbacks of having little control over where the lithium flows, the fact that porous metal is a high-Z material, and the fact that the use of large areas of porous metal precludes having any control over electrical current flows on the inner surface of the reactor. The present disclosure employs tiles manufactured from high-temperature, open-cell sponge-like material (e.g., the Boeing Rigid Insulation (BRI) material) to retain liquid lithium in place against gravity and electromagnetic forces, and to allow for the liquid lithium to be slowly pumped throughout the system in order to remove contaminants from the plasma. There are multiple advantages to this approach. A first advantage is that the tiles can be manufactured to be small in size so that the inside of the toroidal vessel can be tiled with a mosaic of liquid lithium filled tiles despite the complex shape of the inside of the reactor vessel. A second advantage is that the material of the tiles (e.g., a porous ceramic material with open cells) is resistant to the high temperatures to which the tiles will be exposed to when the plasma is present inside of the reactor vessel. A third advantage is that the material of the tiles (e.g., porous a ceramic material with open cells) is resistant to the corrosive effects of lithium. A fourth advantage is that the construction of the tiles can be tailored to produce pore sizes and/or open channels that are optimal to the retention and flow of liquid lithium. In addition, a fifth advantage is that, if plasma disruptions cause the plasma to impact the tiles so intensely that the outer surface of lithium boils away, the high permeability of the tiles will allow more lithium to wick to the surface of the tile. A sixth advantage is that, in the event that some of the tile itself is removed by a plasma impact, the depth of the tile will allow for the tile to continue to function and, thus, several plasma impacts on a tile can be tolerated before the tile would need to be replaced. A seventh advantage is that, in the event that part of a tile is ablated by the plasma, the materials that the tile is manufactured from are mostly of low nuclear weight elements, which will have a less adverse effect on the plasma than materials of high weight metals. An eighth advantage is that, in the event that a portion of a tile is ablated, the portion of the tile that is ablated will simply be an empty space filled with liquid lithium. As such, it is evident that the use by the disclosed system of tiles, which are manufactured from a high-temperature, porous material, to retain liquid lithium on the reactor vessel walls has many beneficial advantages. In fusion energy experiments, electric coils producing modulated magnetic fields, that are installed facing the plasma, have been shown to be helpful in controlling instabilities in the plasma. However, it should be noted that fusion power reactors will have internal environments so severe that placing electrical coils near the plasma is likely impractical. As alluded to above, molten lithium has been shown in experiments to be one material that is able to face the plasma. In one or more embodiments of the present disclosure, instead of employing electrical coils facing the plasma, an electric charge is applied to the liquid lithium, which faces the plasma, in order to aid in controlling instabilities in the plasma. In some embodiments, electric coils are installed behind the structures containing the liquid lithium to work in conjunction with the electrically charged liquid lithium to help in controlling instabilities in the plasma. In the following description, numerous details are set forth in order to provide a more thorough description of the system. It will be apparent, however, to one skilled in the art, that the disclosed system may be practiced without these specific details. In the other instances, well known features have not been described in detail so as not to unnecessarily obscure the system. FIG. 1 is an illustration of the interior of a fusion power reactor 100, in accordance with at least one embodiment of the present disclosure. In this figure, it can be seen that the fusion power reactor 100 is of a torus shape. It should be noted that the system of the present disclosure can be used with various different types and shapes of fusion power reactors. The first wall of the fusion power reactor 100 is lined with small tiles 110 that are each manufactured from a high temperature-tolerant, porous material. These small tiles 110 allow for liquid lithium to coat the surface of the walls of the reactor vessel 100. The liquid lithium helps to stabilize the plasma in the reactor vessel 100, and helps the plasma maintain its high internal temperature. FIG. 2 shows a top view of a single tile 200 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber, in accordance with at least one embodiment of the present disclosure. The tile 200, which is manufactured from a high-temperature-resistant, porous material with open cells, is installed onto the reactor vessel wall 240. In this figure, the tile 200 is shown to include an input plenum 260 and an output plenum 280. Both the input plenum 260 and the output plenum 280 are a single hollow piece of non-porous material (e.g., a metal). During operation of the system, clean liquid lithium is inputted into the tile 200 through the input plenum 260. The liquid lithium is flowed into the input plenum 260 of the tile 200 via pressure being applied at the input plenum 260 and/or a vacuum being present at the output plenum 280. Various types of pumps may be employed by the system for applying pressure at the input plenum 260 of the tile 200 including, but not limited to, a propeller pump, a centrifugal pump, and a piston pump. The clean liquid lithium circulates within the interior network of open cells or channels throughout the body 250 of the tile 200. The clean liquid lithium seeps through the open cells of tile 200 to reach the porous external surface 220 of the tile 200 that faces the interior cavity of the reactor vessel, which contains the hot, tenuous plasma 230. The direction of the flow of the liquid lithium within the body 250 of the tile 200 is denoted by arrow 270. The clean liquid lithium that lies on the porous external surface 220 of the tile 200 absorbs contaminants from the plasma 230. This newly contaminated liquid lithium is then removed from the tile 200 via the output plenum 280. After the contaminated liquid lithium is removed from the tile 200, the liquid lithium is processed to remove the contaminants from the liquid lithium. The resulting cleaned liquid lithium is then re-circulated back into the system. It should be noted that in alternative embodiments, the tile 200 may not specifically include an input plenum 260 and/or an output plenum 280 as is depicted in FIG. 2, but rather may have at least one open cell or channel in its interior for the liquid lithium to be inputted into the tile 200 and/or to be outputted from the tile 200. FIG. 3 illustrates a top view of a configuration 300 of four of the tiles 310 of FIG. 2 that are installed next to one another, in accordance with at least one embodiment of the present disclosure. In this figure, it is shown that the tiles 310 are able to be installed adjacent to one another along the curved surface of the reactor vessel wall 330. When the tiles 310 are installed in this configuration, the porous external surface 340 of the tiles 310 that faces the interior cavity of the reactor vessel containing the plasma 320 is shown to form a curved surface area. FIG. 4 depicts a cross-sectional side view of a tile 410 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber that has a uniform porosity, in accordance with at least one embodiment of the present disclosure. In this figure, the tile 410 is shown to have an input plenum 430 and an output plenum 440. The tile 410 is also depicted to be manufactured to have a uniform porosity 420. In addition, the direction of the flow of the liquid lithium within the body of the tile 410 is denoted by arrow 450 in this figure. FIG. 5 illustrates a cross-sectional top view of the tile 410 of FIG. 4, in accordance with at least one embodiment of the present disclosure. This figure simply shows another cross-sectional view of the tile 410, which has a uniform porosity 420. In addition, it should be noted that, in some embodiments, the borders of the side areas 510, 520 of the tile 410 are manufactured from the same non-porous material that is used to manufacture the input plenum 430 and the output plenum 440. FIG. 6 shows a cross-sectional top view of a tile 610 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber that has a non-uniform porosity, in accordance with at least one embodiment of the present disclosure. In this figure, the tile 610 is shown to have an input plenum 630 and an output plenum 640. The tile 610 is illustrated to be manufactured to have a non-uniform porosity 620. In this figure, the porosity of the body of the tile 610 is shown to gradually lessen from the external surface 660 of the tile 610 that faces the plasma 670 to the input and output plenums 630, 640. Also in this figure, arrow 650 illustrates the direction of the flow of the liquid lithium within the body of the tile 610. FIG. 7 depicts a cross-sectional side view of a tile 710 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber, where an electric charge is applied to the liquid lithium, and the tile 710 includes channels 705 for the electrically charged liquid lithium to flow, in accordance with at least one embodiment of the present disclosure. The tile of FIG. 7 differs from the basic tile construction shown in FIGS. 2 and 4 in that the foam region containing the flowing lithium is divided into many narrow channels 705 that are exposed to the plasma 770. In the plasma-facing region, the lithium channels 705 are electrically isolated from each other. At the input to and the output from the plasma-facing region, the channels 705 are physically connected together, which makes them electrically and hydraulically in parallel. In alternative embodiments, the channels 705 can have electrically isolated “return” channels that are built deeper into the tile 710, which allows for the plasma-facing channels 705 to be electrically and hydraulically in series. The basic tile construction of FIG. 4 consists of a monolithic foam tile 410 that can be manufactured from an electrically conductive (e.g. metal) foam or an electrically insulating (e.g. ceramic) foam through which the liquid lithium will flow. For the tile 710 of FIG. 7, the plasma-facing portion of the tile 710 is divided into channels 705 through which the liquid lithium will flow, and which are electrically isolated from each other. There are several ways that the electrically isolated channels 705 can be manufactured in the tile 710. A first way is that the tile 710 surface is manufactured to have regions of ceramic or metal foam strips 705 through which the lithium will flow. These regions 705 are hydraulically and electrically separated by solid ceramic strips 720, which are able to electrically insulate the lithium channels 705 from each other and which exclude the electrically conductive lithium from their interiors. A second way that the electrically isolated channels 705 can be manufactured in the tile 710 is that, similar to the first way, the tile 710 surface is manufactured to have regions of ceramic or metal foam strips 705 through which the lithium will flow. These regions 705 are separated by ceramic foam strips 720 that have been treated to prevent the penetration of lithium into them. The treatment must itself be electrically insulating. By preventing the penetration of lithium into the treated region, and by maintaining the insulating properties of the ceramic, the treatment allows the strips 720 to electrically and hydraulically insulate the lithium channels 705 from each other. A third way that the electrically isolated channels 705 can be manufactured in the tile 710 is that the tile 710 surface is manufactured completely from ceramic foam. In the foam, narrow strips 720 are be treated with a lithium-blocking, nonconductive coating, which will prevent liquid lithium from wetting and, thus, penetrating those regions. Liquid lithium will flow freely in the untreated strips 705 between the treated strips 720. The reason for confining the lithium to thin electrically and hydraulically isolated strips 705 is to produce an array of parallel “wires” of liquid lithium on the surface of the tile 710. The wires 705 will have a voltage applied across them so that the lithium channels 705 will carry electric currents in the well defined regions and directions. This channel configuration allows for the currents in the lithium to produce a controlled magnetic field in the plasma 770 adjacent to the tile 710. In FIG. 7, the tile 710 is shown to be attached to some form of plenums 780, 790 or plumbing that provide the input 730 and output 740 of the lithium of the tile 710. The input plumbing 780 and output plumbing 790 are part of an electrical circuit because they are each connected to a voltage source 760. As such, the input plenum 780 and the output plenum 790 are manufactured to be electrically insulated from each other and their surroundings. During operation, the liquid lithium, which has been thermally conditioned, is collected in an electrically insulated reservoir (not shown). The lithium is then pumped from the reservoir either by a pump (not shown) into the input plumbing 780 from the reservoir or by pressurizing the gas in the space above the lithium in the reservoir. The initial portion 750 of the input plumbing 780 must be electrically insulated from the outside world, or manufactured from an insulating material, such as ceramic tubing. At some point in the hydraulic path to the tile 710, a section 755 of the input plumbing 780 is manufactured of a conductive material, such as metal, and is electrically connected to an electrical power supply 760 (e.g., a variable voltage supply 760 as shown in this figure). The electrical power supply 760 will supply the electric current input for the lithium. From the electrical start point, the final portion 765 of the input plumbing 780 is insulated, and will carry the liquid lithium to the inside of the tile 710. After flowing into the tile 710, the liquid lithium will flow in the parallel channels 705 of the tile 710. The direction of the flow of the liquid lithium within the channels 705 is denoted by arrow 740 in this figure. The liquid lithium will then enter into the return plumbing 790. That plumbing 790 will mostly be insulated (i.e. regions 775 and 795), but will have one conductive section 785, which will be connected to the return path of the electric circuit (i.e. connected to the other end of the electrical power supply 760). If the electrical return point is at facility ground potential, the lithium can then flow on to any heat exchangers or filtering/cleaning processes that will be needed before the lithium can re-enter into the input reservoir (not shown). The lithium re-entering the input reservoir will need to enter by some means, such as dripping, so that the entering lithium, which will be at electrical ground potential, will not form an electrically conductive path between the entrance to the reservoir at ground potential and the liquid lithium in the bottom of the reservoir, which will be at the electrical potential of the input to the tile 710. A pneumatic process that inserts insulating barriers (i.e. bubbles) in lithium flowing in an insulating pipe could also possibly provide electrical isolation between the incoming and outgoing lithium similar to that provided by dripping, as long as the lithium does not wet the walls of the insulating pipe. If the electrical return point is not at facility ground potential, such as what would be the case if the lithium electrical circuit operates from a voltage above ground at the input and a voltage below ground at the return, then the lithium leaving the electrical return point must also go through some process, such as dripping, which will electrically isolate the returning lithium from the heat exchangers and filtering or cleaning equipment. In this system, after conditioning, the lithium, which will be at facility ground potential must go through electrical isolation again before reaching the bottom of the input reservoir, just as it did in the previous approach. Returning to the lithium-containing tile 710 itself, it should be noted that this particular tile 710 design has three key features. The first key feature of this design is that because of the geometry of the lithium-filled regions 705 (i.e. the channels 705) and the lithium-free regions 720, electric currents impressed on the lithium circuit are forced to all flow either in parallel or anti-parallel to the flow of the lithium itself, depending upon the polarity of the impressed voltage. The second key feature of this design is that by placing a series of tiles 710 in a closed ring on the surface of the plasma-facing wall of a reactor, the sum of the local magnetic fields produced by all the electrical currents in the tiles 710 will produce a large net magnetic field, which can be used to manipulate the plasma 770. The third key feature of this design is that if the tiles 710 are placed between a plasma control electromagnet (e.g., an electromagnetic coil) and the plasma 770 (refer to FIGS. 10, 11, and 12), the surface electrical current paths in the tiles 710 are aligned with the currents in the plasma control magnet, and the surface electrical currents in the tiles 710 and the electrical currents in the magnet are in parallel, then the electrical currents in the liquid lithium will enhance the magnetic field produced by the plasma control magnet; whereas, without the controlled, externally driven electrical currents in the liquid lithium, the conductive liquid lithium would support local electrical currents that would respond to, but oppose, changes in the current flow in the plasma control magnet. FIG. 8 depicts a side view of the tile 710 of FIG. 7 illustrating the channels 705, in accordance with at least one embodiment of the present disclosure. In this figure, the channels 705 are shown to be isolated by thin strips 720. The liquid lithium flows in the channels 705 in a direction that is denoted by arrow 740. FIG. 9 illustrates a top view of a configuration 900 of three rows of four of the tiles 710 of FIG. 7 that are installed next to one another, in accordance with at least one embodiment of the present disclosure. In this figure, it is shown that the tiles 710 are able to be installed adjacent to one another in a row along the curved surface of the reactor vessel wall. When the tiles 710 are installed in this configuration, the porous external surface of the tiles 710 that faces the interior cavity of the reactor vessel containing the plasma 770 is shown to form a curved surface area 910. In one or more embodiments of the present disclosure, multiple rows of the tiles 710 may be installed on the surface area of the internal walls of the reactor chamber. The tiles 710 may be connected hydraulically and electrically in series, as is shown for each row of tiles 710 in FIG. 9, in which the output plumbing of one tile 710 connects to the input plumbing of another tile 710, and one end of each row of the tiles 710 is shown to be connected to a positive terminal of a power supply (not shown) via input plumbing 780, and the opposite end of each row of the tiles 710 is shown to be connected to a negative terminal of the power supply (not shown) via return plumbing 790. It should be noted that in alternative embodiments, each of the tiles 710 in a row may be connected to a separate dedicated power supply for that particular tile 710. How many tiles could be connected in series would depend upon a trade-off among the pressures needed to pump the lithium, the voltages needed to drive the needed electrical current through the lithium, and the ease or difficulty of access to the lithium tiles 710 for plumbing and electrical connections. FIG. 10 is an illustration of the interior of a toroidal fusion power reactor 100 that includes two magnetic coils 1010 mounted behind the tiles 710 of FIG. 7, in accordance with at least one embodiment of the present disclosure. As previously mentioned, electric coils 1010 installed behind tiles 710 containing electrically charged liquid lithium work in conjunction with the electrically charged liquid lithium to help in controlling instabilities in the plasma. The electric coils 1010 may be manufactured from various different materials including, but not limited to, copper alloy materials. In this figure, the tiles 1020 are shown to not have a magnetic coil 1010 mounted behind them. FIG. 11 shows a close-up view of one of the magnetic coils 1010 of FIG. 10 depicted along with a number of tiles 710, 1020, in accordance with at least one embodiment of the present disclosure. In this figure, the magnetic coil 1010 is shown to be installed behind a number of tiles 710 with channels 705 that contain electrically charged liquid lithium. The direction of the electrical current flow of the magnetic coil 1010 is denoted by arrows 1030. In this figure, the tiles 1020 that do not have the magnetic coil 1010 installed behind them are shown to be the type of tile 410 of FIG. 4, which does not include channels 705. However, it should be noted that in other embodiments, the tiles 1020 that do not have a magnetic coil 1010 installed behind them may be the type of tile 410 of FIG. 4, which does not include channels 705, and/or the type of tile 710 of FIG. 7, which does include channels 705. FIG. 12 shows a cross-sectional top view of the magnetic coil 1010 of FIG. 11, in accordance with at least one embodiment of the present disclosure. In this figure, the magnetic coil 1010 is shown to be installed in between a number of tiles 710, which include channels 705, and the internal wall 1210 (e.g., a steel alloy wall) of the reactor chamber. The direction of the electric current of the liquid lithium within the channels 705 of the tiles 710 is denoted by arrows 1220, and the direction of the current flow of the magnetic coil 1010 is denoted by arrows 1030. Although certain illustrative embodiments and methods have been disclosed herein, it can be apparent from the foregoing disclosure to those skilled in the art that variations and modifications of such embodiments and methods can be made without departing from the true spirit and scope of the art disclosed. Many other examples of the art disclosed exist, each differing from others in matters of detail only. Accordingly, it is intended that the art disclosed shall be limited only to the extent required by the appended claims and the rules and principles of applicable law.
abstract
Disclosed is an apparatus for performing an automated cleaning of nuclear fuel cladding-tubes. The apparatus comprises a pre-cleaning holding section disposed at a first side of the apparatus, a primary cleaning-material supplying section and a primary cleaning section disposed at a second side of the apparatus, a secondary cleaning section and a secondary cleaning material supplying section disposed at the second side of the apparatus and beside the primary cleaning section, an inspection section disposed at a third side of the apparatus that is opposite to the second side, and a post-cleaning holding section disposed at the fourth side of the transferring section. The pre-cleaning holding section and the post-cleaning holding section includes a cladding-tube guide where the cladding-tube is loaded before and after cleaning. Primary and secondary cleaning material supply sections are disposed at a lateral side of the primary and secondary cleaning sections respectively.
046684646
claims
1. A method of maintaining three-dimensional MHD equilibrium of a plasma contained in a helical axis stellarator said stellarator having a set of primary coils, said method comprising the steps of: providing a current through a resonant coil system about said stellarator, said resonant coil system having a configuration such that said current therethrough generates a magnetic field cancelling the resonant magnetic field, B.sub.1, produced by currents driven by the plasma pressure at any given flux surface resonating with the rotational transform, .chi., of another flux surface in the plasma; and varying said current as a function of .beta., where .beta.=2p.sub.o /B.sub.o 2, p.sub.o is the average plasma pressure, and B.sub.o is the average stellarator magnetic field produced by said primary coils. a helical resonant coil system about said stellarator, said resonant coil system having a configuration such that current therethrough generates a magnetic field cancelling the resonant magnetic field, B.sub.1, produced by currents driven by the plasma pressure on any given flux surface resonating with the rotational transform, x=n/m, of another flux surface in the plasma, where m is the number of periods of said helical resonant coil and n is the number of turns of said helical resonant coil carrying current in the same direction; and means for varying said current as a function of .beta., where .beta.=2p.sub.0 /B.sub.o.sup.2, p.sub.o is the average plasma pressure, and B.sub.o is the average magnetic field produced by the stellarator primary coils. 2. The method of claim 1 wherein said current is varied such that .beta. satisfies the relationship: ##EQU7## at rational surface .rho..sub.o and where m is the number of period of said coil and n is the number of turns of said coil carrying current in the same direction, L is the length of the stellarator axis, a is the circularized plamsa radius, .delta..sub.nm are the Fourier amplitudes of the Jacobian of the stellarator magnetic field, and .rho., .theta., .phi. ar helical coordinates. 3. The method of claim 2 wherein m=3, n=2 and wherein said current is varied such that .beta. satisfies the relationship: ##EQU8## .chi.=n/m and .DELTA..chi. is the change in .chi. across minor radius a. 4. In a helical axis stellarator having primary coils, an apparatus for maintaining three-dimensional MHD equilibrium in a plasma contained in said helical axis stellarator, said apparatus comprising: 5. The apparatus of claim 4 wherein said means for varying said current is operable to vary the current such that .beta. satisfies the relationship ##EQU9## at rational surface .rho..sub.o with .chi.=n/m and where L is the length of the stellarator axis, a is the circularized plasma radius, .delta..sub.nm are the Fourier amplitudes o the Jacobian of the stellarator magnetic field, and .rho., .theta., .phi. are helical coordinates. 6. The apparatus of claim 5 wherein said stellarator has three periods and wherein said means for varying said current is operable to vary the current such that B satisfies the relationship ##EQU10## where .DELTA..chi. is the change in .chi. across minor radius a. 7. The apparatus of claim 6 wherein the magnitude of the current in said resonant helical coil is about 1% of the magnitude of the current in said stellarator primary coils.
description
1. Field of the Invention The present invention relates generally to nuclear reactors, and more particularly, to any nuclear reactor having a fuel assembly with an improved grid. 2. Description of the Related Art In most water cooled nuclear reactors, the reactor core is comprised of a large number of elongated fuel assemblies. In pressurized water nuclear reactors (PWR), these fuel assemblies typically include a plurality of fuel rods held in an organized array by a plurality of grids spaced axially along the fuel assembly length and attached to a plurality of elongated thimble tubes of the fuel assembly. The thimble tubes typically receive control rods or instrumentation therein. Top and bottom nozzles are on opposite ends of the fuel assembly and are secure to the ends of the thimble tubes that extends slightly above and below the ends of the fuel rods. The grids, as is known in the relevant art, are used to precisely maintain the spacing and support between the fuel rods in the reactor core, provide lateral support for the fuel rods and induce mixing of the coolant. One type of conventional grid design includes a plurality of interleaved straps that together form an egg-crate configuration having a plurality of roughly square cells which individually accept the fuel rods therein. Depending upon the configuration of the thimble tubes, the thimble tubes can either be received in the cells that are sized the same as those that receive fuel rods therein, or in relatively larger thimble cells defined in the interleaved straps. The interleaved straps provide attachment points to the thimble tubes, thus enabling their positioning at spaced locations along the length of the fuel assembly. The straps are configured such that the cells through which the fuel rods pass each include one or more relatively compliant springs and a plurality of relatively rigid dimples. The springs and dimples may be formed in the metal of the interleaved straps and protrude outwardly therefrom into the cells through which the fuel rods pass. The springs and dimples of each fuel rod cell then contact the corresponding fuel rod extending through the cell. Outer straps of the grid are attached together and peripherally enclose the inner straps of the grid to impart strength and rigidly to the grid and to define individual fuel rod cells around the perimeter of the grid. The inner straps are typically welded or brazed at each intersection and the inner straps are also welded or brazed to the peripheral or outer straps defining the outer perimeter of the assembly. At the individual cell level, the fuel rod support is normally provided by the combination of rigid support dimples and flexible springs as mentioned above. There are many variations to the spring-dimple support geometry that have been used or are currently in use, including diagonal springs, “I” shaped springs, cantilevered springs, horizontal and vertical dimples, etc. The number of springs per cell also varies. The typical arrangement is two springs and four dimples per cell. The geometry of the dimples and springs needs to be carefully determined to provide adequate rod support through the life of the assembly. During irradiation, the initial spring force relaxes more or less rapidly, depending on the spring material and irradiation environment. The cladding diameter also changes as a result of the very high coolant pressure and operating temperatures and the pellets inside the rod also change their diameter by densification and swelling. The outside cladding diameter also increases, due to the formation of an oxide layer. As a result of these dimensional and material property changes, maintaining adequate rod support through the life of a fuel assembly is very challenging. Under the effect of axial flow and crossflow induced by thermal and pressure gradients within the reactor and other flow disturbances, such as standing waves and eddies, the fuel rods, which are slender bodies, are continuously vibrating with relatively small amplitudes. If the rod is not properly supported, this very small vibration amplitude may lead to relative motion between the support points and the cladding. If the pressure exerted by the sliding rod on the relatively small dimple and grid support surfaces is high enough, a small corrosion layer on the surface of the cladding can be removed by abrasion, exposing the base metal to the coolant. As a new corrosion layer is formed on the exposed fresh cladding surface, it is also removed by abrasion until ultimately the wall of the rod is perforated. This phenomenon is known as corrosion fretting and in 2006 it was the leading cause of fuel failures in PWR reactors. Support grids also provide another important function in the assembly, that of coolant mixing to decrease the maximum cooling temperature. Since heat generated by each rod is not uniform, there are thermal gradients in the coolant. One important parameter in the design of the fuel assemblies is to maintain efficient heat transfer from the rods to the coolant. The higher the amount of heat removed per unit time, the higher the power being generated. At high enough coolant temperatures, the rate of heat that can be removed per unit of cladding area in a given time decreases abruptly in a significant way. This phenomenon is known as deviation from nucleate boiling or DNB. If within the parameters of reactor operation, the coolant temperature were to reach the point of DNB, the cladding surface temperature would increase rapidly in order to evacuate the heat generated inside the rod and rapid cladding oxidation would lead to cladding failure. It is clear that DNB needs to be avoided to prevent fuel failures. Since DNB, if it occurs, takes place at the point where the coolant is at its maximum temperature, it follows that decreasing the maximum coolant temperature by coolant mixing within the assembly permits the generation of larger amounts of power without reaching DNB conditions. Normally, the improved mixing is achieved by using mixing vanes in the downflow side of the grid structure. The effectiveness of mixing is dependent upon the shape, size, and location of the mixing vanes relative to the fuel rod. Other important functions of the grid include the ability to sustain handling and normal operation at anticipated accident loads without losing function and to avoid “hot spots” on the fuel rods due to the formation of steam pockets between the fuel rods and the support points, which may result when not enough coolant is locally available to evacuate the heat generated in the rod. Steam pockets cause over heating of the fuel rod to the point of failure by rapid localized coersion of the cladding. Maintaining a substantially balanced cooling flow through the fuel assemblies across the core is a desirable objective to maintain substantially uniform heat transfer. Any changes in fuel assembly design can alter the pressure drop and affect the relative balance in flow resistance through the core among the various types of fuel assemblies. Changes in grid design that reduce pressure drop are desirable because such changes enable a fuel assembly designer to introduce other improvements that will restore the pressure drop equilibrium among fuel assemblies. As previously mentioned, grid strap dimples and springs protrude into a grid cell location to position a nuclear fuel rod in the lattice array. The taller the dimple and the more it protrudes into the grid cell, the stiffer the dimple. This increased stiffness can result in the fuel rods being scratched or galled during rod loading. A stiffer dimple also increases the risk of dimple-to-rod fretting due to higher fuel rod contact stresses. Therefore the dimple designer needs to provide adequate stiffness to position the fuel rod, but minimize stiffness to reduce scratches, galling and the potential for fretting. It is thus desired to provide an improved grid that exhibits effective heat transfer and improved fuel rods support with less potential for scratching or galling the fuel rods when they are loaded into the assembly. It is a further object of this invention to provide such an improved grid that has a number of manufacturing advantages. The foregoing objectives are achieved by an improved nuclear fuel assembly grid having a first plurality of space, parallel, elongated straps and a second plurality of space, parallel, elongated straps positioned orthogonal to the first plurality of space, parallel, elongated straps. The first and second plurality of space, parallel elongated straps are aligned in a regular pattern so that the intersection of each set of four adjacent straps defines a cell, some of which support fuel rods, with an extent of each of the first and second straps that border each cell forming a wall of the cell. Preferably, the straps are interleaved at their intersections in an egg-crate pattern. A bordering strap surrounds the exterior perimeter of the first and second plurality of space, parallel, elongated straps and is affixed at the intersections with the interior straps. At least one wall of the cells that support fuel rods has a dimple that extends from the wall into the cell from a “dog bone” shaped cutout in the wall of the cell. In one embodiment, the dimple has upstream and downstream edges that contact the fuel rod when the fuel rod is loaded into the grid wherein the upstream and downstream edges are rounded in a direction away from the fuel rod to avoid having any abrupt surfaces contact the rod as the rod is loaded or unloaded. The rounded edges are formed by radius coining. Preferably, the first and second plurality of straps have an axial dimension that extends along the elongated dimension of the strap and the “dog bone” shaped cutout extends parallel to the axial dimension. In one embodiment, the “dog bone” shaped cutout comprises three spaced cutouts that are stacked in spaced relationship along the height of the wall of the cell with a central cutout in a traditional “dog bone” shape comprising a central rod having a lobe at either end, an upper cutout comprising a half of a traditional “dog bone” shape divided along the rod and through the lobes with the lobes facing toward the central cutout and a lower cutout comprising a half of a traditional “dog bone” shape divided along the rod and through the lobes with the lobes facing toward the central cutout. Desirably, the dimple, in a side view, is a pedestal with a platform on top that extends out over the pedestal. Nuclear fuel spacer grids are used in fuel assemblies to position nuclear fuel rods. Accurately positioning nuclear fuel rods is critical to assure proper nuclear and thermo-hydraulic performance of the nuclear core of a reactor. An ideal nuclear fuel space grid should: 1. Be simple and inexpensive to manufacture; 2. Permit fuel rod reconstitution and easy loading of the fuel rods; 3. Maintain fuel assembly geometry over the lifetime of the fuel assembly; 4. Be of a lower pressure drop design, yet promote coolant mixing and heat transfer; and 5. Be low neutron absorbers. Many conventional spacer grids are composed of straight grid straps that are interleaved together to form an egg-crate configuration having a plurality of roughly square cells, many of which support fuel rods. An example of such a conventional fuel grid 10 can be found in FIG. 1. A spaced, parallel array of grid straps 12 of equal length are positioned orthogonally to a second plurality of the spaced, parallel grid straps 14 of equal length and are encircled by a border strap 18, with each of the straps being welded at their intersections. The cells 16 support the fuel rods while the cells 20 support guide tubes and an instrumentation tube. Because the fuel rods must maintain a spacing or pitch between each other, these straight grid straps 12 and 14 at the locations that border the cells 16 that support the fuel rods have springs 22 and/or dimples 24 that are stamped in the sides of the straps 12 and 14 to protrude into the cells 16 to contact the fuel rods and hold them firmly in position. The stamped features on the grid straps 12 and 14, i.e., the springs 22 and the dimples 24, require careful design and precise manufacturing to assure adequate force is maintained to secure the fuel rods when considered in combination with the other grids in the tandem array of grids along the fuel assembly. Referring to FIG. 2, there is shown an elevational view of a fuel assembly represented in vertically foreshortened form and being generally designated by reference character 40. The fuel assembly 40 is of the type used in a pressurized water reactor and basically includes a lower end structure or bottom nozzle 42 for supporting the fuel assembly on a lower core plate (not shown) in the reactor core region and a number of longitudinally extending guide thimbles or tubes 44 which project upwardly from the bottom nozzle 42. The assembly 40 further includes a plurality of transverse grids 10, constructed in accordance with this invention, and partially shown in more detail in FIGS. 3, 4 and 5. The grids 10 are axially spaced along and supported by the guide thimbles 44. Assembly 40 also includes a plurality of elongated fuel rods 36 transversely spaced and supported in an organized array by the grids 10. Also, the assembly 40 has an instrumentation tube 46 located in the center thereof and an upper end structure or nozzle 48 attached to the upper ends of the guide thimbles 44. With such an arrangement of parts, the fuel assembly 40 forms an integral unit capable of being conveniently handled without damaging the assembly of parts. As mentioned above, the fuel rods 36 and the array thereof in the assembly 40 are held in spaced relationship with one another by the grids 10 spaced along the fuel assembly length. Each fuel rod 36 includes nuclear fuel pellets 50 and the opposite ends of the rods 36 are enclosed by upper and lower end plugs 52 and 54, to hermetically seal the rod. Commonly, a plenum spring 56 is disposed between the upper end plug 52 and the pellets 50 to maintain the pellets in a tight, stacked relationship within the rod 36. The fuel pellets 50 composed of fissile material are responsible for creating the reactive power of the PWR. A liquid moderator/coolant, such as water or water-containing boron, is pumped upwardly through the fuel assemblies of the core in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods 58 are reciprocally movable in the guide thimbles 44 located at predetermined positions in the fuel assembly 40. Specifically, the top nozzle 48 has associated therewith a rod cluster control mechanism 60, having an internally threaded cylindrical member 62 with a plurality of radially extending flukes or arms 64 such that the control mechanism 60 is operable to move the control rods 58 vertically in the guide thimbles 44 to thereby control the fission process in the fuel assembly 40, all in a well known manner. As previously mentioned the design of the contact area between the sidewalls of the grid cells that support the fuel rods and the cladding surface of the rods is critical to assure that there is enough force to secure the rods against harmful vibrations without scarring the cladding. To that end, this invention provides a soft pivot dimple grid design that utilizes a “dog bone” shaped window cutout and radius coining of edges perpendicular to coolant flow to minimize pressure drop and reduce the susceptibility of fuel rod leaking during reactor operation. The radius coining feature eliminates the typical work-hardened/raised material common with historically chamfered dimple edges which lead to fuel rod scratching/galling. Radius coining enables the fuel rod to smoothly transition over the radiused edge to the flat rod contact section of the dimple. The symmetric “dog bone” shape feature gives the dimple more of an opportunity to pivot during rod loading resulting in an improved alignment between the dimple and the fuel rod, thereby minimizing scratching. The “dog bone” shape also allows for a large contact area dimple to be softer than a typical dimple, which reduces contact stresses and fretting wear during reactor operations. The “dog bone” shape also increases the strength of the die punch during manufacture, since it allows for more material to be used in the punch resulting in less stress and wear of the die punch and decreases the load needed to displace the dimple during forming, thus reducing manufacturing costs. The “dog bone” shape decreases the stiffness of the dimple associated with the dimple-to-rod contact, since the window was cut out up into the form of the dimple profile radius, resulting in a minimization of dimple-to-rod wear due to lower contact stresses during reactor operations. A portion of a grid strap 12 containing the soft pivot dimple grid design of this invention is illustrated in FIG. 3, though it should be appreciated that the dimple design of this invention 26 may be applied to one or both of the straps 12 and 14. Two such dimples 28 and 30 are shown in the width of the single cell 16 located on a cell wall 32. The dimple arrangement 28 and 30 extends from the wall 32 into the cell 16 from a “dog bone” shape cutout in the wall 32 of the cell 16. The “dog bone” shaped cutout of the dimple arrangement 28 and 30 preferably comprises three spaced cutouts 66, 68 and 70. The three spaced cutouts are stacked in spaced relationship along the height of the wall 32 of the cell 16 with the central cutout 66 in a traditional “dog bone” shape comprising a central rod 34 with a lobe 38 at either end. The upper cutout 68 comprises a half of a traditional “dog bone” shape divided along the rod 34 and through the lobes 38 with the lobes facing toward the central cutout 66. The lower cutout 70 comprises a half of a traditional “dog bone” shape divided along the rod 34 and through the lobes 38 with the lobes facing toward the central cutout 66. Preferably the horizontal edges 72 that contact the fuel rod are radius coined to produce a rounded edge 72 that bends away from the fuel rod and presents a smooth transition for the edge of the fuel rod when it contact the dimple 28 and 30. FIG. 4 is a top view of the cell wall 32 showing a profile of the upper dimple 30. FIG. 5 is a series of schematics which show the fuel rod being loaded into the cell 16 and its interaction, from a side view, with a dimple 30 as the tip of the rod engages, slides along and passes over the dimple 30. Though a schematic side view of the dimple 30 is illustrated it should be appreciated that the dimple 28 will perform in the same manner. The “F” signifies the force being applied to the fuel rod 36 to load the rod in the assembly. In FIG. 5A the rod 36 is shown approaching the dimple which is illustrated in a static state. In FIG. 5B the fuel rod 36 engages the top or platform 76 which is supported by the pedestal leg 78 of the dimple 30 and pivots the platform downward on the side contacting the fuel rod 36 to reduce the pressure on the fuel rod and avoid scratching or galling the rod. In FIG. 5C the pedestal 76 returns to a horizontal orientation as the tip of the fuel rod 36 passes to conform to the line of the sidewall cladding of the fuel rod 36. Though the radius coining 72 is not shown in detail, its form will be readily appreciated by one of ordinary skill in the art. Thus, radius coining reduces contact stresses during rod loading and the dimple “dog bone” window shape allows the dimple to pivot during rod loading, both of which minimize galling and scratching. When the “dog bone” window cutout is applied up into the radius of the formed dimple profile, it results in reducing the rigidity of the dimple, which minimizes wear related to dimple-to-rod contact. The additional benefits of the “dog bone” shape are that: It increases the strength of the die punch, which will increase the life of the die insert; It decreases the load needed to displace the dimple, since the area is reduced by the ends of the “dog bone” shape where the profile radius begins; and It increases the distance from the heat affected zone of the strap-to-strap welding, minimizing the thermal affects on the dimple, such as warping or cocking of the dimple. Radius coining of the edges of the dimple in contact with the fuel rods that are orthogonal to the axis of the fuel rods, is a process that uses extreme force to displace material with a reduction in the work piece thickness that rounds the edges of the material and eliminates the typical work hardened/raised material common with historically chamfered dimple edges which lead to fuel rod scratching. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. For example, while the preferred embodiment is directed to an improved grid for a pressurized water reactor fuel assembly, the principles of this invention could be applied to a boiling water reactor as well. Additionally, though the preferred embodiment employs two spaced, stacked dimples 28 and 30 it should be appreciated that the two dimples can be combined into a single elongated dimple, extending from modified “dog bone” cutouts, though the taller the dimple and the more it protrudes into the grid cell the stiffer the dimple, other compensations can be used to temper the stiffness to that desired. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the breath of the appended claims and any and all equivalents thereof.
description
This application is a divisional application of U.S. patent application Ser. No. 11/633,233, filed on Dec. 4, 2006, which claims priority to U.S. Provisional Pat. App. No. 60/748,541, filed on Dec. 8, 2005. U.S. patent application Ser. No. 11/633,233 and U.S. Provisional Pat. App. No. 60/748,541 are incorporated herein by reference in their entirety. This invention was made with government support under Grant No. DMI-0303790 awarded by the National Science Foundation. The government has certain rights in the invention. This invention pertains to a method and apparatus for forming nano-patterns and nano-features, and more specifically, to a system and method for massively parallel replication of 2-D or 3-D nanometer-sized patterns of a wide variety of materials over a large area. Current focused ion beam techniques are capable of writing nanometer-sized features but are very slow. Existing methods for making small features consist of lithographically defining polymeric resist materials and then transferring the developed pattern into the desired underlying film or substrate by plasma etching. State-of-the-art immersion lithography can produce features as small as 45 nm, but is complex and costly. Ion beam or electron beam proximity or projection lithography methods are capable of much finer resolution (e.g., features sized in the tens of nm), but require expensive and fragile masks. Some of the finest features may be made by electron beam writing into resist. While this method is very good for prototype devices, it is not practical for large-scale fabrication and production because the writing speed is much too slow to cover a several square centimeters chip size area in a reasonable time. Another approach to making devices with nanometer sized features is to use self-assembled monolayers (SAMs). SAMs with micron sized feature can be delineated by lithography or stamping; while complex patterns with nanometer-size features can be fabricated with block copolymers. Unfortunately, SAMs are limited in terms of possible patterns and materials and are therefore unsuitable for large scale nanofabrication. It is therefore desirable to circumvent the aforementioned limitations and provide a method of fabricating 2-D or 3-D shape patterns in a wide variety of materials over large areas. It is a further object of the present invention to provide a method that is largely unaffected by vibrations, thermal expansion and other alignment problems that usually plague other nanofabrication methods. The present invention utilizes nano-pantography to provide high-throughput, versatile, and large scale fabrication of nanometer-sized complex patterns over large surface areas by simultaneously focusing a broad ion beam with micro-electrostatic lenses on the substrate. When the wafer is tilted off normal (with respect to the ion beam axis), the focal point is laterally displaced, allowing the focused beams to be rastered, thus forming a desired pattern. The desired pattern can be replicated simultaneously in potentially millions of spots over tens of square centimeters. A method is provided for creating a plurality of substantially uniform nanometer-sized features in a substantially parallel manner in which an array of micro-lenses is positioned on a surface of a substrate, where each micro-lens includes a hole such that the bottom of the hole corresponds to a portion of the surface of the substrate. A flux of charged particles, e.g., a beam of positive ions of a selected element, is applied to the micro-lens array. The flux of charged particles is focused at selected focal points on the substrate surface at the bottoms of the holes of the micro-lens array. The substrate is tilted at one or more selected angles to displace the locations of the focal points across the substrate surface in accordance with the feature to be mass produced. By depositing material or etching the surface of the substrate at the focal points as they move across the substrate surface in a substantially parallel fashion, several substantially uniform nanometer sized features may be created on the surface of the substrate in a substantially parallel manner. The present invention relates to a method for simultaneously forming several two or three dimensional and identical nanometer-sized features of a wide variety of materials over a large area. For the purpose of this disclosure, the term nanometer-sized features means nano-scale features, e.g., features smaller than approximately 1 micron and at least approximately 1 nm. In certain applications, the present invention may be used to simultaneously form millions of identical nanometer-sized patterns. In an exemplary embodiment of the invention, nano-pantography can be used to etch patterns as well as to deposit patterned films at the nanometer scale with as much as a 100-fold reduction relative to the lens size. Certain exemplary embodiments of the nano-pantography method and system disclosed herein are generally capable of pattern resolutions of about 10 nanometers (nm). The nano-pantography method and system disclosed herein may be generally capable of rapidly providing mass produced nano-patterning over a relatively large area such that the smallest lateral dimension of a feature may be as small as approximately 1 nm. General Principles of Nano-Pantography As used herein, pantography refers to the reproduction of a model into identical copies of either larger or smaller sizes. The present invention is a method and apparatus for applying the general principles of pantography to nanometer sized patterns. FIGS. 1a-d illustrate the general concept of nano-pantography. As shown in FIG. 1a-c, nano-pantography allows a user to simultaneously reproduce a pattern or model, shown at 115, that is initially engraved on the imaginary plane 100 onto a substrate 120 in many identical copies 125. Substrate 120 contains several electrostatic micro-lenses or apertures, 130. Each copy is engraved onto substrate 120 through a corresponding lens 130. The shape of the model 115 and copies 125 are identical but their respective sizes may be different. The fountain pen 110 in FIG. 1b illustrates the concept of “writing” a model 115 on an imaginary plane 100 initially parallel to the substrate 120 at a selected distance, e.g., one meter apart. In this example, model 115 is a simple straight line. The motion of the pen 110 corresponds to the motion of an axis normal to the substrate 120 as that substrate 120 is titled. The model can be reproduced on the substrate 120 in such a way that the copies 125 are reduced by a factor of up to one million. An example of the results of nano-pantography is illustrated in FIG. 1d. By tilting the substrate 120 from left to center to right, as shown in FIG. 1a-c, a user can write a straight line 125 at the bottom of the holes of lenses 130, e.g., on the substrate 120. In fact, by tilting the substrate 120 at appropriate angles in three dimensions, shown as angle θ, a user can simultaneously reproduce models 115 having any selected shape in many copies 125. The final individual copies 125 can be as small as a few nanometers in size. Examples of Nano-Pantography for Massive Nano-Patterning Over Large Areas Nano-pantography allows versatile fabrication of nanometer scale pre-selected patterns over large areas. Standard photolithography, thin film deposition, and etching may be used to fabricate arrays of ion-focusing micro-lenses (e.g., small round holes through a metal/insulator structure) on a substrate, such as a silicon wafer, for example. When the substrate is tilted off normal with respect to the ion beam axis, the focal points in each hole are laterally displaced, allowing the focused beamlets to be rastered across the hole bottoms. In the nano-pantography process, the desired pattern is replicated simultaneously in many closely spaced holes over an area limited only by the size of the broad-area ion beam. With the proper choice of ions and downstream gaseous ambient, the method can be used to deposit or etch materials. For example, the simultaneous impingement of an Ar+ beam and a Cl2 effusive beam on an array of 950 nm diameter lenses can be used to etch 10 nm diameter features into a Si substrate, which corresponds to a reduction by a factor of approximately 95 times relative to the lens size. The focused “beamlet” diameters scale directly with lens diameter. Thus a minimum feature size of ˜1 nm should be possible with 90 nm diameter lenses. This resolution capability generally exceeds conventional photo-lithography techniques. The system and method of the present invention is suitable for overcoming one of the main obstacles in practical nano-scale fabrication: providing rapid, large-scale fabrication of virtually any shape and material nanostructures. Because ion focusing optics may be built on the substrate itself, the nano-pantography system and method of the present invention is a self aligned method that is substantially unaffected by vibrations, thermal expansion, and other alignment problems that usually plague other standard nanofabrication methods. FIG. 2 illustrates an exemplary embodiment of the method of nano-pantography. At step 210, an array of very small ion-focusing micro-lenses is fabricated on the substrate where the nanometer size features need to be etched or deposited. The micro-lenses are preferably electrostatic micro-lens. The substrate is then placed under vacuum on a stage that allows fine tuning of the sample position in space in three dimensions, at step 220. Next, at step 230, a broad area collimated beam of positive ions is extracted from a pulsed plasma and directed at the substrate. A positive voltage is applied to the top metal layer on the lens array at step 240. In response to the application of voltage, the ions are focused at the bottoms of the holes at step 250. The voltage on the micro-lenses may be optimized through experiments and simulations to allow the ions to focus at the correct distance. At the focal points, inert ions such as Ar+ can be made to cause rapid etching in the presence of reactive gas, at step 260, while metal ions sputtered from a target electrode can be extracted and deposited at energies below the sputtering threshold. As an example of the method illustrated in FIG. 2, simultaneous impingement of an Ar+ beam and a Cl2 effusive beam on an array of 950 nm diameter lenses can be used to etch 10 nm diameter features into a Si substrate, a reduction of 95 times. At step 270, the substrate is tilted at desired angles to allow for parallel writing of multiple nanometer-sized patterns at substantially the same time. This embodiment of the invention is able to form multiple simultaneous nanometer-sized patterns, because, in part, the focal point of the ion beam is displaced when the ion beam axis is moved off normal with respect to the substrate. The line normal to the substrate intersects an imaginary horizontal plane at a selected distance from the substrate, e.g., a distance of about one meter from the substrate. As the wafer is tilted, the normal traces a pattern on the imaginary plane. Any pattern could be replicated by the ions that focus at the bottom of the holes, with a reduction by a factor of about 106. In this manner, any desired pattern may be replicated by tilting the wafer at chosen angles and rastering the beam over the bottom of the holes, as shown at step 280. As a result, predetermined patterns can be formed simultaneously at the bottom of a large number of holes. Given the flexibility of the present process of nano-pantography, a large number of nanometer-sized features may be produced on the substrate. For example, these features may range from simple patterns, such as nanodots, to complex formations including, but not limited to, nanowires, nanotubes, nanodevices and nanocircuits. Moreover, the present process of nano-pantography allows the user to select a wide variety of materials for the substrate and the nanometer-sized features. In addition, the method and system disclosed herein may be generally capable of rapidly providing mass produced nanometer sized features over a relatively large area such that the smallest lateral dimension of a feature may range in size from approximately 1 nm to approximately 50 nm. The dead space between lenses is also available for large scale circuitry, which may be formed by conventional lithographic methods, to address and control discrete nano-devices or nano-circuits inside the holes. This is shown at step 290. In addition, because the lenses are mounted on the wafer, there is no need for the critical alignment and vibration control that is required for the alternative approach of projection of multiple focused ion beams onto a scanned substrate. The exemplary embodiment illustrated in FIG. 2 utilizes a beam of positive ions. Depending on the application, the ions may be any material suitable for deposition, such as, for example, metal ions like nickel. Moreover, the selected ions may react with the substrate to form new material on the surface of the substrate at the focal points, e.g., oxidation. This reaction may facilitated by or occur in the presence of a selected gas, for example. Furthermore, the process may utilize ions of any material suitable for etching, such as, for example, argon. Similarly, the process may use any gas suitable for etching the substrate. Although ion beams are discussed in the previous exemplary embodiment, the system and method of the present invention may utilize any flux of charged particles. Other exemplary embodiments may utilize electrons, similar to the process of e-beam assisted deposition. In addition, depending on the desired application, exemplary embodiments of the present process may utilize simultaneous or sequential deposition of multiple metals of controlled composition. Other exemplary embodiments may utilize small metal catalyst particles, such as nickel, to grow orderly arrays of precisely positioned carbon nanotubes, for example. The process may also allow for alternating between different gasses, ions, and/or precursors to form multilayer structures. In other exemplary embodiments, the focused deposition could be followed by an unfocused “etch back” process to clear footers and other thinner imperfections around deposits and improve resolution. One exemplary embodiments may sequentially combine the etching and depositions steps. For example, nano-holes could be etched into a substrate and then without removing the substrate from the processing chamber, the etching gas could be turned off and power could be supplied to a target electrode to focus a deposit into the holes in a self-aligned manner. In another exemplary embodiment, the size of nano deposits with Gaussian-shaped profiles may be reduced. This reduction may be accomplished by an etch-back step with an unfocussed or focused ion beam. The etch-back step may be performed in the presence of a gas that would selectively etch the nano-deposit and not the substrate. This step may remove the edges of the deposits and thus reduce their size. In another exemplary embodiment, a nano-deposited pattern could be transferred to an underlying substrate by conventional plasma etching or by broad, unfocussed ion beam-assisted etching in the presence of an appropriate gas, such as chlorine for silicon etching, for example. Example of Fabrication and Use of Micro-Electrostatic Lenses The ability to focus ions into the bottom of the cylindrical holes or trenches from parallel “beamlets” of a collimated, broad area ion beam is useful in carrying out the above-discussed exemplary embodiment of nano-pantography. The beamlets are comprised of the ions that enter the tops of the holes. Each hole of the array acts as a micro lens, similar (except for their small size) to electrostatic lenses used in ion focused beams or mass spectrometers. The array can take any desired pattern and thus replicate any desired patterns. Each lens contains on average only 10−5 ions. Therefore the possibility of two ions occupying a lens at the same time and repelling each other is extremely remote. The following is an example of fabricating an array of lenses. Standard photolithography, thin film deposition, and etching methods may be used to fabricate arrays of ion-focusing micro-lenses (e.g. small round holes through a metal/insulator structure) on a substrate such as a silicon wafer. An array consists of small holes that can be arranged in any desired pattern. The micro-lens arrays may consist of circular openings in a chromium and SiO2 stack on a p-type, B-doped (5-25 Ω-cm) Si substrate. The silicon is subjected to standard thermal oxidation to grow a device-quality SiO2 film with a thickness of 1000 nm. A 50 nm Cr layer is deposited by electron beam evaporation, and a 200 nm thick layer of 950 kg/mol poly(methyl methacrylate) (PMMA) is spin-coated onto the chromium layer and baked at 180° C. for 1 hour. A periodic array of circular patterns is printed using ion beam aperture array lithography. In this process, a stencil mask, containing an array of circular openings in a thin membrane, is placed in a broad beam of energetic (30 keV) helium ions. The ions are either stopped in the opaque regions of the mask or pass through the openings to form an array of ion beamlets. The beamlets are scanned across the wafer surface to expose circles of arbitrary size in the PMMA in a massively parallel fashion. The stencil mask consists of a 0.5 μm thick silicon nitride membrane with 400 nm diameter circular openings and was used to define lens structures with diameters varying from 700 to 1100 nm. The PMMA is developed in a 1:1 solution of isopropyl alcohol (IPA):methyl-isobutylketone (MIBK), rinsed in IPA, and blown dry in nitrogen. The PMMA patterns are transferred into the underlying chromium layer using a standard wet etchant (CE-8002-A, Transene Company, Inc.) maintained at 35° C. The Cr patterns act as a mask for the reactive ion etching of SiO2 using 0.7 mTorr CHF3 at 85 W. The samples are then wet-etched in a 12.5% HF solution and rinsed in DI water to remove the native oxide on the Si and to undercut the SiO2 in an effort to minimize the possibility of sidewall charging. Electrical contacts are made to the Cr layer and Si substrate. At a bias of 150V on the Cr, the leakage current is typically <0.01 mA. Example of Ion Trajectory Simulation Results As discussed above, during the nano-pantography process, the ions are deflected by the spatially varying potentials, and with the proper conditions come to a focus at the bottom of the hole. To obtain the best focus, ion trajectory simulations may be performed to optimize the focal characteristics of the lens. FIG. 3 illustrates an exemplary embodiment of the lens array. The single element lens depicted in FIG. 3 is one of the simplest forms of lens that may be used. FIG. 3a shows a collimated broad area ion beam 330 interfacing with substrate 360 containing micro-lens arrays 365. Substrate 360 may be (or include) a conducting film. The lens 365 are defined by apertures in grid 340 connected to substrate 360 by spacer or insulator 350. Grid 340 may be a metal electrode. Spacer 350 contains selectively sized apertures positioned with respect to the apertures of grid 340 to define lens 365. Spacer 350 may be composed of dielectric material. As discussed above, the system is preferably calibrated such that ions from ion beam 330 are substantially focused at point 335 at the bottom of lens 365. FIG. 3b is a plan view of substrate 360 showing a small region of an array of lens holes with a small feature 370 deposited or etched in the center of each hole. Ions with a kinetic energy Ei pass through the grounded grid as they exit the source plasma and travel a total distance l where they encounter a metal electrode 340 at a potential Vm, with a thickness lm and having a through-hole of diameter dm. Ions with proper trajectories to pass through this hole then pass through a hole with a diameter dd in a dielectric material 350 of thickness ld. The dimensions of the hole 365 may be accordingly defined by both dd and dm. To eliminate the possibility of charging due to ions that may strike the wall, dd can be made >dm. The following example describes a lens with lm=50 nm thick metal 340 resting on top of ld=1000 nm thick insulator (silicon dioxide) 350 on a silicon substrate 360. The hole diameter is dm=dd=950 nm. The potential of the metal, Vm, is the control variable, while the substrate 360 is at the reference potential (Vs is approximately 100 V). The volumetric charge density in the region around a single lens 365 is negligibly small. Thus, the 2-dimensional Laplace equation is used to determine the potential and electric field profiles in the domain. A uniform flux of ions is launched at the entrance plane with the measured ion energy distribution. Using the electric profile, the 3-dimensional trajectory of each ion is computed by integrating Newton's equation of motion with a leap-frog method. Integration continues until ions strike a surface. FIGS. 4a-f further illustrate this preceding example. FIGS. 4a-b illustrate the schematic of a single lens 365, where lm=50 nm and ld=1000 nm. FIGS. 4c and 4e show the relative ion flux as a function of the distance from the center of the lens (and corresponding to the schematic of FIG. 4a). FIGS. 4d and 4f show relative Ar+ ion flux across the bottom of the lens (corresponding to FIGS. 4c and 4e, respectively). Where the ion beam axis is normal to the substrate surface, as shown in FIGS. 4c-d, the ion flux distribution along the radius at the bottom of a 950 nanometer diameter hole is sharply focused down to a full width at half maximum of 10 nm, which corresponds to a reduction factor of about 100 times compared to the hole diameter. If the ion beam axis is at an angle of 20° with respect to the surface normal, as shown in FIGS. 4e-f, the focal point is displaced by 160 nm with a full width at half maximum of 24 nm. The lens structure may incorporate additional features beyond those shown in the exemplary embodiment depicted in FIG. 3. For example the lens structure may incorporate trench openings in the metal and insulation layers. These trench formations may allow the formation of lines, e.g., nanowires. Example of Writing with Ion Beamlets The following example describes a lithographically defined Cr/SiO2/Si lens array with 700 to 1100 nm diameter holes exposed to a 200 eV or 100 eV Ar+ and a Cl2 effusive beam with an estimated Cl2:Ar+ flux ratio of 200:1. Under these conditions, Si may etch at a rate of 1.3 Si atoms per Ar+ at E=200 eV and 0.7 Si atoms per Ar+ at E=100 eV. The sputtering rate in the absence of Cl2 is typically much slower (0.3 and 0.1 Si atoms per Ar+ at E=200 and 100 eV, respectively). Spontaneous etching of Si by Cl2 is typically very slow at room temperature. Hence, etching generally only occurs at the focal points of the Ar+ beamlets. FIGS. 5a-c illustrate scanning electron micrographs (SEM) of an example pattern etched into Si with a lens array. A small portion of the array, a single lens, and two etched holes at the bottom of the lens are shown in FIGS. 5a-c. The larger (20 nm diameter) off-axis hole 510b corresponds to an estimated angle of approximately 20° between the ion beam and the wafer normal. The 10 nm diameter on-axis hole 510a corresponds to a separate etching with near normal incidence of the beam. The minimum spot size is quite sensitive to changes (±2V) in Vm, and to lens diameter. At the preferred voltage for a 950 nm diameter lens (196 V, with a Si substrate potential of 100 V, in this example), the beamlets focus at the center and etch holes with diameters as small as 10 nm, resulting in an approximate size reduction factor of 95 times. The SEM images are in excellent agreement with the size and shape of the on-axis as well as off-axis holes predicted from the previous example with respect to FIGS. 4c-f, discussed above. Example of a Nano-Pantography System FIG. 6 illustrates an exemplary embodiment of the nano-pantography apparatus of the present invention, indicated generally at 600. The nano-pantography apparatus consists of an ion beam source region 620, an ion “drift” region 640, and a wafer processing region 650. Source 610 provides power for apparatus 600. Source 610 is preferably a 13.56 MHz inductively-coupled plasma reactor. Matching network 615 may provide impedance matching. The radio-frequency inductive power is delivered through coil 665. Coil 665 is preferably a 3½″ diameter, 2-turn coil that is immersed in the plasma. Coil 665 is preferably fabricated from ¼″ o.d. nickel tubing and is water-cooled. Ions are extracted through an extraction grid 635 at the bottom of the plasma chamber 630. The plasma is pulsed “on” and “off”, preferably with a frequency of 5 kHZ and a 50% duty cycle. After plasma relaxation, a positive, constant voltage is applied to a beam acceleration ring 645 that surrounds the plasma region. The acceleration ring is preferably a 4.4″ diameter, 0.3″ high ring electrode. The plasma potential shifts to this applied voltage, allowing precise control over the ion beam energy. The extracted ion beam is filtered with a second grid 655, e.g., a rejection grid, located downstream that is pulsed positive to reject the beam extracted during the plasma “on” periods and pulled to ground to pass the nearly mono-energetic collimated beam extracted during the plasma “off” periods. In this exemplary embodiment of the present invention, a beam of Ar+ ions (or inert gas ions) is utilized. As discussed above, however, any appropriate flux of charged particles may be used, based on the particular application or materials selected. The sample 660 is exposed to the ion beam in a separate chamber 650. Chamber 650 is preferably 30″ downstream from the extraction grid 635 of the plasma source. Micro-lens array voltage source 665 provides voltage to the lens array. For this particular exemplary embodiment, beam 670 preferably provides a Cl2 effusive beam to impinge on the lens array to assist in etching the substrate. As discussed above, any appropriate gas may be used in the etching process, based on the particular application or materials selected. A drift region 640 is preferably included to reduce the angular spread of the ion beamlets that enter the micro-lens. The region 640 may also reduce the flux of neutrals, such as uncharged nickel atoms, for example, into the lens openings. Such uncharged species could deposit across most of the lens bottoms instead of predominantly at the focal points. The pressure in drift region 640 is lowered with appropriate vacuum pumps 642 to reduce the gas number density, such as only a small fraction of the ions collide with the background gas. FIG. 7 illustrates another exemplary embodiment of another plasma chamber that may be used for the nano-pantography system, shown generally at 700. System 700 is suitable for creating Ni nano-deposits. System 700 may receive nickel target 720 and includes nickel internal coil 710. System 700 includes high-voltage power supply 725 to provide power to high-voltage pulser 730. High voltage pulser 730 is connected to nickel lamp 740 and pulse generator 735. Nickel lamp 740 may emit a beam through lens 745 into ion beam source region 775 and through lens 770 to monochromator 765. Monochromator 765 is an optical device that transmits a selectable narrow band of wavelengths of light chosen from a wider range of wavelengths available at the input, e.g., beam emitted via lens 770. Monochromator 765 is connected to photomultiplier tube 760. Photomultiplier tube 760 detects the light signal transmitted by monochromator 765 and multiplies this signal. Both pulse generator 735 and photomultiplier tube 760 may be connected to lock-in amplifier 750. Amplifier 750 can extract the desired signal from the possible noise of the environment. Amplifier 750 is, in turn, connected to computer 755. Computer 755 may transmit and receive signals to and from system 700 for control and data collection purposes. In this deposition mode, both the Ni internal coil 710 and Ni target 720 are sputtered by Ar+ to produce Ni atoms, a fraction of which become ionized. The SEM and atomic force microscopy (AFM) images of the Ni deposits are illustrated in FIGS. 8 and 9. FIG. 8a shows a SEM of a 750 nm diameter single lens 800 with a Ni nano-deposit 815 shown inside area 810. FIG. 8b shows an expanded view of Ni nano-deposit 815. For the example depicted in FIGS. 8a-b, the plasma was run under conditions that maximized the concentration of Ni and Ni+ in accordance with the spectroscopic diagnostics. In this example, the ion landing energy was kept low (20 eV) to minimize sputtering by Ar+, which is present at higher fluxes than Ni+. For this example, the ion energy was 200 eV, Vm=197 V, and Vsub=180 V. The nano-deposits appear to be a continuous film of approximately 40 nm diameter surrounded by a region of smaller nuclei. FIGS. 9a and 9b show AFM and SEM images, respectively, of other examples of Ni nanodots 900 deposited in accordance with the present invention. A smaller Ni nanodot 910, with a diameter of 13 nm, is shown in FIG. 9b. FIG. 10 illustrates a schematic view of another exemplary embodiment of the lens structure of the nano-pantography system, indicated generally at 1000. Double lens structure 1000, located on the surface of substrate 1010, includes two stacked lens sections. A first section of double lens structure 1000 includes spacer 1015 and grid 1020. Grid 1020 includes an array of holes 145. Spacer 1015 contains aperture 1035. The second section of double lens structure 1000 includes spacer 1025 and grid 1030. Grid 1030 includes an array of micro-holes 150. Spacer 1025 contains aperture 1040. Preferably, aperture 1035 aligns with aperture 1040 in shape, dimension and positioning. Similarly, array of micro-holes 145 and 150 preferably align with each other in shape, dimension, and positioning. In one example of creating double lens structure 1000, a p-type highly doped silicon substrate (substrate 1010) may be covered by a 100 um thick plastic film (spacer 1015) containing a 0.5 cm hole (aperture 1035). This sheet may be covered with a metal grid (grid 1020) with an array of 150 μm holes in it (array of holes 145). A second 100 μm thick plastic film (spacer 1025) with a 0.5 cm hole (aperture 1040) may be placed over the grid (grid 1020) and aligned with the 0.5 cm hole on the first plastic film (aperture 1035). A second metal grid (grid 1030) with the same size hole and hole pattern (array of holes 150) as the bottom grid (grid 1020) may be positioned on the top of the second spacer (spacer 1025) and the micro-holes in this grid (array of holes 150) may be aligned with counterparts in the first grid (array of holes 145) under an optical microscope. This assembly may be placed into a vacuum chamber with a pressure of 4×10−5 torr and a 200 eV Ni ion beam extracted from a Ni+-containing plasma may be directed at the assembly. The voltages on the substrate, first grid and second grid may be set at 180 V, 190.4 V and 150 V respectively. After 3 hours of processing, both the grids and the plastic spacers may be removed. SEM measurements may be subsequently taken on the bare silicon substrate to evaluate the results. The results of this example are shown in FIG. 11. FIG. 11 is a SEM image of an exemplary embodiment of the silicon substrate 1100 with Ni deposits 1110. The bright oval-shaped hole array 1105 is the imprint of holes on the grid and the contrast was most likely due to the surface modification of energetic neutrals accompanying the ion beam. In addition, the holes 1105 may not be perfectly circular due to possible slight misalignments of the micro-holes of the two grids. Close to the center of each hole imprint 1105 is a Ni deposit 1110 with a diameter of approximately 10 μm and a height of approximately 10 nm, as measured by AFM. The present nano-pantography process has been described for creating nanodots and etching patterns on the surface of a substrate, and it will be apparent to one skilled in the relevant arts that the system and method of the present invention allows for the creation of complex formations including, but not limited to nanowires, nanotubes, nanodevices and nanocircuits. Furthermore, the available surface area of the micro-lens array may be utilized to incorporate larger scale circuitry to address and control the formations, such as nanodevices and nanocircuits, created within the holes of the micro-lens array. Although the foregoing invention has been described in detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the process and apparatus of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.
047740485
abstract
A modular tokamak system comprised of a plurality of interlocking moldules. Each module is comprised of a vacuum vessel section, a toroidal field coil, moldular saddle coils which generate a poloidal magnetic field and ohmic heating coils.
summary
051685132
claims
1. Apparatus for detection of alignment of an x-ray lithography system including an x-ray mask and a work piece with an alignment mark, comprising: a) an x-ray beam, means on said x-ray mask for focussing said x-ray beam on said work piece, b) (1) means for directing x-rays through said means for focussing at said alignment mark, (2) means for detecting when said means for focussing is aligned with the mark by emission of photoelectrons generated by the work piece in response to said x-rays and detecting a change of current when the x-ray beam crosses a feature on said alignment mark. a) including means on said x-ray mask for focussing an x-ray beam on said work piece, (b) directing x-rays through said means for focussing at said alignment mark for detecting when said means for focussing is aligned with said mark by emission of photoelectrons generated by said work piece in response to said x-rays and detecting a change of current when said x-ray beam crosses a feature on said alignment mark. 2. Apparatus in accordance with claim 1 wherein said alignment mark comprises an etched slot. 3. Apparatus in accordance with claim 1 wherein said alignment mark comprises a metal feature. 4. A method for detection of alignment of an x-ray lithography system including an x-ray mask and a work piece with an alignment mark, including the steps as follows: 5. A method in accordance with claim 4 wherein said alignment mark comprises a metal feature. 6. A method in accordance with claim 4 wherein said alignment mark comprises an etched slot.
059636106
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention generally relates to the field of diagnostic techniques for nuclear reactor subsystems in a nuclear steam supply system. More particularly, the present invention is directed to a control element drive mechanism (CEDM) in such nuclear power plants. 2. Description of the Related Art In a typical pressurized water nuclear power reactor, a plurality of control element assemblies with associated drive mechanisms (CEDMs) are supported on a nuclear reactor vessel for moving the control element assemblies into and out of the reactor core, for the purpose of controlling the gross power level, or the power distribution in the core. Typically, each control element assembly (CEA) has a shaft which is driven by a CEDM. Such CEDMs each include a plurality of electromagnetic coils having latches which engage grooves on the shaft of the CEA, whereby the shaft may be moved in step-wise fashion. The shaft terminates in a web or similar structure, which supports a plurality of individual control elements or rods sized to pass through openings within or between fuel assemblies which define a reactor core. A particular nuclear reactor may have dozens of CEAs, which are typically grouped or ganged in sets or four or eight, so as to move in unison when actuated by respective control element drive mechanisms. In the event of an emergency, the CEAs can be disengaged from their respective drive mechanisms, thereby falling under force of gravity into the reactor core to shut down the reactor power as quickly as possible. This free fall of a CEA is also known as a rod-drop. The position and movement of each CEA and, hence, its associated control rods, is typically sensed by a reed switch position transmitter which produces an analog position output signal that is sent to a safety control system during normal operation of the power plant. This signal can be used within the safety control system as part of a calculation to determine if the reactor should be tripped, thereby causing the rods to drop. Nuclear steam supply system plants such as those described above routinely perform post-refueling rod testing prior to power ascension. The testing normally consists of a CEDM operational test, withdrawal and insertion of the rods, a rod coupling verification test, a rod-drop test from a small height, and a full height rod-drop, on each CEDM. Such testing is normally performed at reactor operating temperature and pressure. Data is acquired during the CEDM operational tests and analyzed to verify that the nuclear steam supply system is fully operational before normal power ascension occurs. This data can then be used for troubleshooting or to generate outage reports according to governmental regulations. Further due to governmental regulations, the data acquired during CEDM operational testing must be archived for possible review in the future. In the related art, electromechanical data collection apparatus (typically analog strip chart recorders such as the Honeywell Visicorder) are utilized to record coil-current within the control element drive mechanisms and, optionally, the signal outputted from the reed switch position transmitters. Typically, the test data is collected in a "cable spreader" room in which the CEDM control cabinet is located. Since testing occurs on a periodic basis, test points for electrically connecting the data collecting apparatus are permanently provided in the control cabinet. However, data recorded in such a manner results in signal traces being displayed on long continuous rolls of paper which is expensive, bulky in storage and extremely difficult to manipulate when manual trace analysis occurs. Furthermore, such electromechanical systems are prone to mechanical failure thereby further increasing testing delays and increasing expense. Still another deficiency of the above-described electro-mechanical systems is that, due to the limited recording capability of such systems, CEDM operational testing must be performed on one CEA at a time. In particular, this is due to the fact that each drive mechanism typically employs four or five electromagnetic coils to position the associated CEA and one reed switch position transmitter is associated with each CEA. Thus, each CEDM operational test entails recording up to six parameters of data per CEDM tested. Because the known mechanical recording apparatus can only record six parameters at a time, all CEAs in a group (consisting of four or eight CEAs) cannot be tested simultaneously. Rather, multiple tests must be run (four or eight as the case may be) to verify performance of all of the drive mechanisms associated with the CEAs of the group. The above-described deficiencies of the related data acquisition systems, thus, include increased testing expenses, prolonged testing operations, difficulty in analyzing and storing recorded data and a likelihood of mechanical failure of the test equipment. Accordingly, there remains a need in the art for a CEDM data acquisition system which overcomes the aforementioned deficiencies by simplifying data gathering, storage, and manipulation for, e.g., troubleshooting, generation of outage reports, and data archiving. There remains an additional need in the art for an improved CEDM data acquisition system which overcomes the aforementioned deficiencies by permitting simultaneous recordation of data pertaining to all of the CEDMs in a CEA group while not requiring any modification of the nuclear power plant. There remains a further need in the art for a CEDM data acquisition system which overcomes the aforementioned deficiencies by providing continuous and interactive CEDM test monitoring on an easy to read and analyze color display, such monitoring occurring while the CEDM operational tests occur. There remains yet another need in the art for a CEDM data acquisition system which overcomes the aforementioned deficiencies of the related art by providing a test data display which has the capability to automatically change the parameters displayed upon the occurrence of a predetermined triggering event such as a rod-drop event. SUMMARY OF THE INVENTION It is accordingly an object of the present invention to provide an improved CEDM data acquisition system which provides simplified data gathering, storage and manipulation for, e.g., troubleshooting, generation of outage reports, and simplified data archiving. It is a further object of the present invention to provide an improved CEDM data acquisition system which permits simultaneous recordation of data pertaining to all of the CEDMs in a CEA group while not requiring any modification of the nuclear power plant. It is another object of the present invention to provide an improved CEDM data acquisition system which provides continuous and interactive CEDM test monitoring on an easy to read and analyze color display, such monitoring occurring while the CEDM operational tests occur. It is yet another object of the present invention to provide an improved CEDM data acquisition system which displays images of the acquired test data and has the capability to automatically change the parameters displayed upon the occurrence of a predetermined triggering event such as a rod-drop event. It is yet another object of the present invention to provide a CEDM data acquisition system for use in the CEDM operational testing of nuclear steam supply systems which offers an optimal combination of simplicity, reliability, efficiency, and versatility. These and other objects and advantages of the present invention are provided in one embodiment by providing a CEDM data acquisition system which (1) receives analog coil-current signals from control element drive mechanism coils; (2) conditions the analog signals to remove noise induced therein by the electronic circuitry associated with the control element drive mechanisms; (3) digitizes the conditioned analog signals; (4) displays the acquired test data; and (5) records the data for future use. The inventive data acquisition system preferably has the ability to simultaneously measure, display and record coil-current data for all of the five coils associated with each CEDM rod-group with respect to time. Further, the inventive data acquisition system preferably allows the user to monitor, display and record data for up to eight CEDMs simultaneously. It also allows the measurement of rod-drop times during rod-drop testing of the CEDMs. In the preferred embodiment of the inventive data acquisition system, the data from the CEDM is digitized following signal conditioning and is processed and displayed on a display screen in real time (i.e., as the CEDM operates). Preferably the display screen displays five coil-current traces versus time for a particular CEDM. These traces move horizontally through the screen in real time as the CEDM cycles to move the control rod at, e.g., about 0.75 inches (1.9 cm) per cycle. Preferably the operator can select that the traces pass continuously or, alternatively, that a particular display screen be frozen for study. The operator can select any one of, e.g., eight CEDMs (identified at the top of the display screen), which are grouped for simultaneous movement, and display and record all group related data, e.g., up to forty coils. The data from all of the coils of any CEDM can be stored and replayed as desired. At the operator's option, traces indicative of CEDM reed switch position versus time can also be displayed for analysis. These traces originate from reed switch position transmitters (RSPT) in the CEDMs. The operator analyzes the traces for timing, shape or anomalies in a manner similar to what is conventional for the analysis of strip chart traces of the related art. However, since the data is digitally recorded and, thus, easy to manipulate, data analysis, data storage and report generation are greatly simplified. One preferred aspect of the inventive data acquisition system includes the ability of the display to automatically change images upon the occurrence of a rod-drop event. For example, when the coil-current signal for a given CEDM coil deviates from a predetermined value by a predetermined amount, the display automatically switches from displaying five coil-current signals and a position signal, to displaying only the triggering coil-current signal and the position signal. Optionally, the subsequent display image can also include an "acceptance" coil-current trace superimposed on the two aforementioned signal traces to aid a user in analyzing the coil-current trace of the triggering coil. The signal conditioning portion of the inventive data acquisition system includes a noise suppression network preferably consisting of differential amplifies and low pass filters with high common mode rejection in order to suppress unwanted electrical noise originating in the CEDM power supply and to prepare the analog coil-current and position signals for digitization. Accordingly, the signal conditioning portion of the system also provides the electrical interface between a digital processing unit (such as a computer) and the control cabinet test points. Optionally, the signal conditioning portion of the system can include an isolation amplifier to ensure that voltage spikes, or other erroneous electrical signals, which may occur downstream in the system are not fed back to the control element drive mechanisms thereby creating the possibility of inadvertently triggering a rod-drop.
046474200
abstract
Systems and methods for inspection of nuclear fuel pins to determine fiss loading and uniformity. The system includes infeed mechanisms which stockpile, identify and install nuclear fuel pins into an irradiator. The irradiator provides extended activation times using an approximately cylindrical arrangement of numerous fuel pins. The fuel pins can be arranged in a magazine which is rotated about a longitudinal axis of rotation. A source of activating radiation is positioned equidistant from the fuel pins along the longitudinal axis of rotation. The source of activating radiation is preferably oscillated along the axis to uniformly activate the fuel pins. A detector is provided downstream of the irradiator. The detector uses a plurality of detector elements arranged in an axial array. Each detector element inspects a segment of the fuel pin. The activated fuel pin being inspected in the detector is oscillated repeatedly over a distance equal to the spacing between adjacent detector elements, thereby multiplying the effective time available for detecting radiation emissions from the activated fuel pin.
H00004464
description
DETAILED DESCRIPTION OF THE INVENTION The effects of polarizing the various constituents of the four hydrogen isotope reactions will be discussed below. EQU D+T.fwdarw.He.sup.4 +n (3) In the case of a magnetic D-T reactor the fractions of D nuclei polarized parallel, transverse, and antiparallel to the plasma confining magnetic field B are denoted by d.sub.+, d.sub.o and d.sub.-, respectively, while the corresponding fractions of T nuclei are denoted by t.sub.+ and t.sub.-. Then the total nuclear cross-section for this reaction is: ##EQU1## where a=d.sub.+ t.sub.+ +d.sub.- t.sub.-, b=d.sub.o, c=d.sub.+ t.sub.- +d.sub.- t.sub.+, and f is the probability that the D-T reaction goes through the resonant 3/2+state of He.sup.5, where f&gt;0.95. For an unpolarized plasma, a=b=c=1/3 so that .sigma.=2/3.sigma..sub.o. If all the nuclei are polarized parallel to B (d.sub.+ =1, t.sub.30 =1, d.sub.o =d.sub.- =t.sub.- =o), then a=1, b=c=o and .sigma.=f .sigma..sub.o, so that the enhancement of reactivity is 3/2f, nearly 50% faster. The resultant angular distribution of the neutrons and alpha particles emitted in the D-T reaction are: ##EQU2## where .theta. is the pitch angle relative to B. If all the nuclei are polarized parallel to B, the angular distribution of the neutrons and alphas is sin.sup.2 .theta.. The advantage of this mode of polarization is that neutrons will pass through the surrounding reactor walls more nearly perpendicularly than in the unpolarized case, with correspondingly reduced first-wall damage and heating. This is especially useful in the particular case of a mirror machine: the neutron flux to the end plugs can be reduced and the fraction of alpha particles trapped in the mirror field can be enhanced. In an alternate polarization mode d.sub.o =1, the D nuclei are polarized nearly transverse to B, there is no enhancement to .sigma., but the alpha particles and neutrons are emitted preferentially along B. This mode of polarization may be particularly useful in a tokamak reactor, where fusion energy multiplication is less critical than in a mirror machine, so that enhancement of .sigma. is less important. Parallel emitted alpha particles are easier to contain in a tokamak reactor and make a more favorable contribution to MHD stability. Reduction of the neutron flux striking the small-major-radius side also simplifies tokamak blanket design. EQU D+D.fwdarw.He.sup.3 +n (1) EQU D+D.fwdarw.T+H (2) The D-D reactions were analyzed using the data of Ad'Yasevich and Fomenko (Sov. Jour. Nucl. Physics 9, 167, 1969) based on their experiment involving a polarized beam of deuterons incident on an unpolarized target. In a D-D reactor the spin dependent cross sections have a complicated dependence on energy and enhancements of the reactions (1) and (2) are different. For ordinary thermal ion distribution, enhancements of order 2 (increase of 100%) can be obtained by polarizing the D nuclei transverse to B. If colliding-beam or beam-target methods are used, one beam should be polarized parallel to B and the other beam should be polarized antiparallel to B, also giving enhancement of the order of 2. If, on the other hand, all the D nuclei are polarized parallel to B, the D-D reaction is suppressed by the order of 1/20, a decrease of 90%. EQU D+He.sup.3 .fwdarw.He.sup.4 +H (4) The D-He.sup.3 reactor is nearly identical to the D-T reactor with different values of .sigma..sub.o and f. This reaction can also be enhanced by 1.5 (50% ) when the D nuclei are polarized parallel to B. This particular reaction is important because the D-D reaction would be suppressed while the D-He.sup.3 reaction is enhanced, approximating a neutron-free fusion reactor, without resorting to exotic fuels such as p-Li. EXAMPLE There are several ways to make a polarized fusion reactor plasma. The starting point is polarized atomic hydrogen, deuterium, or tritium gas that has been made by the optical pumping method described by N.D. Bhaskar et.al., in Phys. Rev. Lett. 49, 25, 1982. In this method, the electron of a, say, deuterium atom is polarized by spin-exchange with an alkali-metal vapor, such as rubidium, that has been polarized by means of a dye laser. This is followed by polarization of the nucleus of the deuterium atom through interaction with its polarized electron in a moderate strength magnetic field. There are several methods for introducing the now polarized gas into a fusion reactor. The reactor could be fueled directly. Starting with a volume of some tens of cubic centimeters of atmospheric pressure deuterium in a field of less than one kG, the gas can be puffed into the vacuum vessel of a fusion machine through a piping system that is maintained in a magnetic field of one kG or more. The gas is then ionized and heated in the usual way to form a plasma. An alternative method to introduce polarized plasma particles into the reactor is to inject a polarized neutral beam into the plasma, or the fuel could be injected as polarized ion beams along the plasma confining magnetic field. Also, injection of polarized hydrogen pellets may prove useful.
060410914
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the invention will be described hereunder with reference to the accompanying drawings. For the same members or elements as those described above regarding the conventional art are assigned with the same reference numerals and detailed description thereof is omitted here. FIG. 1 represents a first embodiment of a control rod for a nuclear reactor of the present invention, in which FIG. 1A corresponds to FIG. 21A and FIG. 1B corresponds to FIG. 21B, respectively. With reference to FIG. 1, in a long-life type control rod 16, a long sheath 7 made of SUS having a deep U-shaped cross-section houses a plurality of pairs, arranged in a row in a longitudinal direction, of Hf plate pairs 14 each comprising two neutron absorber Hf plates 10 as a pair, arranged opposite to each other with a water gap 11 therebetween, formed by load supporting members 12 made of SUS, serving as integral type neutron absorbing elements. These plurality of Hf plate pairs 14 form a wing 17 held by the sheath 7 via a load supporting members 12 of the character mentioned hereinbefore with reference to the conventional art. The hafnium has a number of its isotopes each absorbs neutrons successively to cause an isotope shift, so that although a neutron absorption cross section of each isotope is smaller than that of boron, as a whole, the neutron absorption cross section is large, and when the hafnium is used as a neutron absorber, it provides a large nuclear life time, thus achieving a long life time. A leading end structural member 4 formed integral with a handle 3 is provided at an insertion leading end of the wing 17, and a terminal end structural member 5 is provided at an insertion terminal end thereof. In the control rod 16, an opening portion of four U-shaped sheaths 7 are fitted and fixed to projecting portions in four directions of a center structural member 6 having a cross shape in horizontal (cross) section to thereby form the wing 17 having the cruciform cross section. The center structural member 6 is formed as an integral-type center structural member integrally fixed to the leading end structural member 4 and the terminal end structural member 5. An independent-type center structural member disposed with spaces in the longitudinal direction of the control rod may be used in place of the integral-type center structural member 6. In any case, the center structural member 6 constitutes a central tie rod and four wings are fixed by means of welding with an interval of the center angle of 90.degree.. When the sheath longitudinal length L in the inserting direction into the reactor core is divided equally into eight sections, as in the conventional case shown in FIG. 20A, the Hf plate 10 serving as a neutron absorber plate in the integral type neutron absorbing element (neutron absorber) would have a length of about L/8. The Hf plate 10 usually comprises hafnium metal or an alloy prepared by diluting with zirconium (Zr) or titanium (Ti). In the control rod 16, as shown in FIGS. 1A and 1B, each of the two Hf plate pairs 18 from the insertion leading end from among the plurality of Hf plate pairs 14 is divided equally into two Hf plates 10a and 10b each having a length of about l/2. The Hf plate pairs 18 on the insertion leading end side are respectively held by four load supporting members 12 also serving as a interval maintaining spacer. More specifically, in the two pairs of Hf plates 18 on the side of the insertion leading end, one side of one Hf plate pair 18 comprises upper and lower Hf plates 10a and 10b, which is composed of four Hf plates 10a and 10b in total, arranged opposite to each other via the load supporting members 12. As shown in FIG. 1C, the wing 17 attaches a support shaft 12b of the load supporting member 12 to each of four attachment holes 13 pierced in the Hf plates 10a and 10b arranged opposite to each other to ensure a water gap 11 by means of a central gap maintaining portion 12a. Further, the support shaft 12b is engaged with a sheath hole (bore) 8 of the sheath 7, which are secured by means of welding to form the Hf plate pair 18. The load of these two Hf pairs 18 and the six Hf plate pairs 14 are held by the sheath 7. The operation of the first embodiment of the control rod of the above-mentioned structure will be described below. The Hf plate 10 serving as the neutron absorber plate in the integral-type neutron absorber housed in the sheath in each wing 17 of the control rod 16 has a larger thickness on the insertion leading end side than on the insertion terminal end side. In the conventional configuration, therefore, the load per one load supporting member 12 is larger with a local stress around the sheath hole 8 and the load bearing on the sheath 7 as compared with the insertion terminal end side. In the two Hf plate pairs 18 on the insertion leading end side, however, since the Hf plates 10a and 10b are formed each to have a length of about l/2 that of the Hf plates 10 of the other Hf plate pairs 14, the weight of each thereof results in a 1/2 weight. In addition, the load supporting members 12 supporting the Hf plates 10a and 10b and transmitting the weight to the U-shaped sheath 7 are in a number of four, the same number of the Hf plates 10 located on the insertion terminal end side. The load bearing per one Hf plate and the local load at the U-shaped sheath 7 securing the load supporting members 12 are reduced to a half that in the conventional case, thus dispersing the local load concentrically acting on the load supporting member 12. As a result, the mechanical strength of the control rod is improved together with that of the U-shaped sheath 7. Since the sheaths 7 arranged on both the sides of the load supporting member 12 are secured by means of welding, the mechanical and physical strength of the wing 2 as well as the sheaths 7 can be achieved, resulting in the improvement of the mechanical and physical strength of the control rod 16 by increasing the load supporting members 12. In the above-mentioned first embodiment of the present invention, the case where only the two pairs of Hf plates 18 from the insertion leading end of the control rod 16 is equally divided into two parts in the axial direction has been described. It is however easy to change the design as to the number of Hf plate pairs 14 to be divided into Hf plate pairs 18 from the insertion leading end and as to the ratio of division in the sheath longitudinal direction. In the control rod 16 for the nuclear reactor, it is possible to design it by selecting optimum conditions by taking account of the thickness associated with the weight of the Hf plates 10, thickness associated with mechanical and physical strength of the sheath 7, period of use and anticipated number of scrams, the anticipated intensity and number of earthquakes. For example, when it is divided into eight sections in the axial direction as shown in FIG. 20B, the first embodiment of the present invention covers a method of dividing up to five pairs from the insertion leading end being equally divided into six to seven. In view of the fact that, with a length longer than a half of the total length, stress in an earthquake or the like tends to be maximum near the central portion relative to the total length of the control rod 16, it is possible to select a construction capable of withstanding a stress such as an earthquake by improving the mechanical and physical strength at a portion near the central portion thereof. In the wing 17 of the control rod 16 shown in FIGS. 1A and 1C, the short Hf plate pair 18 are lodged on the insertion leading end side and the long Hf plate pair 14 having a length longer than the Hf plate pair 18 are lodged on the insertion terminal end side. The interval between the two Hf plates 10a, 10b of the Hf plate pair 18 is maintained by the interval maintaining portion 12a of the load supporting member 12 to thereby ensure the water gap (cooling water passage) 11. The load supporting member 12 has both side support shafts 12b which penetrate attachment holes 13 of the Hf plates 10a and fitted to sheath holes 8 of the U-shaped sheath 7 and then fixed by means of welding. The thickness of the gap portion 12a of the load supporting member 12 should be sufficient to maintain a water gap 11 between two Hf plates 10a and 10a arranged opposite to each other and to achieve a satisfactory strength of the sheath 7, thus forming a control rod of the trap construction. A margin should be provided between the support shaft 12b of the load supporting member 12 and the attachment hole 13 of the Hf plate 10a so that a difference in thermal expansion between the load supporting member 12 made of SUS and the Hf plate 10a does not impair mutual thermal expansion. The diameter of the attachment hole 13 should be larger than the diameter of the support shaft 12b. The thermal expansion and thermal contraction in the heat cycle in the reactor operation are repeated and the thermal expansion difference is caused by the formation of the wing 17 of the control rod 16 by several different materials. However, according to the control rod of this embodiment, since a margin is maintained in the attachment hole 13 to which the support shaft 12b of the load supporting member 12 is loosely fitted, it is possible to eliminate an adverse effect of stress caused by the difference in thermal expansion. Further, when urgently inserting the control rod 16 into the reactor core in a reactor scram or the like, an impact is exerted onto the support shaft 12b of the load supporting member 12 which supports the integral type neutron absorber Hf plate and then transmitted to the sheath 7. If the strength of the sheath 7 is insufficient, there may occur a trouble in soundness of the sheath 7. On the insertion leading end side where the load bearing per load supporting member 12 is conventionally large, however, load bearing of the load supporting member 12 and local load onto the sheath 7 are largely alleviated in the invention, thus permitting improvement of soundness. Because the possibility of the occurrence of the trouble depends also upon the extent of impact onto the control rod and the number of impacts, a particularly remarkable effect can be achieved in a long-life type control rod used for a longer period of time in the nuclear reactor. In the above-mentioned first embodiment of the invention, the control rod 16 of the trap type structure in which two Hf plates 10 are arranged opposite to each other with the water gap 11 has been presented. The described functions and effects are not limited to the control rod of the trap structure. This is also the case with the second and subsequent embodiments of the present invention which will be described hereinlater. FIG. 2 represents the second embodiment, in which FIG. 2 is a partially cutaway enlarged front view, FIG. 2B is an enlarged front view of an Hf plate pair and FIG. 2C is a sectional view of FIG. 2B taken along the line IIC--IIC, and FIG. 2A to 2C corresponds to FIGS. 1A to 1C illustrating the first embodiment. Therefore, in the following description, only the difference of the second embodiment from the first embodiment will be mainly described. For the other structures, since the functions and effects are the same as in the first embodiment, description thereof is omitted here. In the control rod 19, as shown in FIG. 2A, from among the plurality of Hf plate pairs 14 serving as neutron absorber plates in the integral type neutron absorber forming a wing 20, the two Hf plate pairs 21 from the insertion leading end are equally divided into two in the width (w) direction at right angles to the sheath longitudinal direction, and the Hf plates 10c and 10d having a width of about w/2 are held by the sheath 7 via the four load supporting members 12, respectively. More specifically, as shown in FIG. 2B, the two Hf plate pairs from the insertion leading end comprise four Hf plates 10c and 10d in total, arranged opposite to each other via the load supporting members 12, one Hf plate pair 21 comprising right and left Hf plates 10c and 10d on one side, and the water gap 11 is formed between the Hf plates 10c, 10c (10d, 10d) to maintain the interval therebetween. The wing 20 comprises, as shown in FIG. 2C, the Hf plates 10c and 10c arranged opposite to each other and the support shafts 12b of the load supporting members 12 penetrating the four attachment holes 13 pierced in the Hf plates 10d and 10d and fitted to the sheath holes 8 of the U-shaped sheath to thereby maintain a water gap 11 by means of the gap (interval) maintaining portion 12a. Further, the weight of the two Hf plate pairs 21 is held, together with the six Hf plate pairs 14, by the sheath 7 by engaging the support shaft 12b with the sheath hole 8 of the U-shaped sheath 7 and securing the same by means of welding. With the control rod 19, when dividing the Hf plate 10 in the width direction into a plurality of Hf plates 10c and 10d, the outer Hf plate 10d is made thicker and the Hf plate 10c on the integral type center structural member 6 is made thinner because the outer edge of the wing 20 is more important from the point of view of reactivity of neutron absorption, and the amount of neutron irradiation is increased. The second embodiment includes another example which covers a structure in which an Hf bar serving as a bar-shaped integral type neutron absorbing element not shown is inserted between the inner wall of the U-shaped sheath 7 and the Hf plate 10d, on the outermost edge of the wing 20 in the control rod 19 shown in FIG. 2. The control rod 19 having the structure mentioned above will operate as follows. The Hf plate 10 serving as a neutron absorber plate in the Hf plate pair 14 in the proximity of the insertion leading end is thick and therefore heavy. In this Hf plate pair 21, the Hf plates 10c and 10d having a width of w/2 relative to the width w in a direction at right angles to the inserting/withdrawing direction of the control rod are supported by the four load supporting members 12, respectively. As a result, as in the first embodiment described above, there would be eight load supporting members 12 for the Hf plate pair 21, twice as many. The weight and impact load borne by a single load supporting member are reduced to a half. The supporting ability of the load supporting member 12 in the Hf plate pair 21 is therefore doubled as compared with the supporting ability of the load supporting members 12 in the Hf plate pair 14 located on the terminal end side. Because the Hf plate 10d on the outer edge of the wing 20 exposed to the most serious neutron irradiation is made thicker than the Hf plate 10c on the side of the integral type center structural member 6, a remarkable reactivity effect can be attained in the neutron absorption. As a result, a high reactivity value of the control rod is obtained with the same quantity of Hf, contributing also to improvement of the strength of the control rod. That is, outside the wing having a lower mechanical strength than that of the integral type center structural member 6, the Hf plate 10d is made thicker with a width not exceeding w/2, and load supporting members 12 in the same number are attached. It is possible from this result to improve the strength by means of the Hf plates 10c and 10d and to improve the strength and the reactivity value by means of the load supporting members 12. When it is not necessary to improve reactivity value, the Hf plate 10 may be thinner. It is therefore possible to alleviate the impact load onto the sheath 7 through the load supporting members 12 under the effect of weight reduction, thus permitting further improvement of the soundness of the sheath 7 and mechanical strength of the control rod 19. In a further example, an Hf bar provided on the outer edge of the wing 20 in the control rod 19 may increase the neutron absorbing ability per unit length in the width direction as compared with the other portions, which brings about the effects of reducing the width of each Hf plate 10 as in the above example to reduce the weight and of improving the mechanical strength of the sheath 7 on the outermost edge of the wing 20 from the Hf bar, thus permitting further improvement of the soundness of the sheath 7 and the strength of the control rod 19. FIG. 3 represents the third embodiment of the present invention, in which FIG. 3A is a partially cutaway enlarged front view, FIG. 3B is an enlarged front view of an Hf plate pair and FIG. 3C is a sectional view of FIG. 3B taken along the line IIIC--IIIC. FIGS. 3A to 3C correspond to FIGS. 2A to 2C illustrating the second embodiment. The following description of the third embodiment will only describe the different structures or the like from the second embodiment. For the other structure or construction, the functions and effects are substantially the same as in the first and the second embodiments described above, and the description thereof is omitted here. In the control rod 22, as shown in FIG. 3A, from among the plurality of Hf plate pairs 14 serving as integral neutron absorbing elements forming the wing 23, the two Hf plate pairs 24 from the insertion leading end into the reactor core are equally divided into two in the width (w) direction at right angles to the sheath longitudinal direction, and the Hf plates 10c and 10d having a width of about w/2 are held by the U-shaped sheath 7 through the four load supporting members 12, respectively. As shown in FIGS. 3B and 3C, a wider space than that in the second embodiment described above is provided between the Hf plates 10c and 10d arranged in the width direction in the same wing 23 in the Hf plate pair 24. An Hf bar 25 serving as a neutron absorber is inserted into this wide space and a stiffener 26 (containing a neutron absorber) comprising a special tube having ridges projecting every 90.degree. of the outer periphery is arranged. This stiffener 26 is secured by means of welding to the sheathes 7 on both the sides at the projecting ridges. In this configuration, both the sides of the long sheath 7 having a deep U-shaped cross-section are linearly secured through the location of the stiffener 26 inside substantially at the intermediate portion thereof, thus remarkably improving the mechanical strength as the wing 23 together with the sheath 7. Under the effect of the Hf bar 25 and the like inserted into the stiffener 26, the reactivity value as the control rod 22 does not almost decrease. The same functions and effects as those of the second embodiment can be attained by making the Hf plate 10d on the outer side in the width direction of the wing 23 in the U-shaped sheath 7 thicker than the Hf plate 10c on the integral type center structural member 6. FIG. 4 represents the fourth embodiment of the control rod of the present invention, in which FIG. 4A is an enlarged front view of a wing, partially cut away, and FIG. 4B is a sectional view taken along the line IVB--IVB in FIG. 4A. In the control rod 27, a long sheath 28 made of SUS having a deep U-shaped cross-section houses a plurality of sets of Hf plate pairs 14 each comprising two long-life type neutron absorber Hf plates 10 arranged opposite to each other with a water gap 11 formed by the load supporting member 12 made of SUS, which serve as an integral type neutron absorbing elements. The plurality of Hf plate pairs 14, the load of which is supported by the U-shaped sheath 28 through the load supporting members 12, form a wing 29 of the control rod 27. With this control rod 27, a leading end structural member 4 integral with a handle and a terminal end structural member 5 are provided at the insertion leading end and the terminal end into the reactor core of the wing 29, respectively, and the openings of the U-shaped sheathes 28 in these four wings 29 engage with projections projecting in four directions each forming an angle of 90.degree. with the adjacent ones of the integral type center structural members 6 and secured thereto, thus the control rod 29 providing a cruciform cross-section. In the control rod 27 of this embodiment, the Hf plate 10 is accommodated in the wing 29 and the Hf plate 10 is mounted to the U-shaped sheath 28 by means of the load supporting member 12 to thereby support the same. A pitch Ps1 in the sheath longitudinal direction which is the insertion/withdrawal direction into the reactor core, of sheath holes 30a and 30b pierced in the sheath 28 engaging with, and secured to, the support shaft 12b of the load supporting member 12 on the integral center structural member 6 side of the wing 29 are prepared into sizes shorter by 2 times (2.delta.) where .delta. is a shift exceeding the range of manufacturing tolerance, than a pitch Ph of attachment holes 13, 13a and 13b pierced in the Hf plate 10 and the sheath 28 on the side of the wing width direction. That is, in the control rod 27, the central axis of the sheath hole 30a of the U-shaped sheath 28 is shifted by .delta. downward relative to the upper attachment hole 13a, and the central axis of the sheath hole 30b thereof is shifted by .delta. upward relative to the lower attachment hole 13b. As a result, the support shaft 12b of the load supporting member 12 engaging with and secured to the sheath holes 30a and 30b on the side of the integral type center structural member 6 (inside the wing width direction of the wing 29) and the attachment holes 13a and 13b of the Hf plate 10 to be inserted and fitted thereto are arranged eccentrically. According to such eccentric support manner, large gaps are hence produced above the upper attachment hole 13a and below the lower attachment hole 13b, and on the other hand, the gaps below the upper attachment hole 13a on the opposite side and above the lower attachment hole 13b become smaller. By providing a gap by making the diameter of the attachment hole 13 of the Hf plate 10 larger than the diameter of the support shaft 12b of the load supporting member 12, a margin is provided so that there occurs no trouble of interference and unnecessary stress caused by a difference in the thermal expansion between the load supporting member 12 and the Hf plate 10 resulting from the difference in the thermal expansion due to the difference of the materials along with the heat cycle of the nuclear reactor operation. The control rod 27 of the fourth embodiment having the structure mentioned above will operate as follows. Upon a rapid driving or stoppage of the control rod, there may cause a case where an impact load is applied, in addition to the weight of the Hf plate, to the U-shaped sheath 28 through the load supporting member 12. When the attachment hole 13 of the Hf plate 10 and the sheath longitudinal pitch Ph of the sheath hole 8 of the U-shaped sheath 28 are equal in size, as in a conventional case, even if a margin is provided taking account of the difference in expansion, vertical displacement of the Hf plate prepared within a range of manufacturing tolerance would cause, in the worst case, a not-specified particular one load supporting member 12 to receive stress. Because of this reason, in the U-shaped sheath 28, there may cause an adverse case in which a large stress is concentrically applied to a portion near the sheath hole 8 securing that load supporting member 12. In the control rod 27 shown in FIG. 4, however, the impact load, which is generated when the Hf plate 10 relatively displaces by an inertia upward, i.e. in the inserting direction of the control rod, is such that, in the control rod leading end direction (upward) of the Hf plate 10, the lower edge of the attachment hole 13a on the integral type center structural member 6 side comes into contact with the lower portion of the supporting shaft 12b of the load supporting member 12 having a small gap, but there is only a small impact load because the distance of inertia displacement is short. As a result, a relatively small stress occurs near the sheath hole 30a of the sheath 28 to which the load supporting member 12 is secured. However, no stress occurs at the sheath hole 30b on the side of the integral type center structural member 6 in the other control rod terminal end direction (downward) or near the sheath hole 8 on the outer side of the wing width direction. When the Hf plate 10 is inertia-displaced in the downward direction, i.e. in the terminal end direction of the control rod, the upper edge of the attachment hole 13b on the side of the integral type center structural member 6 comes into contact, in the control rod terminal direction (downward) of the Hf plate 10, with the upper portion of the support shaft 12b of the load supporting member 12 with a small gap, and a relatively small impact load is then applied. As a result, a relatively small stress occurs near the sheath hole 30b of the sheath 28, whereas no unnecessary stress is generated on the sheath 28 near the other three sheath holes 8 and 30a, and the stress is dispersed. It is considered appropriate to use a shift amount of .delta. within a range of from 0.5 to 1.5 mm, exceeding the overall manufacturing tolerance of, for example, 0.5 mm. In the control rod 27 of this embodiment, the sheath longitudinal pitch Ps1 of the sheath holes 30a and 30b is slightly shifted from the pitch Ph of the sheath hole 8 and the attachment holes 13, 13a and 13b to set the stress generating place along with the inertia-displacement of the Hf plate 10 on the side of the integral type center structural member 6. The reason is as follows. In the long sheath 28 having a deep U-shaped cross-section, the side secured to the integral type center structural member 6 has a higher mechanical strength in general than the side apart from the integral type center structural member 6. This may however be accomplished for the sheath hole 8 on the side far from the integral type center structural member 6, with the same functions and effects. In this fourth embodiment, furthermore, it is possible to specify two load supporting members 12 in the Hf plate pair 14 to receive a local impact load applied onto the sheath 28 upon relative movement by the inertia of the Hf plate 10 in relation to the insertion/withdrawal operation of the control rod 27 into and from the reactor core. Consequently, it is possible to take countermeasures such as a local reinforcement or administration of the manufacturing tolerance with due regard to the attachment hole 13 of the Hf plate 10 of the load supporting member 12, the proximity thereof and the sheath 28, thus permitting further extension of service life of a long-life type control rod. Furthermore, an impact load can be shared certainly by two points, not by one point, and the soundness and reliability of the sheath 28 and the control rod 27 can be also improved by selecting an appropriate size of the shift amount .delta.. FIG. 5 represents the fifth embodiment of the control rod of the present invention, showing an enlarged front view of the wing. A control rod 32 of this fifth embodiment has substantially the same structure as that of the foregoing fourth embodiment, but differs from the fourth embodiment in that, while, from among the attachment holes 13, 13a and 13b in the Hf plate 10, the sheath longitudinal direction which is the insertion/withdrawal direction of the control rod into and from the reactor core is set as the pitch Ph, and the pitch Ps2 of the sheath holes 35a and 35b engaging with and securing to the support shaft 12b of the load supporting member 12 on the side of the integral type center structural member 6 of the sheath 33 is longer by 2 times (2.delta.) where .delta. is a shift amount within a range exceeding the manufacturing tolerance, than the pitch Ph. More specifically, the sheath hole 35a is shifted by .delta. above the upper attachment hole 13a, and the sheath bore 35b of the U-shaped sheath 33 is shifted by .delta. below the lower attachment hole 13b, respectively. According to this arrangement, there is a large gap between the support shaft 12b of the load supporting member 12 fitted to the sheath holes 35a and 35b on the side of the integral type center structural member 6 and the attachment holes 13a and 13b of the Hf plate 10 to be secured thereto, below the upper attachment hole 13a and above the lower attachment hole 13b. In the structure described above, the support shaft 12b of the load supporting member 12 on the side of the integral type center structural member 6 is shifted by .delta. toward the leading end side on the insertion leading end of the control rod 32 and by .delta. toward the terminal end side on the insertion terminal end, relative to the pitch Ph of the attachment holes 13a and 13b of the Hf plate 10. When the Hf plate 10 displaces by the inertia in a relatively upward direction and, therefore, an impact load is applied to the U-shaped sheath 33 from the support shaft 12b of the lower load supporting member 12 through the sheath hole 35b of the sheath 33, and when the Hf plate 10 displaces by the inertia downward, the impact load is applied to the sheath 33 from the upper support shaft 12b through the sheath hole 35a. There occurs no stress to the sheath 33 near the other three sheath holes 8, 35a and 35, but the stress is dispersed as mentioned with reference to the fourth embodiment, and the other functions and effects are also the same as those attained by the fourth embodiment. FIG. 6 represents a sixth embodiment of the control rod of the present invention, showing an enlarged front view of the wing. A control rod 37 of in FIG. 6 has substantially the same structure as that of the fourth embodiment, but it is different from the fourth embodiment in that the pitch between the sheath holes 8a and 8b on the side of the integral type center structural member 6 in the sheath 7 of the wing 38 is set as pitch Ph in the sheath longitudinal direction, i.e. control rod insertion/withdrawal direction into or from the reactor core. For an Hf plate 39, in contrast, the attachment holes 40a and 40b to be fitted to the support shaft 12b of the load supporting member 12 on the integral type center structural member 6 side are configured to have a pitch Ps3 elongated by 2 times (2.delta.) where .delta. is a shift amount within a range exceeding the manufacturing tolerance so that the attachment hole 40a is shifted toward the insertion leading end of the control rod 37, and the attachment hole 40b, toward the insertion terminal end. More specifically, the attachment hole 40a is shifted by .delta. upward relative to the upper sheath hole, and the attachment hole 40b, downward relative to the lower sheath hole. As a result, there is a large gap between the support shaft 12b of the load supporting member 12 secured to the sheath holes 8a and 8b on the integral type center structural member 6 side and the attachment holes 40a and 40b of the Hf plate 39 to be secured thereto, above the upper attachment hole 40a and below the lower attachment hole 40b, as in the above-mentioned fourth embodiment. In the structure described above, as in the fourth embodiment, upon upward relative inertia displacement of the Hf plate 39, the lower edge of the upper attachment hole 40a comes into contact with the lower portion of the support shaft 12b of the upper load supporting member 12, so that an impact load is applied to the U-shaped sheath 7 through the sheath hole 8a. Upon the downward inertial displacement of the Hf plate 10, the upper edge of the lower attachment hole 40b comes into contact with the upper portion of the support shaft 12b of the lower load support member 12, so that the impact load is applied from the supporting shaft 12b to the U-shaped sheath 7 through the sheath hole 8b. Consequently, a stress is produced near the sheath holes 8a and 8b of the U-shaped sheath 7, and no stress occurs near the other three sheath bores 8, 8a and 8b. According to this sixth embodiment, substantially the same functions and effects as those of the fourth embodiment will be attained. FIG. 7 represents the seventh embodiment of the control rod of the present invention, showing an enlarged front view of a wing partially cut away. A control rod 42 of the seventh embodiment has substantially the same arrangement as that of the sixth embodiment mentioned above, but differs from the sixth embodiment in that the pitch between the sheath holes 8a and 8b on the side of the integral type center structural member 6 in the U-shaped sheath 7 of the wing 43 is set as a pitch Ph in the sheath longitudinal direction which is the control rod insertion/withdrawal direction of the control rod into and from the reactor core. For a neutron absorber Hf plate 44 in the integral type neutron absorbing element, in contrast, from among the attachment holes 45a and 45b attached to the supporting shaft 12b of the load supporting member 12 on the integral type center structural member 6 side, the attachment 45a is formed with a pitch Ps4 reduced by 2 times (2.delta.) where .delta. is a shift amount within a range exceeding the manufacturing tolerance, in the insertion terminal end direction of the control rod 42, and the attachment hole 45b, in the insertion leading end direction. According to this arrangement, the attachment hole 45a is shifted by .delta. downward relative to the upper sheath hole 8a, and the attachment hole 45b, upward relative to the lower sheath hole 8b. As a result, there is a large gap between the supporting shaft 12b of the load supporting member 12 secured to the sheath holes 8a and 8b on the side of the integral type center structural member 6 and the attachment holes 45a and 45b of the Hf plate 40 to be secured thereto, below the upper attachment holes 45a and above the lower attachment hole 45b, as in the fifth embodiment mentioned above. According to the structure mentioned above as functions, when the neutron absorber Hf plate 44 in the integral type neutron absorbing element relatively displaces upward by inertia, the lower portion of the supporting shaft 12b of the lower load supporting member 12 comes into contact with the lower edge of the attachment hole 45b. When the Hf plate 44 displaces downward by inertia, an impact load is applied to the sheath 7 through the sheath hole 8b and when the Hf plate displaces downward, the upper portion of the support shaft 12b of the lower load supporting member 12 comes into contact with the upper edge of the attachment hole 45a through the sheath holes 8a and 8b, respectively. Accordingly, a stress is generated near the sheath holes 8a and 8b of the U-shaped sheath 7. The other functions and effects are substantially the same as those of the fourth and fifth embodiments described above. FIG. 8 represents an eighth embodiment of the control rod of the present invention, in which FIG. 8A is an enlarged front view of an Hf plate partially cut away and FIG. 8B is an enlarged front view of an Hf plate. FIG. 8A corresponds to FIG. 21A illustrating the conventional case, and FIG. 8B corresponds to FIG. 21B. Only differences therebetween will therefore be described hereunder. As shown in FIG. 8A, a control rod 47 of this embodiment includes four wings 48 each having a sheath 49 housing a plurality of Hf plate pairs 14, supported by four load supporting members 12, with two long-life type neutron absorber Hf plates 10 serving as integral type neutron absorbing elements. In two Hf plate pairs 50 from the insertion leading end side of the control rod 47, three load supporting members 12 are provided in the longitudinal direction near the central portions in the width direction and the longitudinal direction. As shown in FIG. 8B, therefore, the Hf plate 51 in the Hf plate pair 50 has three attachment holes 52 pierced in the longitudinal direction thereof in a row adjacent to each other near the central portions in the width direction and the longitudinal direction, in addition to four attachment holes 13 separated in the width direction and the longitudinal direction as in the conventional case. A gap sufficient to allow avoidance of a trouble caused by a difference in thermal expansion is provided between the diameter of the four attachment holes 13 of each of the Hf plates 51 and the diameter of the support shaft 12b of the load supporting member 12. For the diameter of the three mutually adjacent attachment holes 52 near the central portion, a small gap is provided for the support shaft 12b of the load supporting member 12. Further, long-life type neutron absorber plates made of hafnium metal or a hafnium alloy prepared by diluting hafnium with a diluting agent such as zirconium or titanium are employed as the integral type neutron absorbing elements in the Hf plates 10 and 51. The paired Hf plates 10 and 51 constituting the respective Hf plates 14 and 50 are maintained with intervals by an interval maintaining function provided for the load supporting member 12, and a water gap 11 as a passage for core water is formed in the control rod 47. The control rod 47 of this embodiment has a trap structure formed in combination of a plurality of Hf plates 10 and 51. The operation of the control rod 47 of this embodiment will be described hereunder. The functions of the four attachment holes 13 on the insertion leading end side and the terminal end side in the Hf plate 51 of the Hf plate pair 50 are substantially the same as those mentioned above with reference to the former embodiment. However, for the three attachment holes 52 provided adjacent to each other near the central portion thereof, the attachment hole 52 and the fitted support shaft 12b of the load supporting member 12 are arranged adjacent to each other. Because a trouble caused by the difference in thermal expansion between the sheath made of SUS held in the three load supporting members 12 and the Hf plate 51 is of the negligible order, a relative movement resulting from a difference in the thermal expansion between the Hf plate 51 and the U-shaped sheath 49 does not occur near the central portion, but a relative movement is allowed in the insertion leading end direction and the terminal end direction. The three load supporting members 12 provided near the central portion of each Hf plate 50 on the insertion end side of the control rod 47 and the U-shaped sheath 49 are connected on a line extending in the longitudinal direction, and therefore, the U-shaped sheath 49 can withstand a strong force. Accordingly, the appropriate range of the Hf plate pair 51 attached to the load supporting member 12 near the central portion is about 2/3 of the total length from the insertion leading end side at least for a portion at which the weight of the Hf plate 51 per one pair is largest. Since production of the maximum stress upon an earthquake is anticipated near the central portion of the total length of the control rod 47, further effect of improving strength of the control rod 47 will be achieved by applying the present invention also for the portion near the central portion. It is not necessary to limit, to three, the number of the attachment holes 52 held by the sheath 49 through the load supporting member 12 provided near the central portion in the rectangular Hf plate 51 of the control rod 47, and it is important to extend over the connecting line with the U-shaped sheath 49 through the load supporting member 12 by the attachment hole 13 in the conventional case. By providing a gap (interval) maintaining function similar to that for the gap portion 12a of the load supporting member 12 as shown in FIG. 19C in at least one of the load supporting members 12 provided near the central portion, it is possible to more appropriately hold the gap between the plurality of Hf plates 51 arranged opposite to each other. It is therefore possible to prevent fluctuation of the reactivity value of the control rod caused by deflection of the Hf plates 51. FIG. 9 represents the ninth embodiment of the control rod of the present invention, showing an enlarged front view of a wing partially cut away. A control rod 53 of this ninth embodiment has substantially the same structure as that of the sixth embodiment, and only the differences from the sixth embodiment will be described hereunder. In this ninth embodiment shown in FIG. 9, the control rod 53 is provided with a U-shaped sheath 7 forming a wing 54 in which Hf plate pairs of integral type neutron absorbing elements comprising neutron absorber Hf plates 55 are accommodated, and transverse long holes (slots) serve as attachment holes 56 to be fitted to the support shafts 12b of the load supporting members 12 are pierced in the insertion leading end direction of the control rod 53 into the reactor core and the attachment hole 56 of the Hf plate 55 is formed as vertical slot in the wing width direction. Therefore, between the support shaft 12b of the load supporting member 12 and the attachment hole 56 of the Hf plate 55, almost no gap is provided in the sheath longitudinal direction which is the control rod insertion/withdrawal direction, but a sufficient gap to absorb the difference due to the thermal expansion between the U-shaped sheath 7 made of SUS and the Hf plate 55 is provided in a direction at a right angle (90.degree.) thereto. In the structure mentioned above, upon insertion/ withdrawal of the control rod into or from the reactor core, an ordinary load and an impact load to the Hf plate 55 in the sheath 7 is held by the sheath 7 through the two attachment holes 56 on the insertion leading end side having almost no gap from the support shaft 12b of the load supporting member 12, through the load supporting member 12 and the sheath hole 8. As a result, a not-specified single load supporting member 12 is not required to support all the impact load, thus permitting easy maintenance of the soundness of the U-shaped sheath 7. In the ninth embodiment, although the arrangement of the attachment holes 56 of the Hf plate 55 corresponding to the two load supporting members 12 on the insertion leading end side has been specifically described, substantially the same functions and effects may be attained even if the arrangement is employed on the insertion terminal end side in place of the insertion leading end side. Furthermore, this ninth embodiment includes also a structure or arrangement in which, in place of eliminating a gap in the control rod insertion/withdrawal direction in the transverse attachment holes 56 pierced in the Hf plate 55, the attachment holes pierced in the Hf plate and the gap in the control rod insertion/withdrawal direction are almost completely eliminated by forming a substantially oval cross-section of the supporting shaft 12b of the load supporting member 12. FIG. 10 represents the tenth embodiment of the control rod of the present invention, in which FIG. 10A is an enlarged front view partially cut away, FIG. 10B is a longitudinal sectional view of FIG. 10A taken along the line XB--XB, FIG. 10C is an enlarged sectional view of the portion G shown in FIG. 10B, and FIG. 10D is a perspective view of a load supporting member. The control rod 58 of the tenth embodiment includes a wing 58 as shown in FIG. 10A, and two Hf plates 61 are arranged opposite to each other with a water gap 11 to form an Hf plate pair 60 of integral type neutron absorbing elements in a U-shaped sheath 59, and two load supporting members 12 are provided, as in the conventional case, on the leading end side and on the terminal end side, respectively, in the control rod inserting direction to secure the same to the sheath 59. There is also provided a friction load supporting member 62, as another load supporting member, at a portion near the central portion between the two Hf plates 61, each of which has a central interval maintaining portion 62a having an elongation in the control rod insertion direction and both end portions at which three support shafts 62b are formed. Among three support shafts 62b of the friction load supporting member 62 shown in FIG. 10A, a long dotted line represents a shallow cut groove 61a provided on the sheath side surface of the Hf plate 61 and a small circle indicates a dimpling 59a provided on the sheath 59 from the outside surface toward the Hf plate 61 side. The dimpling 59a may be formed as an emboss surface. An inner projection formed by the dimpling 59a in the sheath 59 engages with a recess which is the shallow cut groove 61a on the Hf plate 61 to achieve a frictional resistance function. The control rod of the tenth embodiment will operate as follows. The support shaft 62b of the frictional load supporting member 62 is engaged with and is secured to a sheath hole 8 pierced in the U-shaped sheath 59. The dimpling 59a of the sheath 59 therefore engages with the shallow cut groove 61a of the Hf plate 61, thus generating frictional resistance between the sheath 59 and the Hf plate 61. Therefore, when conducting a relative movement of the Hf plate 61 relative to the sheath 59, the movement of the Hf plate 61 is inhibited in the frictional load supporting member 62, and hence, substantially the same functions and effects as in the eighth embodiment are attained. Further, it is to be noted that processing of the Hf plate 61 surface as means for generating frictional resistance is not limited to the method based on the shallow cut groove 61a and a dish-shaped recess is also applicable for this purpose. The load supporting member 63 shown in FIG. 10D is a concrete shape worked out using a conventional load supporting member 12, and the attachment holes 13 of the Hf plate 10 not shown are provided on both the sides of the supporting shaft 63b, and a water gap 11 is provided between the two Hf plates 10 having a gap maintaining portion 63a of the gap maintaining function. Crossing grooves 63c are provided on the surface of this gap maintaining portion 63a. In the load supporting member 63 of the structure mentioned above, the surface of the gap maintaining portion 63a of the load supporting member 63 usually made of SUS comes into contact with the Hf plate 10 which is made of a metal other than SUS. When the water environment is poor, water-radiation-chemical corrosion may be caused by reactor water as cooling water in the reactor core during operation of the nuclear reactor. However, because grooves 63c are provided on the surface of the gap maintaining portion 63a in contact with a different metal in the load supporting member 63, the presence of these grooves 63c prevents the reactor water from staying around the same, thus effectively maintaining the soundness of the load supporting member 63 near the load supporting member 63 and the Hf plate 10. Although not specifically described for each case, it is easy to pay such an attention to the foregoing load supporting member 12 and the frictional load supporting member 62. FIG. 11 represents the eleventh embodiment of the control rod of the present invention, showing an enlarged front view of a wing partially cut away. In a U-shaped sheath 66 forming a wing 65 in a control rod 64, a plurality of Hf plate pairs formed by connecting two neutron absorber Hf plates 67 serving as integral type neutron absorbing elements with the load supporting member 12 are housed. In the wing 65, a support shaft 68b of a single load supporting member 68 located on the integral type center structural member 6 side on the control rod insertion leading end side has a diameter larger than the diameter of the support shaft 12b of the other three load supporting members 12 in the Hf plate 67 and the sheath 66. According to this arrangement, the diameter of the attachment hole 69 of the Hf plate 67 fitted to the support shaft 68b of the load supporting member 68 and the diameter of the sheath hole 70 of the U-shaped sheath 66 engaged with and secured to the support shaft 68 are made larger, and there is almost no gap between both the diameters. A gap sufficient to avoid a trouble caused by a difference in the thermal expansion between the sheath 66 and the Hf plate 67 is provided between the attachment hole 13 of the other three Hf plates 67 and the support shaft 12b of the load supporting member 12. In the structure of the eleventh embodiment, an ordinary load and an impact load acting on the Hf plate 67 are held, through the supporting shafts 68b of the load supporting members 68 having almost no gap therebetween by the sheath 66 arranged near the support shafts. At this time, since the support shaft 68b has a large diameter and has therefore a longer contact surface with the sheath hole 70 of the sheath 66, the control rod can withstand a larger load than the conventional one, resulting in a longer service life and realizing an improved reliability. In the eleventh embodiment, although an example of the control rod, in which the invention is applied on the side of the integral type center structural member 6 in the control rod insertion leading end direction, has been described above. This embodiment is not limited to such example and an optional one place may be selected. The integral type center structural member side connected with the sheath 66 has usually a higher mechanical strength because the sheath 66 is reinforced by the integral type center structural member 6. Therefore, it is preferred that the present invention is effected to the integral type center structural member side as also referred to with reference to the former embodiments. FIG. 12 represents the twelfth embodiment of the control rod of the present invention, showing a front view of a sheath partially cut away. With reference to FIG. 12, a control rod 71 houses Hf plate pairs 72 divided into a plurality of sections in the sheath longitudinal direction as integral type neutron absorbing elements in the U-shaped sheath 7 (for example, an Hf plate pair 72 divided into ten sections is accommodated). The Hf plates forming the Hf plate pair 72 are made gradually thinner from the control rod insertion leading end (upper side) to the insertion terminal end (lower side) under the reactivity effect of the control rod 71 at the reactor core. The lengths 1.sub.1 to 1.sub.10 of each Hf plate pair 72 in the sheath longitudinal direction are gradually longer from the control rod insertion leading end toward the insertion terminal end such as 1.sub.1 =1.sub.2 &lt;1.sub.3 =1.sub.4 &lt;1.sub.5 =1.sub.6 =1.sub.7 &lt;1.sub.8 =1.sub.9 =1.sub.11. The control rod 71 of the structure mentioned above will operate or function as follows. As shown in FIG. 20B, in each neutron absorber Hf plate 10 of the integral type neutron absorbing element in a conventional control rod 1, the neutron absorbing ability is set high on the control rod insertion leading end side inserted in the reactor core. Although the respective Hf plates 10 have the same vertical and horizontal dimensions, the thickness of the Hf plate 10 is made larger toward the control rod insertion leading end, and accordingly, the weight of the Hf plate 10 becomes larger toward the upper part which serves as the insertion leading end and the load applied to the sheath 7 also becomes larger toward the control rod insertion leading end. In the control rod 71 of the twelfth embodiment, however, the weight is reduced by reducing the longitudinal size of the thick portion on the control rod insertion leading end side, thereby making it possible to achieve substantially a uniform load distribution applied to the sheath 7 by each Hf plate pair 72. As a result, the load bearing at the portion supporting the load supporting member 12 at an unspecified position, particularly relatively near the insertion leading end of the U-shaped sheath 7, is alleviated, thus improving the soundness of the sheath 7 and the control rod 71. In the twelfth embodiment described above, the case of the Hf plate pairs 72 serving as the integral type neutron absorbing elements in the trap structure has been presented. The structure is not however limited to the trap type, and even if it is applied to any other type structure, substantially the same functions and effects will be achieved. FIG. 13 represents the thirteen embodiment of the control rod of the present invention. In a control rod 73 of this embodiment, the U-shaped sheath 7 having partially cut away structure is presented, and the sheath 7 houses Hf plate pairs 72 and 74 serving as integral type neutron absorbing elements divided into a plurality of sections (ten, for example, as shown in FIG. 13) in the sheath longitudinal direction. The thickness of the Hf plates formed as the individual Hf plate pairs 72 and 74 is made gradually smaller from the control rod insertion leading end (upper side) toward the insertion terminal end (lower side). The lengths 1.sub.1 to 1.sub.10 of the individual Hf plates pairs 72 and 74 in the sheath longitudinal direction become gradually longer from the central portion of the control rod toward the insertion leading end and the insertion terminal end such as 1.sub.1 =1.sub.2 &lt;1.sub.3 =1.sub.4 &lt;1.sub.5 =1.sub.6 &lt;1.sub.7 &lt;1.sub.8 =1.sub.9 =1.sub.10. In addition to the four load supporting members around the three Hf plate pairs 74 on the control rod insertion end side, there is further provided a load supporting member 12 at the central portion thereof. The control rod 73 of the thirteenth embodiment will operate or function as follows. In the control rod 73 of this thirteenth embodiment, the lengths 1.sub.1 to 1.sub.10 of the Hf plate pairs 72 and 74 are shorter near the central portion of the total length of the control rod (1.sub.5, 1.sub.6) and longer toward the control rod insertion leading end side and the terminal end side. Further, a load supporting member 12 is added to the central portion of the third Hf plate pair 74 from the insertion leading end side. As a result, at the central portion of the control rod 73 to which a stress is seriously applied on an occurrence of an earthquake, the load supporting members 12 are more densely arranged with respect to the size of the Hf plate pair 72. Strength at the U-shaped sheath 7 is therefore improved, and in this case, the load supporting members 12 serve as sheath reinforcing member or a stiffener. The Hf plate pair on the control rod insertion leading end side, at the central portion of which the load supporting member 12 is added, has a large thickness and a large weight because of the arrangement position thereof, and a larger load is applied on the sheath 7 as well as the load supporting member 12. However, the large number of load supporting members 12 alleviates the load bearing per load supporting member 12 and also reduces local stress in the U-shaped sheath 7, thus improving the supporting function to an impact load resulting from insertion and withdrawal of the control rod and the reaction to the seismic stress. Further, the configuration or structure of the Hf plate pairs 72 and 74 of this thirteenth embodiment is not limited to the trap type as in the above case and other cases may be adopted. FIG. 14 represents the fourteenth embodiment of the control rod of the present invention, showing a front view of a sheath partially cut away. In the control rod 75 of this embodiment, the U-shaped sheath 7 houses Hf plate pairs 72 and 74, serving as the integral type neutron absorbing element, divided into a plurality of sections (for example, eight sections as viewed) in the sheath length direction. The thickness of the neutron absorber Hf plates forming the individual Hf plate pairs 72 and 74 gradually becomes smaller from the control rod insertion leading end side toward the insertion terminal end side. Although the length in the sheath longitudinal direction is made uniform for the individual Hf plate pairs 72 and 74, there is adopted an arrangement in which the Hf plate pair 74, together with four load supporting members on the periphery, is provided with one additional load supporting member 12 arranged at the central portion thereof and accommodated in the wing 17 up 3/4 length of the total length from the control rod insertion end side. According to the above-mentioned structure, the control rod insertion leading end has thicker and heavier Hf plates of the Hf plate pair, and a load supporting member 12 is added at the central portion of the control rod in the longitudinal direction thereof for the Hf plate pair 74. Therefore, local stress of the U-shaped sheath 7 bearing the load through the individual load supporting members 12 and the additional load supporting member 12 is alleviated. Since the control rod 75 of this embodiment has a relatively simple construction, it is easy to manufacture the same. It is also to be noted that the structure of the Hf plate pair 74 of this fourteenth embodiment is not limited to the trap type as mentioned before with reference to the former embodiments. FIG. 15 represents the fifteenth embodiment of the control rod of the present invention, in which FIG. 15A is a front view of a sheath partially cut away and FIG. 15B is a side view of an Hf plate of FIG. 15A in a control rod 76. The U-shaped sheath 7 houses integral type neutron absorbing elements divided into a plurality of sections in the sheath longitudinal direction (for example, eight sections). The Hf plate pair 77 has an arrangement in which a neutron absorber Hf plate 78 having a length equal to 1/8 length of the total length and an Hf 79 plate having a 1/2 length thereof are supported by the load supporting member 12 and held in the U-shaped sheath 7 to thereby constitute the wing 17, and as shown in FIG. 15B, on both the sides of the load supporting member 12, the Hf plate 78 is disposed on one side and Hf plates 79 are disposed on the other side at the leading end and the trailing end thereof. The Hf plate 78 is arranged between them with being shifted by 1/2 pitch respectively. According to such arrangement of the fifteenth embodiment, a gap between the Hf plates 78 and 79 on one side and on the other side in the sheath longitudinal direction is formed in a stepwise form. For the Hf plates 79 arranged at the leading end and the trailing end, a load supporting member 12 is provided near the central portion of the Hf plate 78, independently from the four load supporting members 12 provided for the Hf plate 78 between the Hf plate 79 and the opposing Hf plate. According to the control rod of this embodiment, in the Hf plate pair 77 formed with a plurality of neutron absorber Hf plates 78 and 79 within the U-shaped sheath 7, since there is no gap crossing the sheath 7 in the sheath longitudinal direction at right angles to the control rod insertion/withdrawal direction, the reactivity value of the control rod 76 can be improved. Furthermore, since the U-shaped sheath 7 housing the plurality of Hf plate pairs 77 is supported by the Hf plates 78 and 79 arranged stepwise, the mechanical strength of the control rod in the transverse direction can be improved. FIG. 16 represents the sixteenth embodiment of the control rod of the present invention, showing an enlarged sectional view of an Hf plate. With reference to the control rod of FIG. 16, a plurality of Hf plate pairs 80 serving as integral type neutron absorbing elements housed in the sheath 7, not shown, in a wing of the control rod are supported by the load supporting members 12 of the structure shown in FIG. 19C by arranging two Hf plates 10 opposite to each other, and a gap maintaining member 81 is provided between the plurality of load supporting members 12 to secure the Hf plate pair 80 to the sheath 7. The gap maintaining member 81 has a top-like spacer shape, keeping a water gap 11 in the central portion of the Hf plate pair 80 and secured by means of welding through the engagement of the shafts at both the ends with a hole pierced in the Hf plate 10. According to the control rod of the structure of this sixteenth embodiment, in the Hf plate pair 80 serving as integral type neutron absorbing elements for the control rod, it will be considered that when the neutron absorber Hf plate 10 has a small thickness, the gap maintaining function and the reinforcing function of the load supporting members 12 may be degraded at a position apart from the attaching positions of the load supporting members 12, thus making the Hf plate more deflective. When the thin Hf plate deflects, the reinforcing ability of the Hf plate 10 to the sheath 7 becomes almost non-existent, and when the Hf plate 10 deflects inward, the water gap becomes narrows, leading to a deterioration of the reactivity value of the control rod. In the Hf plate pair 80 of the control rod of this embodiment, however, the gap maintaining member 81 reinforces the thin Hf plate 10, in addition to the load supporting members 12. Accordingly, it is possible to prevent deflection of the Hf plate 10 and a decrease in the reactivity value of the control rod. Apart from the top-like shape mentioned above, the gap maintaining member 81 may take the form of a shell alignment to arrange the same between two Hf plates 10 to secure it by means of welding from both the sides of the Hf plate 10. FIG. 17 represents the seventeenth embodiment of the control rod of the present invention, and although the control rod of this embodiment is substantially the same as that of the embodiment shown in FIG. 16, the Hf plate pair to be housed in the U-shaped sheath 7 of the wing of the control rod is formed from an Hf alloy sheet which is an integral type neutron absorbing element. More specifically, the Hf alloy sheet is prepared, in place of the thin Hf plate 10 in the sixteenth embodiment, by increasing the content of zirconium while keeping an absolute Hf content substantially equal to the conventional one. According to the structure of the control rod of this seventeenth embodiment, in order to obtain an Hf alloy having the same neutron absorbing function as that of the Hf plate 10 for use in a control rod, it would be necessary to increase the thickness of the Hf alloy sheet, thus increasing the mechanical strength, thereby improving the mechanical strength of the resultant control rod including the sheath 7. Although this tends to cause a slight increase in the total weight of the control rod, as a total effect, an excellent mechanical strength can be achieved with the same effects as those in the sixteenth embodiment. As shown in FIG. 17 in the enlarged sectional view, a plurality of Hf plate pairs 82 accommodated in the U-shaped sheath of the wing of the control rod each is composed of the opposed two Hf plates 10 and supported by the load supporting members 12 of the structure shown in FIG. 19C. Furthermore, side end Hf bars 84 provided with water-pass channels 83 forming water passages therebetween and the integral type center structural member 6 are secured by means of welding to portions inside in the wing width direction (on the side of the integral type center structural member 6) at the side ends in the sheath longitudinal direction of the two opposing Hf plates 10. Further, on the side end opposite to the integral type center structural member 6 (outside the wing width direction), a side end Hf bar 85 provided with the water-pass channel 83 forming a water passage in the space separating the same from the inside of the sheath 7 not shown is secured by means of welding so as to provide a box-shape cross-section. The control rod of the seventeenth embodiment will operate or function as follows. In the Hf plate pair 82 of the control rod, the cross-section thereof is basically a box shape, and furthermore, a water passage is formed in the control rod inserting direction which is the sheath longitudinal direction. That is, a water-pass channel 83 of the side end Hf bar 84 is provided on the integral type center structural member 6 side, and on the opposite side to the integral type center structural member 6, there is also provided a water passage formed by the water-pass channel 83 of the side end Hf bar 85. According to such arrangement, in spite of the trap structure of the control rod, the side ends are reinforced by the side end Hf bars 84 and 85, and the basic box shape of the overall cross-section increases the strength of the Hf plate pairs 82, resulting in a considerable improvement of the mechanical strength of the control rod, together with the sheath 7, through the reinforcement by this Hf plate pair 82. Although the control rod of the seventeenth embodiment shown in FIG. 17 is applicable to almost all trap-type control rod, it is particularly effectively applicable to a case of the neutron absorbing Hf plate having relatively thin thickness. That is, the stiff and rigid Hf plate can be provided even when it has relatively thin thickness and, moreover, there is almost no limitation to the load supporting method, so that the control rod of this embodiment will be applicable to the respective embodiments mentioned hereinbefore. FIG. 18 represents the eighteenth embodiment of the control rod of the present invention. The control rod 86 of this eighteenth embodiment has the integral type center structural member 6 which is formed so as to provide a cruciform cross-section and equal central angles of four projections 87 thereof. Each of the projections 87 extends in the longitudinal direction of the integral type center structural member 6 and has a thickness substantially equal to that of a wing 88. A recessed portion 89 may be formed to the front end of the projection 87 as occasion demands to provide a recessed projection 87. An opening portion of the U-shaped sheath 7 constituting the wing 88 is abut-welded and secured to the recessed projection 87. According to the control rod 86 of this embodiment, the recessed projection 87 of the integral type center structural member 6 has the same thickness as that of the wing 88 and the U-shaped sheath 7 of the wing 88 abutting against the recessed projection 87 has substantially the same thickness, so that the welding heat is substantially equally transmitted to both the members. Therefore, any welding defective will be eliminated at the time of welding of the projection 87 to the sheath 7 as well as elimination of crevis environment, achieving improved reliability of the welded portion and also increasing yield strength. That is, since an occurrence of any crack of the welded portion under the crevis environment can be eliminated, the frequency of changing of the control rods and the increasing of radioactive waste can be effectively reduced, thus being advantageous even in economical viewpoint. Further, in an arrangement in which the Hf plate extends in a space 89, the reactivity value of the control rod will be further improved, for example, in a manner that the Hf plate is provided in slightly bent manner inside in the space 89. The securing structure of the U-shaped sheath to the central structural member of the eighteenth embodiment of FIG. 18 may be applicable to the other embodiments mentioned above by way of FIGS. 1 to 17. According to the various embodiments of the present invention mentioned above, it is possible to solve the conventional problems of non-agreement of the mechanical service life with the nuclear life of a conventional long-life type control rod against neutron and the service period tending to be restricted by the mechanical service life. Increasing mechanical and physical strengths allow alignment of the nuclear life and the mechanical life and give a control rod of a further longer life. These advantages improve safety and economic merits of nuclear power generation and permit reduction of the quantity of generated radioactive waste. It is to be noted that the present invention is not limited to the described embodiments and many other changes, modifications and combinations may be made without departing from the scopes of the appended claims.
summary
062352237
abstract
A sintered nuclear fuel body includes (U, Pu)O.sub.2 mixed crystals having a mean particle size in a range from 7.5 .mu.m to 50 .mu.m. This sintered nuclear fuel body has a high retention capacity for fission gas in a power reactor. In order to produce the sintered nuclear fuel body by sintering a body in a hydrogen-containing sintering atmosphere, a powered substance selected from the group consisting of aluminum oxide, titanium oxide, niobium oxide, chromium oxide, aluminum stearate, aluminum distearate and aluminum tristearate is added to the starting powder for the body. As an alternative or in addition, the body made from the starting powder is sintered during a holding period of 10 minutes to 8 hours at a sintering temperature of 1400.degree. C. to 1800.degree. C. in a hydrogen-containing sintering atmosphere, initially with an oxygen partial pressure of 10.sup.-10 to 10.sup.-20 bar and then from 10.sup.-8 to 10.sup.-10 and then cooled in a hydrogen-containing atmosphere having an oxygen partial pressure of 10.sup.-10 to 10.sup.-20 bar.
description
A preferred embodiment of the present invention will now be explained in detail with reference to the accompanying drawings. FIG. 1A is a perspective view showing a scattered ray removal grid that is an embodiment of the present invention, and FIG. 1B is a side view of the same. As shown in these figures, a scattered ray removal grid 1 includes multiple scattered ray absorbers (grid elements) 2 made of radiation-absorbing material and arrayed in the form of a lattice. Spacers 4 made of a thermoplastic material that is radiation non-absorbent are disposed at the portions enclosed by or in contact with the lattice. The scattered ray removal grid 1 is formed to have overall spherical curvature. Alternatively, the scattered ray absorbers 2 can be arrayed in parallel rather than in the shape of a lattice as in this embodiment. The radiation-absorbing (scattered ray-absorbing) material used for the scattered ray absorbers 2 can be a simple substance such as lead foil, bismuth or the like, a powder of a simple substance, a lead compound, a bismuth compound, or film obtained by coating with a solution of a powder of some other heavy metal compound or the like in an organic polymer binder. Usable lead compounds include PbF2, 2PbCO3, Pb(OH)2 and Pb3O4 and the like and usable bismuth compounds include BiF3, BiOCl, Bi2(WO4)3, Bi12SiO20, Bi12GeO20 and the like. The spacers 4 are preferably made of a material that hardly absorbs X-rays. Usable materials meeting this description include, for example, polyethylene terephthalate, polycarbonate, polyurethane, filamentous polyester, acrylic resin, polyethylene, vinyl acetate, nylon, and rubbers such as natural rubber, silicone rubber and ethylene-vinyl acetate copolymer. Foamed polystyrene, polyurethane and the like are also usable. So are unwoven cloth and microfilter. Usable microfilter materials include nylon, cellulose acetate, polysulfone, fluorine-containing resins and the like. The material used for the spacers 4 includes a thermoplastic resin (thermoplastic elastomer) so as to enable the original plate-like grid 1 to bow into an overall spherical shape under heating. Although the scattered ray absorbers 2 are inferior to the spacers 4 in flexibility, the lead compound or bismuth compound material thereof exhibits slight flexibility. The top surface 6 of the scattered ray removal grid 1 shown in FIG. 1 is concave (a spherical surface) and the bottom surface 8 thereof is convex. The scattered ray absorbers 2 have narrower spacing on the upper side and wider spacing on the bottom side so as to be substantially aligned in the direction of the radiation (X-ray) source (not shown) located on the side of the top surface 6. In other words, where the X-ray source is defined as the focal point, the scattered ray absorbers 2 are aligned with the advancing direction of the rays radiating from the focal point (i.e., with the radial direction of a circle whose center is the focal point). With this alignment, the area over which a scattered ray absorber 2 blocks X-rays does not change (increase) with its location within the scattered ray removal grid 1, so that X-ray transmittance is maintained without decrease over a broad region of the scattered ray removal grid 1. The method of producing the scattered ray removal grid 1 will now be explained with reference to FIGS. 2 to 5C. FIGS. 2, 3, 4A and 4B are perspective views respectively showing first, second, third, fourth and fifth steps and FIGS. 5A, 5B and 5C are sectional views respectively showing sixth, seventh and eighth steps in the production of a scattered ray removal grid 1 according to the present invention. Referring to FIG. 2, in the first step, a laminate (first laminate) 10 is made by alternately stacking and bonding scattered ray absorbers 2 and spacers 4. The bonding is effected by use of a bonding agent. Interposition of bonding film is also possible, as is bonding under application of pressure. Bonding conducted in a vacuum is preferable because it enhances the strength of the laminate by bringing the layers into tight contact and preventing occurrence of interlayer voids. In the second step, also shown in FIG. 2, the end portion of the laminate 10 is sliced perpendicularly to its layers to separate a plate-like piece. By this there is obtained a grid (first grid) 11. The scattered ray absorbers 2 of the grid 11 lie parallel to one another. In the third step, shown in FIG. 3, grids 11 formed by slicing the laminate 10 shown in FIG. 2 and radiation absorption plates 3 made of a scattered ray-absorbing material are disposed alternately in preparation for lamination. In the fourth step, the grids 11 and the radiation absorption plates 3 are laminated to obtain a laminate (second laminate) 20 shown in FIG. 4A. At the end face 24 of the laminate 20, the scattered ray absorbers 2 and the radiation absorption plates 3 are arranged substantially in a lattice configuration and the spacers 4 are present within meshes of the lattice. In the fifth step, plate-like slices are successively removed from the laminate 20 starting from near its end face 24, as indicated by broken lines, to obtain grids (second grids) 111 like the one shown in FIG. 4B. In the illustrated embodiment, the same type of material is used for the radiation absorption plates 3 as was used for the scattered ray absorbers 2 of the grid 11. This is to minimize the number of material types used. The invention is not limited to this, however, and different materials can be used insofar as they are similar in X-ray absorption property. The grid 111 uniformly removes scattered rays and exhibits substantially uniform flexibility. The top surface 6b and the bottom surface 8b of the grid 111 can be optionally attached with reinforcing plates (not shown) made of deformable thermoplastic resin. In this case, the reinforcing plate bonded to the bottom surface 8b must have greater elasticity. The method of imparting a spherical surface of a prescribed radius of curvature to the plate-like grid 111, i.e., the method of forming a scattered ray removal grid 1 having a spherical surface 12, will now be explained with reference to FIGS. 5A-5C. FIG. 5A is a sectional view showing the grid 111 disposed between a pair of dies 50 made of aluminum, stainless steel or the like. FIG. 5B is a sectional view showing the grid 111 pressed into a spherical shape by the dies 50 and FIG. 5C is a sectional view showing the formed scattered ray removal grid 1 together with the dies 50. FIG. 5A corresponds to the sixth step in the production of a scattered ray removal grid 1. The die pair 50 is constituted of an upper die 54 having a convex spherical surface 52 of prescribed curvature and a lower die 58 having a concave spherical surface 56 complementary to the spherical surface 52. The grid 111 is placed between the upper die 54 and the lower die 58. In the seventh step, illustrated in FIG. 5B, the grid 111 is pressed from above and below by the upper die 54 and the lower die 58 while being simultaneously heated to the softening temperature of the spacers 4, whereby it softens and deforms into a spherical shape. The heating is achieved by, for example, passing hot water through the interiors of the dies 50. When the spacers 4 are not formed of a thermoplastic elastomer, the temperature of the dies 50 is raised to the melting point. When the grid softens, the spacers 4 (radiation non-absorbers made of thermoplastic resin) are deformed by the heat and pressure of the dies 50. The scattered ray absorbers 2 (radiation absorbers) are therefore inclined so as to be more narrowly spaced on the upper side than on the lower side. By this the radiation absorbers 2 are oriented into alignment with the advancing direction of the X-rays emitted by the X-ray source (not shown) and can therefore efficiently remove scattered rays. In the eighth step, the dies 50 are moved apart and the formed grid 111 is taken out and cooled to normal room temperature to obtain a scattered ray removal grid 1 having a prescribed spherical surface 12, as shown in FIG. 5C. A scattered ray removal grid according to the present invention can also be obtained by inserting the grid 11 of FIG. 2 between the dies 50 instead of the grid 111. In this case, there is obtained a grid having parallelly arrayed radiation absorbers 4. The top surface 6a and the bottom surface 8a of the grid 11 can be provided with reinforcing plates (not shown).
062513109
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a method of manufacturing UO.sub.2 -based fuel pellets by recycling irradiated UO.sub.2 -based fuel pellets. More particularly this invention relates to a method of making U.sub.3 O.sub.8 -based powder from irradiated UO.sub.2 -based fuel pellets, mixing the U.sub.3 O.sub.8 -based powder with an additive, pressing and sintering the product to produce the UO.sub.2 -based fuel pellets. 2. Definitions of Terminology A fresh fuel pellet consists of uranium dioxide (UO.sub.2) or a mixed oxide of uranium dioxide (UO.sub.2) and plutonium dioxide (PuO.sub.2), which mixed oxide is hereinafter referred to as "(U, Pu)O.sub.2 ". In this application, the term "UO.sub.2 -based" refers to both UO.sub.2 - or (U, Pu)O.sub.2 -containing products, and the term "U.sub.3 O.sub.8 -based" refers to both U.sub.3 O.sub.8 - or (U, Pu).sub.3 O.sub.8 -containing products. 3. Description of Related Art As a UO.sub.2 -based fuel pellet is irradiated in a nuclear reactor, the fissile material in the fuel pellet is depleted and fission products are produced. An irradiated UO.sub.2 -based fuel pellet therefore comprises fissile materials and fission products, of which concentrations are mainly dependent on design burnup specifications and the initial amount of fissile materials. An irradiated fuel pellet discharged from a light water reactor normally has fissile materials of higher than 1% by weight of the irradiated fuel pellet, so it is worthwhile to recycled the fuel pellet for reuse. According to the literature (G. E. Brand and E. W. Murbach, NAA-SR-11389 (1965)), a UO.sub.2 -based fuel pellet which has been irradiated in a light water reactor can be treated in a so-called AIROX cycle, to refabricate the UO.sub.2 -based fuel pellet. The AIROX cycle comprises the steps of oxidizing irradiated UO.sub.2 -based fuel pellets to U.sub.3 O.sub.8 -based powder, making sinterable UO.sub.2 -based powder from the U.sub.3 O.sub.8 -based powder, mixing the sinterable UO.sub.2 -based powder with enriched fresh UO.sub.2 powder, making granules of the mixed powder, pressing the granules into green pellets, and sintering the green pellets to fabricate UO.sub.2 -based fuel pellets for reuse in a light water reactor. The art discloses several methods of making sinterable UO.sub.2 -based powder from irradiated UO.sub.2 -based fuel pellets for use in the AIROX cycle. U.S. Pat. No. 3,140,151, discloses a method of making a sinterable UO.sub.2 -based powder comprising oxidizing the irradiated UO.sub.2 -based fuel pellet to U.sub.3 O.sub.8 -based powder in air at a temperature in the range of 300.degree. C. to 500.degree. C., reducing the U.sub.3 O.sub.8 -based powder to UO.sub.2 -based powder at a temperature in the range of 500.degree. C. to 800.degree. C., and repeating the oxidation and reduction steps 3 to 5 times to produce the sinterable UO.sub.2 -based powder. This UO.sub.2 -based powder was able to be sintered to produce UO.sub.2 -based fuel pellets. A green pellet consisting of U.sub.3 O.sub.8 -based powder which is produced from irradiated UO.sub.2 -based pellets through one round of oxidation can be sintered only up to about 80% theoretical density (TD), and a fuel pellet having such a low density cannot be used in a nuclear reactor, since normal fuel design specifications require the pellet density to be at least about 94% TD. Therefore, oxidation and reduction of the U.sub.3 O.sub.8 -based powder needed to be conducted for many more rounds to enhance its sinterability. In addition, during the oxidation of UO.sub.2 -based pellets or powder to U.sub.3 O.sub.8 -based powder, the irradiated UO.sub.2 -based pellets or powder must be readily pulverized or comminuted to finer powder, since the phase transition of cubic UO.sub.2 to orthorhombic U.sub.3 O.sub.8 causes a volume expansion of about 30%, and thus large stress is generated. A disadvantage of the prior art is that the oxidation and reduction of UO.sub.2 -based powder needs much time and is hard to control. For example, the oxidation rate of UO.sub.2 -based powder is vety fast, and thus the heat produced from the resultant reaction can increase the temperature of the powder to high temperatures. The UO.sub.2 -based powder so produced is poorly sinterable. SUMMARY OF THE INVENTION An object of this invention is to provide a method of manufacturing UO.sub.2 -based fuel pellets by recycling irradiated UO.sub.2 -based fuel pellets. With the foregoing object and other objects in view, there is provided in accordance with this invention a method of preparing UO.sub.2 -based fuel pellets, comprising oxidizing irradiated UO.sub.2 -based pellets to make U.sub.3 O.sub.8 -based powder; mixing the U.sub.3 O.sub.8 -based powder with an additive comprising at least one oxide of an element selected from the group consisting of Nb, Ti, V, Al, Mg, Cr, Si and Li; making granules of the mixture; pressing the granules into green pellets; and sintering the green pellets in a reducing gas atmosphere to produce new UO.sub.2 -based fuel pellets. The method according to the invention is preferably conducted such that the additive is in the range of about 0.02% to about 5% by weight of the mixture. It is also preferable that the green pellets are sintered at a temperature above 1500.degree. C. In another method according to the invention, fresh UO.sub.2 -based powder is added to the U.sub.3 O.sub.8 -based powder to bring the amount of fissile materials within design specifications. An advantage of the present invention is that the U.sub.3 O.sub.8 -based powder produced through a single oxidation treatment of irradiated UO.sub.2 -based pellets may be pressed without any further oxidation treatments being necessary, and sintered to make new UO.sub.2 -based fuel pellets. Accordingly, the 3-5 oxidation and reduction cycles which were necessarily required in the prior art are not needed in the present invention. Therefore, fuel manufacturing steps and related costs are much reduced by the present invention.
summary
050330756
abstract
In accordance with the present invention, there is provided an X-ray filter which significantly reduces low energy radiation normally absorbed by the examination object without significantly affecting the desired high energy radiation. The filter is comprised of one or more materials containing as the major component elements selected from the group consisting of aluminum and elements having atomic numbers between 26 and 50 with the filter being selected to have X-ray filtering characteristics such that the intensity of X-rays having energies of 50 keV are reduced by about 8% to about 35% of the normal radiation levels. As a result of the construction immediately above, the filter of the present invention filters energy from the X-ray beam which is usually absorbed by the examination object and does not contribute to the radiographic image of the examination object. This is achieved with little, if any, increased loading of the X-ray tube which would otherwise reduce its effective life.
052290654
abstract
Coolant fluid is sampled from a substantially horizontal part of the hot leg (16) of the primary circuit at at least three points (19, 20, 21) distributed at the periphery of a straight section of the hot leg (16), in such a way that at least one of the sampling points, or lower sampling point, is situated beneath the axis (17) of the hot leg (16). The temperature of the coolant water sampled at each of the sampling points (19, 20, 21) is measured at its outlet from the hot leg (16) and the coolant fluid is reintroduced into the hot leg (16) at a point situated in a position substantially diametrically opposite, with respect to one of the lower sampling points (20, 21), on the straight section of the hot leg (16). The sampling devices (19, 20, 21) connected by pipes (24, 25, 26) to a reintroduction element (22) are arranged at the periphery of the hot leg (16). The sampling devices (19, 20, 21) preferably consist of a glove finger penetrating into the hot leg (16) and comprising holes for the passage of the coolant water into the inner bore of the glove fingers which communicates with the bore of a projection (34) arranged on the outside of the hot leg (16) and to which the connecting pipe (24, 25, 26) is joined.
055486258
description
DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT The present invention addresses the problem of long cycle time due to single field x-ray lithographic processing by providing a method in which multiple fields can be imaged simultaneously in x-ray lithography, hence reducing overall cycle time by decreasing the number of steps required to print an entire semiconductor wafer. In general, the x-ray lithographic equipment is modified to house a mirror assembly comprising at least two mirrored surfaces so that multiple fields can be realized. Thus, a method of the invention utilizes a radiation source to generate the x-rays which are then projected into an ultra high vacuum (UHV) pipe. The mirror assembly is contained and supported within the UHV pipe such that the multiple mirrored surfaces collect the photons from the x-rays and process the light in parallel to produce multiple light beams, each of which has a finite horizontal and vertical extent. Each of the processed light beams exit through a beryllium exit window. External to the UHV pipe is a mask assembly which contains as many masks as there are mirrored surfaces in the mirror assembly. The number of masks define the number of fields that will be simultaneously imaged onto a target semiconductor wafer. The wafer is supported by a stepper which is standard equipment with any x-ray lithography system. By using a combination of a mirror assembly and a mask assembly, each having multiple mirrors and masks, respectively, it is possible to expose multiple image fields in parallel in x-ray lithography. The number of steps required to print an entire wafer is inversely proportional to the number of fields printed in each step. These and other features, and advantages, will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings. It is important to point out that the illustrations may not necessarily be drawn to scale, and that there may be other embodiments of the present invention which are not specifically illustrated. FIG. 1 illustrates a schematic of an x-ray lithographic system 10 which is a typical arrangement for purposes of the invention. Standard x-ray lithographic equipment is well known in the art. The system 10 includes an x-ray source 12, a beamline 13, and an aligner station 23. In a typical system, the beamline 13 may be 30 feet long as it extends between the x-ray source 12 and the aligner station 23. The x-ray source 12, schematically represented in FIG. 1, could be a point source but is preferably a synchrotron which is a known device for accelerating charged particles in a vacuum. These charged particles orbit in a vacuum in a path defined by magnetic fields, and as the particles bend in their orbital path, they emit photons 16 into a first end of the beamline 13. The beamline 13 includes an elongated tube 14 which is suitably evacuated. Tube 14 is often referred to as an ultra high vacuum (UHV) pipe because it is typically maintained at 10.sup.-10 -10.sup.-11 torr. Within the tube 14 is a bird's eye view of a mirror assembly 30, which is used to reflect the stream of radiated photons 16 into a particular format, utilizing a coating that is reflective to x-rays. A typical reflective coating is gold, but other materials, such as silicon carbide may also be used as a reflector. The length of the reflective surfaces of mirror assembly 30 is positioned along the length the elongated tube 14. The surfaces themselves are labeled as surfaces 34 in the cross-sectional view of mirror assembly 30 in FIG. 2 and as surfaces 34' in the three dimensional view of mirror assembly 30' in FIG. 3. The mirror assembly 30 is one of the essential elements that enables one to practice the present invention. At this point, it is important to note that the mirrors 18 are coupled together, although not specifically shown because the method of coupling the mirrors 18 can vary significantly, to form the mirror assembly 30. More detailed discussion of this mirror assembly will follow with reference to FIGS. 2 and 3. The mirror assembly 30 is depicted as being supported by some mechanical means 17 with the tube 14. The mechanical support 17 is equipment dependent and is not of particular relevance to the discussion of the present invention. It is sufficient to note that the mirror assembly 30 requires support within the system 10 and that any equipment manufacturer is capable of producing such a support. The radiated photons 16 at the first end of the elongated tube 14 travel within the tube and graze the mirrored surfaces of the mirror assembly, which act to collimate-the photons into x-ray beams. Since the length of the mirrored surfaces is positioned along the length of the elongated tube, the grazing angles of the photons are small so as to allow the beams to be reflected off the mirrored surfaces to continue traveling along the length of the elongated tube 14. The reflected beams, however, have been collimated into a particular format determined by the shape of the mirrored surfaces-hence the term collimating mirror as is commonly used in the art. The x-ray beams, after being reflected off the mirror assembly 30, continue through the beamline 13 and exit as a set of physically separate beams through an exit window 20 at a second end of the beamline 13. The exit window 20 is typically a beryllium membrane employed to withstand the pressure difference between the ultra high vacuum of the beamline and the atmospheric pressure of the aligner station 23. The exit window 20 may have multiple windows, side-by-side, with some finite separation distance between them or it may be an elongated single beryllium membrane. However, configured, each exiting x-ray beam must pass through a suitable portion of the exit window. The x-ray beams travel through a mask assembly 22, having multiple masks, to collect the information on the masks that define the circuitry to be imprinted on a semiconductor wafer surface. This mask assembly 22 is another essential element that enables one to practice the present invention, and will thus be discussed in more detail with reference to FIG. 4. A target semiconductor wafer 50 is suitably and removably supported in the aligner station 23 on a stage 24. The stage 24 is generally capable of six degrees of freedom of motion so that wafer can be properly aligned and focused with respect to the mask assembly. The wafer 50 is provided with a layer of light sensitive material, for example, photoresist. The information from each mask in the mask assembly is simultaneously imprinted onto the surface of the target wafer such that multiple fields are printed in one step, thus enabling a true parallel x-ray lithographic process. FIGS. 2 and 3 illustrate two possible configurations for the mirror assembly mentioned above. In FIG. 2, a cross-sectional schematic of mirror assembly 30 is depicted, where two conventional mirrors 18 are coupled together with hardware 32 and 32'. It should be understood that this schematic is oversimplified for ease of illustration and clarity, because an actual coupled mirror assembly may be composed of numerous mechanical parts and linkages. It is only important that the mirrors are linked together so that multiple x-ray beams are formed from an incoming stream of photons, and that the path of the x-ray beams through the beamline is controlled by the reflection angle provided by the multiple mirrors. The mirrors 18 are illustrated to have flat surfaces 34, but other surface shapes, such as curved shapes, can also be used. The x-ray beams would reflect off the surface 34 and then exit through the exit window 20 of FIG. 1. FIG. 3 illustrates an alternative configuration for the mirror assembly. In this figure, the mirror assembly 30' is a monolithic mirror having multiple collimating mirrored surfaces 34'. The collimating mirrored surfaces 34', where the x-ray beams would reflect from, is shown to be toroidal-shaped to illustrate that mirrored surfaces can be something other than flat. In fact, the mirrored surfaces may be circular, parabolic, cylindrical, polynomial or otherwise curved. An actual physical separation between the two mirrored surfaces 34' is required, because some divergence angle between the two x-ray beams is desired to print different fields on the target wafer. Otherwise, the two beams may be too close together and effectively form a single beam and image field which would defeat the purpose of the present invention which is to provide multiple fields for parallel printing. It should be noted that practicing the present invention is in no way limited to only two mirrors or two fields, although only two have been illustrated. A greater number of mirrors may be possible, depending on the size of the wafer to be processed. For example, it may be more practical to have three or four mirrors in the mirror assembly when the wafer size reaches the 20-inch level, while having two mirrors is sufficient for an 8-inch wafer. FIG. 4 illustrates, in a three-dimensional view, a schematic for the coupled mask assembly 22 of FIG. 1. The coupled mask assembly 22 is shown here as a dual mask assembly since there are two mirrors provided in the mirror assembly 30 of FIGS. 1-3. The mask assembly 22 is schematically illustrated to be composed of stages 42, each for holding a mask 44. The masks 44 may be coupled together by way of interferometric means 48, for example. The interferometers 48 control the spacing between the two masks in the mask assembly. Alternatively, the masks may be physically coupled together by some physical reference, such as a calibrated bar or shim or other mechanical means. Another coupling alternative is use of electronic means for coupling. The spacing between the masks is not necessarily the same as the spacing between the mirrored surfaces (described above) due to the horizontal divergence of the x-ray beams as they reflect off the mirrored surfaces. It is necessary to couple the masks together in the mask assembly to control and fix the separation distance between multiple image fields for parallel processing. In practice, it may be desirable to use a first mask for both focusing and alignment while the second mask is used solely for focusing. Focusing is the process of setting the gap spacing between the mask and the target wafer. Alignment is the translational and rotational referencing in the X- and Y-directions between the masks and the wafer. The dual masks may be coupled together with interferometers which control the distance between the two masks so that alignment is done with one mask while the second mask is referenced to the first for translation and rotation. Alternatively, both masks could be used for alignment and focusing so that no referencing of one mask to the other mask is required. The holes 46 in the mask 44 are illustrated since they could be required for the focusing and alignment operations. Both focusing and alignment steps are known operations to one of ordinary skill practicing in this art. It should be noted that focusing is required for every exposure step but alignment is not, although alignment for every exposure step is also acceptable practice. FIG. 5 illustrates steps in which the target semiconductor wafer 50 is printed in accordance with the present invention. The surface 52 of the wafer is lithographically patterned by the following illustrative sequence. In a first step, image fields 54 and 54', as defined by the mask assembly, are exposed in parallel onto the surface using the method and equipment described above. The physical separation between the image fields 54 and 54' is constrained by the spacing between the mirrored surfaces and by how closely the mask stages may be coupled together. Then, the stage (shown in FIG. 1 as part of the alignment station) jogs the wafer to the next position and image fields 56 and 56' are exposed in parallel. It is envisioned that separate exposure shutters may be desirable to provide more accurate control during the exposure step by providing individual controls for each x-ray beam. The stage would continue to jog to the next position so that two fields are printed for every step until the entire wafer surface is printed. By printing two fields in parallel for every print step, one may reduce the overall lithographic cycle time to approximately 1/2 of what is currently being done. It is understood, however, that the number of image fields printed per step is determined by the number of mirrors and masks provided in the system. Thus, if the mirror assembly and mask assembly each contained three mirrors and masks, respectively, then three image fields would be printed in parallel, thus reducing the time required to print the wafer to approximately 1/3 as compared to current serial processing. Some exposures might use only one of the fields for printing to more completely print all of the possible area on the wafer. The foregoing description and illustrations contained herein demonstrate many of the advantages associated with the present invention. In particular, it has been revealed that by providing a mirror assembly having multiple mirrors and a mask assembly having multiple masks, it is possible to perform parallel x-ray lithography where multiple fields can be simultaneously printed on a wafer surface to reduce the total number of steps required to pattern the entire wafer. Moreover, the equipment modifications required to accommodate the larger assemblies are well within the capabilities of the equipment manufacturers. Yet another advantage is that the present invention effectively combines the superior resolution afforded by x-ray lithography over the other types of lithography with a method to increase the throughput of the process for a manufacturing environment where cycle time translates into money. Additionally, using multiple masks means that smaller mask fields may be used without a detrimental effect on cycle time, which is advantageous because an x-ray mask could have more defects as the mask size increases. Thus, using multiple smaller masks to expose different areas on the wafer makes the defectivity control much easier. Thus it is apparent that there has been provided, in accordance with the invention, a method for multiple fields parallel x-ray lithography that fully meets the need and advantages set forth previously. Although the invention has been described and illustrated with reference to specific embodiments thereof, it is not intended that the invention be limited to these illustrative embodiments. Those skilled in the art will recognize that modifications and variations can be made without departing from the spirit of the invention. For example, the mirror assembly may combine both curved and flat mirrors for use in the beamline optical system instead of being exclusively one or the other. Additionally, the mask assembly may be a monolithic assembly instead of being separate masks coupled together. It is also important to note that the present invention is not limited in any way to printing only two fields at a time, because a larger number of fields may be simultaneously printed depending on the number of mirrors and masks provided in the mirror assembly and mask assembly. Furthermore, there is no requirement that the sequential exposure steps be limited to exposing an adjacent and abutting area on the wafer surface since a more time efficient pattern may depend on the size and shape of the wafer to be printed. Therefore, it is intended that this invention encompasses all such variations and modifications falling within the scope of the appended claims.
abstract
This disclosure provides systems, methods, and apparatus related to beam stops. In one aspect, a device comprises a luminescent material, a beam stop plate, and an optical fiber. The luminescent material is a parallelepiped having a first side and a second side that are squares and having a third side that is a rectangle or a square. The first side and the second side are perpendicular to the third side. The beam stop plate is attached to the first side of the luminescent material. The optical fiber has a first end and a second end, with the first end of the optical fiber attached to the third side of the luminescent material.
053612868
abstract
A method for cleaning inlet mixers while they remain in their operating position within a nuclear reactor. A water-powered cleaning tool is inserted by remote control into the inlet mixer via a secondary inlet opening. Following insertion of the cleaning tool, the internal surfaces of the inlet mixer are cleaned with a waterjet created from an ultra-high-pressure source and directed by controlled positioning of a cleaning head having an nozzle which scans the cleaning waterjet across the surface to be cleaned. The cleaning system for carrying out the method includes a nozzle cleaning tool, a throat/barrel/flare cleaning tool, pumping systems for supplying ultra-high-pressure equal to at least 20,000 psi and low-pressure water to an installed cleaning tool, a launching system located at the top of the opened reactor vessel for feeding the water conduits (power), control cables, monitoring cables (instrument) and cleaning tool into and out of the inlet mixer, and a computerized process monitoring and control system.
047553529
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows a prototype 2 MWt Slowpoke -3 heating reactor which was described in the above mentioned article presented at the IAEA Technical Committee on Dec. 5-9, 1983. In the figure, a core 1 consists of an anassembly of 193 Zircaloy [Trademark] clad UO.sub.2 fuel elements with 5% enrichment. The fuel elements are siimlar to the CANDU power reactor fuel elements. Subcooled nucleate boiling occurs on the surface of the fuel elements at normal operating conditions with negligible void fraction due to bubbles. The reactor is cooled by natural circulation of light water in the pool 3, which also acts as a moderator and provides radiation shielding. Two submerged plate-type heat exchangers 5 transfer heat from the pool water to a secondary water circuit 7. Plate-type heat exchangers are chosen for their compactness and low pressure drop. A secondary water circuit isolates the building water heating system 9 from any possible contamination. The reactor pool has no penetrations and consists of an outer bolted steel liner 11, a reinforced-concrete cylindrical wall 13, and a welded inner vessel 14 of 5 mm thick stainless steel. The interspace will be monitored for leakage. A lid 15 creates an unpressurized air space above the water. This air is continuously circulated through a purification circuit. Water vapour, radioactive argon 41, radiolytic hydrogen and any released gaseous fission products are removed in the purification circuit. A wetproof catalyst developed at Chalk River Nuclear Laboratories (CRNL) of AECL recombines the hydrogen to form water. Any gaseous iodine released from a defective fuel element is collected on a charcoal filter. Radioactive argon releases are calculated to be a small fraction of that allowed. Water purity is maintained using ion-exchange columns. Reactivity is controlled by the vertical movement of an annular beryllium reflector 17 surrounding the core or by in-core absorbers. Both the range and rate of movement of the beryllium reflector are limited by mechanical design. The reflector is controlled automatically to maintain constant water temperature at the core outlet, and periodic manual adjustment compensates for fuel burnup. A neutron absorber solution can be added to the pool water by gravity to shut the reactor down over a period of 5 minutes. As shown in FIG. 1, the absorber solution is contained in two tanks 16, each of which has three release valves 18. This system is actuated by an abnormal temperature rise in the water and is fail-safe. Neutron sensing instruments are not required for this slow response. The reactor building 20 is of sheet metal construction. Dampers on the building ventilation system are closed automatically by alarm signals from radiation monitors. A core containing 5% enriched uranium will last for 3 years at a typical site. The spent fuel is then removed from its normal position and stored in the pool. After a decay period of a few weeks it can be transferred under water to a shielded shipping container. Shutdown heat removal by conduction from the pool to the surroundings is probably sufficient, but may be supplemented by a natural circulation decay heat removal system dissipating .apprxeq.10 kW to the atmosphere. Slowpole-3 was conceived as a small, safe nuclear reactor producing hot water to heat buildings. Electricity is more readily distributed than hot water and consequently is a more marketable product if competitive. The successful development of low temperature (.perspectiveto.100.degree. C.) organic Rankine cycle engines suggest the combination of these engines or alternatives with inherently safe unattended, small nuclear reactors even though efficiencies will be relatively low. As shown in FIG. 2, in its simplest form a Rankine cycle engine consists of a boiler 21, condense 23, turbine/generator 25, and feed pump 27. Heat from a nuclear reactor 24, or waste stream, or solar pond is used to heat the Rankine cycle fluid in the boiler, providing saturated or superheated vapour to the turbine. The fluid expands through the turbine from which power is extracted, before passing to the condenser where heat is transferred to a cooling stream 31. A feed pump raises the fluid pressure and passes the fluid to the boiler to complete the cycle. FIG. 3 and 4 show a pool reactor concept similar to Slowpoke-3 but improved to maximize electrical output. Pumping the pool water by a pump 40 or 41 through the core 42 or 43 results in a low temperature rise of this water, consequently the Rankine engine fluid (e.g. Freon 113) can evaporate at a much higher temperature that that used in Slowpoke-3 leading to improved Carnot and actual efficiency. Other features of the circuit are used of a secondary water circuit 44 or 45 to keep Freon out of the reactor, an upper stagnant layer in the pool, and water transport delays to avoid N.sup.16 activity problems. Hot water supplied to the Rankine cycle unit was tentatively set at 90.degree. C. supply and 87.degree. C. return. Table 1 below shows the efficienceies predicted for this concept. TABLE 1 ______________________________________ Cooling Medium H.sub.2 O H.sub.2 O air Sink Temperature 21.degree. C. 3.2.degree. C. -33.degree. C. Net Efficiency 9.7% 12.8% 16.1% ______________________________________ FIG. 5 shows the variation of efficiency with sink temperataure for Slowpole-3 and the present invention. A 10% reduction of the engine efficiency in the present invention is reasonable to allow for additional pumping power losses. The marked improvement in efficiency for the present invention should be noted especially for warm (20.degree. C.) heat sinks. Freon refrigerants R113 and R114 were considered as working fluids, together with sink temperatures of 21.degree. C., 3.degree. C. and -33.degree. C. corresponding to summer water cooling in southern Canada, winter water cooling, and Arctic air cooling respectively. The effect of using a regenerator was also considered, and in conjunction with R 113 was found to be the best compromise giving the gross efficiencies shown in FIG. 6. Parasitic pumping powers must be minimized by detail design for maximum net efficiency. A net efficiency of 10% should be achievable from a pumped-Slowpoke in most of the Canadian remote communities where 3.degree. C. cooling water is available for most of the year. FIG. 7 shows a further preferred embodiment to advance the inventive concept described earlier in connection with FIG. 3. In the figure, a swimming pool container 61 holding a body of light water 63 and a body of poison solution 65. Due to a diference in their specific density, the light water floats on the poison solution but plates 67 may be provided at the interface in order to limit diffusion between the two liquids. A nuclear reactor core 69 is located inside a reactor chamber 71 which is immersed in the poison solution. The reactor chamber is fitted with a conventional bolted cover flange 72 made of stainless steel or Zircaloy [Trademark]. The poison solution may contain gadolinium. A light water passage 73 and a discharge passage 75. The intake passage 73 has an intake end 77 positioned in the body of light water to take in the light water by means of a pump 79. The pump circulates forcefully the light water through the nuclear reactor core. The light water functions as a coolant as well as a moderator. The discharge passage 75 leads the heated light water from the nuclear reactor core 69 to a primary heat exchanger 81 which discharges the light water back to the body of water 63 through a main pressure restriction 83 after the heat has been transferred to the secondary water circuit 85. The discharge passage 75 and the primary heat exchanger 81 are heat insulated from the bodies of water and poison solution. In the reactor chamber 71, a shielding 87 and conventional reactor control mechanism 89 are provided to control the activities of the nuclear reactions. The primary heat exchanger 81 has the secondary water circuit 85 which is provided with a pump 91 to circulate the water therein. A boiler 93 is located in the secondary circuit to heat an organic heat exchanger fluid such as Freon [Trademark] provided in a turbine circuit 95 and circulated therethrough by a pump 97. A turbine 99 in the turbine circuit drives a generator 101 which generates electricity. A condenser 103 is located in the turbine circuit 95 to cool Freon [Trademark] in the circuit with a cooling water 105. Appropriate means, such as valves etc, are provided on the intake passage 73 at a location 107 to introduce poison solution 65 thereinto for an emergency shut-down. Gravity valves or other safe actuating mechanisms can be employed here. Because of the higher pressure e.g. 500 psig created by the pump 79, the reactor core can heat the moderator/coolant to about 230.degree. C. The primary heat exchanger then transfers the heat to the secondary circuit 85 to a temperature of about 215.degree. C. at the head of the boiler 93. The moderator/coolant discharged from the primary heat exchanger is about 90.degree. C. which is the temperature of the body of light water in the container 61. The boiler 93 heats Freon [Trademark] in the turbine circuit from 95.degree. C. to about 150.degree. C. The flow of the moderator/coolant is such that the temperature at the reactor outlet reaches 230.degree. C. at full power and the pressure is chosen to ensure sub-cooling still exists with negligible void in the core under normal operation. A temperature rise of about 140.degree. C. through the core results in a comparable temperature reduction on extraction of the heat in the Rankine engine boiler 93. With steam as the Rankine fluid, a low cycle efficiency would result with evaporation at near 85.degree. C., and with Freon [Trademark] a much higher evaporation temperature e.g. 150.degree. C., is obtained since much of the heat is transferred during the preheat portion before boiling. Net efficiency of about 20% is achievable for this preferred embodiment, low reactor water flow giving low parasitic power consumption. The design of the core region is more complex than for an unpressurized system. Locating the flange 72 more than 3 feet from the core would minimize neutron dose therethrough. Infrequent refueling (e.g. every few years) make this arrangement more viable. The power of the pump 79 in the intake passage is about 3% of the electrical output, hence a simple static orifice, as the main pressure restriction 83 at outlet is acceptable rather an a energy saving expander. The discharge passage 75 must be well heat insulated in order to reduce heat losses due to nucleate boiling. Double piping is one obvious solution while local stagnant water layers inside the reactor cover flange or other alternate can be employed here. The core temperature rise .DELTA.T (140.degree. C.) is approximately one fifth of our above referenced Slowpoke-3 core .DELTA.t, (surface boiling being the heat removal mechanism from the fuel), consequently velocity is reduced proportionately and parasitic power losses are acceptable. In place of conventional heat exchanger, a plate heat exchanger can handle low flow quite well as the primary heat exchanger. More pressure drop can be accepted for a pumed scheme leading to smaller heat exchangers if desired. The mean core temperature is higher than in Slowpoke-3 resulting in larger ractivity change due to temperature change. On pump rundown, pressure will reduce and bulk boiling will occur initially at the lowest pressure (high point), or at a high heat flux area (core). Ideally the reactor should partially void reducing power due to negative void coefficient without instabilities or burn-out occuring. One alleviating measure which has been considered is shown in FIG. 8. In that figure, another preferred embodiment is shown as having the primary heat exchangers mounted below the nuclear core. Ultimate shut down can be assured by poison injection. The system shown in FIG. 7 uses gadolinium solution and a redundant number of gravity operated flapper valves which are manually closed at start-up. Gadolinium injection only occurs at the end of the transient with the embodiment shown in FIG. 7 but mechanisms for inherent triggering of the valves on 10% flow or pressure reduction can be devised if necessary. The gadolinium solution fills the lower half of the pool container 61, with the upper part and pumped circuit full of unpoisoned water. Plates 67 could be provided to limit mixing and diffusion while a purification system 109 similar to the Slowpoke-3 system would be used to clean up following a poison shut down. The secondary water circuit 85 is provided to isolate Freon in the turbine circuit from the reactor pool container. In FIG. 8, another preferred embodiment is shown. In this embodiment, a primary heat exchanger 111 is mounted below the reactor core 113 in a pool container which holds a body of light water as the moderator/coolant. The gadolinium poison solution is contained pressurized in an injection system 115 and is adapted to be injected into an intake passage 117 through valves 119 which are designed to be activated on 10% pressure or flow reduction. This arrangement overcomes any diffusion, pH, or other design problems of FIG. 7, yet still ultimately shuts down the reactor on in-reactor piping failure. Minimizing unwanted shut downs would required duplicating pumps and a reliable power supply. A pump 121 is provided in the intake passage and is mounted externally to the container to ensure easy access for maintenance. Pressure restriction means 122 at the heat exchanger 111 has a cross section smaller than that of the remaining portion of the coolant moderator passage. The location of the primary heat exchanger ensures the voiding occurs at the core first in the event of the pump run down. A beryllium slab reflector 123 shown in the figure controls reactivity of the reactor. Alternative horizontal reactivity mechanisms are also shown at 125. This may simplify fuel handling and the bolted flange assembly of FIG. 7. While FIG. 8 shows a different embodiment, operating characteristics, such as temperatures of the moderator-coolant light water at various points along the passage, are similar to those shown in FIG. 7, resulting in the comparable net efficiency.
056231091
claims
1. A method of monitoring and diagnosing plant conditions comprising the steps of: detecting and accumulating plant operating conditions, apparatus operating conditions and environment conditions; inputting and accumulating plant inspection data; and monitoring and diagnosing said plant conditions based on plant record information including said accumulated detection data and inspection data; detecting and accumulating plant operating conditions, apparatus operating conditions and environment conditions; inputting and accumulating plant inspection data; and monitoring and diagnosing said plant conditions based on plant record information including said accumulated detection data and inspection data; wherein said plant record information is stored in the form of a plant chart in which said detection data and said inspection data are compressed and accumulated, and the plant conditions are diagnosed based on the data in said plant chart; and wherein said detection data and said inspection data each comprise plural kinds of data, these plural kinds of data are given with predetermined weights before the plant conditions are monitored and diagnosed, these predetermined weights are changed in accordance with the result of diagnosing the plant conditions, and these changed detection data and said inspection data are compressed and stored as the data in said plant chart; and wherein one of said plural kinds of detection data relates to a given operating condition; determining a first plurality of values of said given operating condition for the respective different detection data using said inputted plurality of detection data; and storing correlations of said first plurality of values of said given operating condition as said plant chart for monitoring and diagnosis; and wherein said diagnosing comprises comparing said correlation of said first plurality of values of said given operating condition stored as said plant chart and correlations of a second plurality of values of said given operating condition for the respective different detection data determined using a second plurality of values of said plurality of different detection data to monitor and diagnose an abnormality in a plant element relating to said operating condition. 2. A method of monitoring and diagnosing plant conditions comprising the steps of: 3. A method of monitoring and diagnosing plant conditions according to claims 1 or 2, wherein said first step further includes a step of inputting inspection data from a plant; and taking said inspection data into consideration to determine said correlation as a plant chart for monitoring and diagnosis. 4. A method of monitoring and diagnosing plant conditions according to claims 1 or 2, wherein when an abnormality is detected in said first step, an abnormal location and abnormal details are identified and an event which will ensue from the abnormality is predicted. 5. A method of monitoring and diagnosing plant conditions according to claim 4, wherein said identified abnormal location and details and said event which will ensue from the abnormality are indicated by display means. 6. A method of monitoring and diagnosing plant conditions according to claim 4, wherein a countermeasure for dealing with the detected abnormality is selected, and said identified abnormal location and details, said event which will ensue from the abnormality, and a countermeasure are indicated by display means. 7. A method of monitoring and diagnosing plant conditions according to claims 1 or 2, wherein a residual life for said plant element is evaluated based on the data in the plant chart and material degradation data calculated from the plant chart data. 8. A method of monitoring and diagnosing plant conditions according to claim 7, wherein an effect of crack propagation is evaluated based on the data in the plant chart, the inspection data, and the material degradation data, in terms of crack incubation time until microscopic cracks coalesce to become a macroscopic crack, a propagation length of the macroscopic crack, and a critical crack length which reflects deterioration of the material strength, thereby evaluating a residual life for said plant element.
description
The present application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/175,899, filed May 6, 2009, the entirety of which is hereby incorporated by reference. The present invention relates generally to apparatus, systems and methods for storing and/or transporting high level radioactive waste, and specifically to such apparatus, systems and methods that utilize a ventilated vertical overpack that allows natural convection cooling of the high level radioactive waste, which can be spent nuclear fuel (“SNF”) in certain instances. In the operation of nuclear reactors, it is customary to remove fuel assemblies after their energy has been depleted down to a predetermined level. Upon removal, this SNF is still highly radioactive and produces considerable heat, requiring that great care be taken in its packaging, transporting, and storing. In order to protect the environment from radiation exposure, SNF is first placed in a canister, which is typically a hermetically sealed canister that creates a confinement boundary about the SNF. The loaded canister is then transported and stored in a large cylindrical container called a cask. Generally, a transfer cask is used to transport spent nuclear fuel from location to location while a storage cask is used to store SNF for a determined period of time. In a typical nuclear power plant, an open empty canister is first placed in an open transfer cask. The transfer cask and empty canister are then submerged in a pool of water. SNF is loaded into the canister while the canister and transfer cask remain submerged in the pool of water. Once the canister is fully loaded with SNF, a lid is placed atop the canister while in the pool. The transfer cask and canister are then removed from the pool of water. Once out of the water, the lid of the canister is welded to the canister body and a cask lid is then installed on the transfer cask. The canister is then dewatered and backfilled lied with an inert gas. The transfer cask (which is holding the loaded canister) is then transported to a location where a storage cask is located. The loaded canister is then transferred from the transfer cask to the storage cask for long term storage. During transfer of the canister from the transfer cask to the storage cask, it is imperative that the loaded canister is not exposed to the environment. One type of storage cask is a ventilated vertical overpack (“VVO”). A VVO is a massive structure made principally from steel and concrete and is used to store a canister loaded with spent nuclear fuel. Traditional VVOs stand above ground and are typically cylindrical in shape and are extremely heavy, often weighing over 150 tons and having a height greater than 16 feet. VVOs typically have a flat bottom, a cylindrical body having a cavity to receive a canister of SNF, and a removable top lid. In using a VVO to store SNF, a canister loaded with SNF is placed in the cavity of the cylindrical body of the VVO. Because the SNF is still producing a considerable amount of heat when it is placed in the VVO for storage, it is necessary that this heat energy have a means to escape from the VVO cavity. This heat energy is removed from the outside surface of the canister by ventilating the VVO cavity. In ventilating the VVO cavity, cool air enters the VVO chamber through bottom ventilation ducts, flows upward past the loaded canister as it is warmed from the heat emanating from the canister, and exits the VVO at an elevated temperature through top ventilation ducts. Such VVOs do not require the use of equipment to force the air flow through the VVO. Rather, these VVOs are passive cooling systems as they use the natural air flow induced by the heated air to rise within the VVO (also know as the chimney effect). While it is necessary that the VVO cavity be vented so that heat can escape from the canister, it is also imperative that the VVO provide adequate radiation shielding and that the SNF not be directly exposed to the external environment. The inlet duct located near the bottom of the overpack is a particularly vulnerable source of radiation exposure to security and surveillance personnel who, in order to monitor the loaded VVOs, must place themselves in close vicinity of the ducts for short durations. Therefore, when a typical VVO is used to store a canister of SNF in its internal cavity, the canister is supported in the cavity so that the bottom surface of the canister is higher than the top of inlet ventilation ducts. This is often accomplished by providing support blocks on the floor of the cavity. By positioning the bottom surface of the canister above the inlet ventilation ducts, a line of sight does not exist from the canister to the external atmosphere through the inlet ventilation ducts, thus eliminating the danger of radiation shine out of inlet ventilation ducts. However, as discussed below, positioning a canister in the cavity of a VVO so that the bottom surface of the canister is above the top of the inlet ventilation ducts creates two issues: (1) a potential cooling problem during a “smart flood” condition; and (2) an increased height of the VVO. Subpart K of 10 C.F.R. §72 provides for a “general certification” of casks for on-site storage of SNF. A number of casks have been licensed by the United States Nuclear Regulatory Committee (“U.S.N.R.C.”) and are listed in subpart L of 10 C.F.R. §72. These casks are certified to store a whole class of SNF (including SNF coming from pressurized water reactors (PWRs) or boiling water reactors (BWRs)). Unfortunately, reactors burn fuel in a wide variety of lengths. For example, PWRs in the U.S. presently burn fuel as short as 146″ (e.g., Ft. Calhoun) and as long as 198″ (e.g., South Texas). A general certified cask has been licensed in one or two fixed lengths (models) by the U.S.N.R.C. However, if the SNF is too long to fit in a licensed cask, then the cask simply cannot be used. Moreover, if the SNF is too short, then axial spacers are used to fill the open space in the storage cells to limit the movement of SNF in the axial direction. Thus, most casks and canisters used in the on-site storage of SNF have significant open spaces in their storage cells. This condition is particularly undesirable for VVOs because of the adverse consequence to the occupational dose to the plant personnel and cost (because of physical modifications forced on the plant), as set forth below. First, the dose received by the workers performing the loading operations is directly influenced by the amount of shielding material per unit length in the body of the cask. The total quantity of shielding that can be installed in a transfer cask is governed by the lifting capacity of the plant's cask crane. A longer than necessary transfer cask means less shielding per unit length installed in the cask which in turn results in increased dose to the workers. In VVOs, the VVO is often loaded inside the plant's truck bay by stacking the transfer cask over the VVO. Minimizing the height of the VVO's body is essential to allow the VVO to be moved out through the plant's truck bay (typically, a roll-up door) after the canister is installed therein. The loaded VVO is typically moved out across the roll-up door without its lid, and the lid is then installed on it immediately after the VVO body clears the door. Therefore, a key objective in the storage VVO design is to minimize the height of VVO body. In another variation, the transfer cask itself is taken outside through the plant's truck bay and carried over to a pit where the transfer of the canister to the VVO takes place. In this case, the height of the transfer cask must be short enough to clear the plant's roll-up door to avoid the need to shorten the transfer cask (or alternatively, to increase the height of the roll-up door). Shortening the transfer cask is not always possible. The present invention, in one aspect, is a ventilated overpack having specially designed inlet ducts that allow a canister loaded with SNF (or other high level radioactive waste) to be positioned within the overpack so that a bottom end of the canister is below a top of the inlet ducts while still preventing radiation from escaping through the inlet ducts. This aspect of the present invention allows the overpack to be designed with a minimized height because the canister does not have to be supported in a raised position above the inlet ducts within the cavity of the overpack. Thus, it is possible for the height of the cavity of the overpack to be approximately equal to the height of the canister, with the addition of the necessary tolerances for thermal growth effects and to provide for an adequate ventilation space above the canister. When the canister is supported within the overpack cavity so that the bottom end of the canister is below the top end of the inlet ducts, the canister is protected from over-heating during a “smart flood” condition because a substantial portion of the canister will become submerged in the flood water prior to the incoming air flow from the inlet duct being choked off. Moreover, the design and arrangement of inlet ducts of the inventive overpack result in the cooling air flow within the overpack to not be significantly impacted by high wind conditions exterior to the overpack. In one embodiment, the invention can be an apparatus for transporting and/or storing high level radioactive waste comprising: an overpack body having an outer surface and an inner surface forming an internal cavity about a longitudinal axis; a base enclosing a bottom end of the cavity; a plurality of inlet ducts in a bottom of the overpack body, each of the inlet ducts extending from an opening in the outer surface of the overpack body to an opening in the inner surface of the overpack body so as to form a passageway from an external atmosphere to a bottom portion of the cavity; a columnar structure located within each of the inlet ducts, the columnar structures dividing each of the passageways of the inlet ducts into first and second channels that converge at the first and second openings, wherein for each inlet duct a line of sight does not exist between the opening in the inner surface of the overpack body and the opening in the outer surface of the overpack body; a lid enclosing a top end of the cavity; and a plurality of outlet ducts, each of the outlet ducts forming a passageway from a top portion of the cavity to the external atmosphere. In another embodiment, the invention is an apparatus for transporting and/or storing high level radioactive waste comprising: a cylindrical radiation shielding body forming an internal cavity and having a vertical axis; a base enclosing a bottom end of the cavity; a plurality of inlet ducts in a bottom of the radiation shielding body, each of the inlet ducts forming a horizontal passageway from an external atmosphere to a bottom portion of the cavity; a radiation shielding structure located within each of the inlet ducts that divides the horizontal passageway of the inlet duct into at least first and second horizontally adjacent portions and blocks a line of sight from existing from the cavity to the external atmosphere through the inlet duct; a radiation shielding lid enclosing a top end of the cavity; and a plurality of outlet ducts, each of the outlet ducts forming a passageway from a top portion of the cavity to the external atmosphere. In another aspect, the invention is directed to a method of utilizing a general license obtained for two different ventilated vertical overpacks to manufacture a third ventilated vertical overpack that is covered by the general license without filing an application for certification of the third ventilated vertical overpack. In one embodiment, the invention can be a method of manufacturing a licensed ventilated vertical overpack without filing an application for certification comprising: designing a first ventilated vertical overpack comprising: a first cavity for receiving a first canister containing high level radioactive waste, the first cavity having a first horizontal cross section and a first height; a first ventilation system for facilitating natural convection cooling of the first canister within the first cavity, the first ventilation system comprising a first plurality of inlet vents for introducing cool air into a bottom of the first cavity and a first plurality of outlet vents for allowing heated air to escape from a top of the first cavity; and wherein the first ventilated vertical overpack is designed to withstand an inertial load resulting from a postulated tip-over event so as to maintain the integrity of the first canister within the cavity; designing a second ventilated vertical overpack comprising: a second cavity for receiving a second canister containing high level radioactive waste, the second cavity having a second horizontal cross section that is the same as the first horizontal cross section and a second height that is less than the first height; a second ventilation system for facilitating natural convective cooling of the second canister within the second cavity, the second ventilation system comprising a second plurality of inlet vents for introducing cool air into a bottom of the second cavity and a second plurality of outlet vents for allowing heated air to escape from a top of the second cavity, wherein the second plurality of inlet vents have the same configuration as the first plurality of inlet vents and the second plurality of outlet vents have the same configuration as the first plurality of outlet vents; and wherein the second ventilated vertical overpack is designed to achieve a heat rejection capacity; obtaining a license from a regulatory agency for the first and second ventilated vertical overpacks; manufacturing a third ventilated vertical overpack comprising: a third cavity for receiving a third canister containing high level radioactive waste, the third cavity having a third horizontal cross section that is the same as the first and second horizontal cross sections and a third height that is less than the first height and greater than the second height; a third ventilation system for facilitating natural convective cooling of the third canister within the third cavity, the third ventilation system comprising a third plurality of inlet vents for introducing cool air into a bottom of the third cavity and a third plurality of outlet vents for allowing heated air to escape from a top of the third cavity, wherein the third plurality of inlet vents have the same configuration as the first and second plurality of inlet vents, and the third plurality of outlet vents have the same configuration as the first and second plurality of outlet vents; and wherein the third ventilated vertical overpack is automatically covered by the license without filing a new application for certification with the regulatory agency. In another embodiment, the invention can be a method of manufacturing a licensed ventilated vertical overpack without filing an application for certification comprising: designing a first ventilated vertical overpack having a first cavity for receiving a first canister containing high level radioactive waste and having a structural configuration that can withstand an inertial load resulting from a postulated tip-over event so as to maintain the integrity of the first canister within the cavity, the first cavity having a first height that corresponds to a height of the first canister; designing a second ventilated vertical overpack having a second cavity for receiving a second canister containing high level radioactive waste and an inlet and outlet duct configuration for facilitating natural convective cooling of the second canister that achieves a heat rejection capacity, the second cavity having a second height that corresponds to a height of the second canister, the first height being greater than the second height; obtaining a license from a regulatory agency for the first and second ventilated vertical overpacks; manufacturing a third ventilated vertical overpack comprising: a third cavity for receiving a third canister containing high level radioactive waste, the third cavity having a third height that corresponds to a height of the third canister, the third height being greater than the second height and less than the first height; a structural configuration that is the same as the structural configuration of the first ventilated vertical overpack; and an inlet and outlet duct configuration for facilitating natural convective cooling of the third canister that is the same as the inlet and outlet duct configuration of the second ventilated vertical overpack; and wherein the first, second and third cavities have the same horizontal cross-sections and the first, second and third canisters have the same horizontal cross-sections; wherein the third ventilated vertical overpack is automatically covered by the license without filing a new application for certification with the regulatory agency. Referring to FIGS. 1-4 concurrently, a ventilated vertical overpack (“VVO”) 1000 according to an embodiment of the present invention is illustrated. The VVO 1000 is a vertical, ventilated, dry, SNF storage system that is fully compatible with 100 ton and 125 ton transfer casks for spent fuel canister transfer operations. The VVO 1000 can, of course, be modified and/or designed to be compatible with any size or style of transfer cask. Moreover, while the VVO 1000 is discussed herein as being used to store SNF, it is to be understood that the invention is not so limited and that, in certain circumstances, the VVO 1000 can be used to transport SNF from location to location if desired. Moreover, the VVO 1000 can be used in combination with any other type of high level radioactive waste. The VVO 1000 is designed to accept a canister for storage at an Independent Spent Fuel Storage Installation (“ISFSI”). All canister types engineered for the dry storage of SNF can be stored in the VVO 1000. Suitable canisters include multi-purpose canisters (“M PCs”) and, in certain instances, can include thermally conductive casks that are hermetically sealed for the dry storage of high level radioactive waste. Typically, such canisters comprise a honeycomb basket 250, or other structure, to accommodate a plurality of SNF rods in spaced relation. An example of an MPC that is particularly suited for use in the VVO 1000 is disclosed in U.S. Pat. No. 5,898,747 to Krishna Singh, issued Apr. 27, 1999, the entirety of which is hereby incorporated by reference. The VVO 1000 comprises two major parts: (1) a dual-walled cylindrical overpack body 100 which comprises a set of inlet ducts 150 at or near its bottom extremity and an integrally welded baseplate 130; and (2) a removable top lid 500 equipped with radially symmetric outlet vents 550. The overpack body 100 forms an internal cylindrical storage cavity 10 of sufficient height and diameter for housing an MPC 200 fully therein. As discussed in greater detail below, the VVO 1000 is designed so that the internal cavity 10 has a minimized height that corresponds to a height of the MPC 200 which is to be stored therein. Moreover, the cavity 10 preferably has a horizontal (i.e., transverse to the axis A-A) cross-section that is sized to accommodate only a single MPC 200. The overpack body 100 extends from a bottom end 101 to a top end 102. The base plate 130 is connected to the bottom end 101 of the overpack body 100 so as to enclose the bottom end of the cavity 10. An annular plate 140 is connected to the top end 102 of the overpack body 100. The annular plate 140 is ring-like structure while the base plate 130 is thick solid disk-like plate. The base plate 130 hermetically encloses the bottom end 101 of the overpack body 100 (and the storage cavity 10) and forms a floor for the storage cavity 10. If desired, an array of radial plate-type gussets 112 may be welled to the inner surface 121 of an inner shell 120 and a top surface 131 of the base plate 130. In such an embodiment, when the MPC 200 is fully loaded into the cavity 10, the MPC 200 will rest atop the gussets 112. The gussets 112 have top edges that are tapered downward toward the vertical central axis A-A. Thus, the gussets 112 guide the MPC 200 during loading and help situate the MPC 200 in a co-axial disposition with the central vertical axis A-A of the VVO 1000. In certain embodiments; the MPC 200 may not rest on the gussets 112 but rather may rest directly on the top surface 131 of the base plate 130. In such an embodiment, the gussets 112 may still be provided to not only act as guides for properly aligning the MPC 200 within the cavity 10 during loading but also to act as spacers for maintaining the MPC 200 in the desired alignment within the cavity 10 during storage. By virtue of its geometry, the overpack body 100 is a rugged, heavy-walled cylindrical vessel. The main structural function of the overpack body is provided by its carbon steel components while the main radiation shielding function is provided by an annular plain concrete mass 115. The plain concrete mass 115 of the overpack body 100 is enclosed by concentrically arranged cylindrical steel shells 110, 120, the thick steel baseplate 130, and the top steel annular plate 140. A set of four equispaced steel radial connector plates 111 are connected to and join the inner and outer shells 110, 120 together, thereby defining a fixed width annular space between the inner and outer shells 120, 110 in which the plain concrete mass 115 is poured. The plain concrete mass 115 between the inner and outer steel shells 120, 110 is specified to provide the necessary shielding properties (dry density) and compressive strength for the VVO 1000. The principal function of the concrete mass 115 is to provide shielding against gamma and neutron radiation. However, the concrete mass 115 also helps enhance the performance of the VVO 1000 in other respects as well. For example, the massive bulk of the concrete mass 115 imparts a large thermal inertia to the VVO 1000, allowing it to moderate the rise in temperature of the VVO 1000 under hypothetical conditions when all ventilation passages 150, 550 are assumed to be blocked. The case of a postulated fire accident at an ISFSI is another example where the high thermal inertia characteristics of the concrete mass 115 of the VVO 1000 controls the temperature of the MPC 200. Although the annular concrete mass 115 in the overpack body 100 is not a structural member, it does act as an elastic/plastic filler of the inter-shell space. Four threaded steel anchor blocks (not illustrated) are also provided at the top of the overpack body 100 for lifting. The anchor blocks are integrally welded to the radial plates 111, which join the inner and outer shells 120, 110. The four anchor blocks are located at 90° angular spacings around the circumference of the top of the overpack body 100. While the cylindrical body 100 has a generally circular horizontal cross-section, the invention is not so limited. As used herein, the term “cylindrical” includes any type of prismatic tubular structure that forms a cavity therein. As such, the overpack body can have a rectangular, circular, triangular, irregular or other polygonal horizontal cross-section. Additionally, the term “concentric” includes arrangements that are non-coaxial and the term “annular” includes varying width. The overpack body 100 comprises a plurality of specially designed inlet vents 150. The inlet vents 150 are located at a bottom of the overpack body 100 and allow cool air to enter the VVO 1000. The inlet vents 150 are positioned about the circumference of overpack body 100 in a radially symmetric and spaced-apart arrangement. The structure, arrangement and function of the inlet vents 150 will be described in much greater detail below with respect to FIGS. 4-6 and 10. Referring now to FIGS. 1-4 and 7 concurrently, the overpack lid 500 is a weldment of steel plates 510 filled with a plain concrete mass 515 that provides neutron and gamma attenuation to minimize skyshine. The lid 500 is secured to a top end 101 of the overpack body 100 by a plurality of bolts 501 that extend through bolt holes 502 formed into a lid flange 503. When secured to the overpack body 100, surface contact between the lid 500 and the overpack body 100 forms a lid-to-body interface. The lid 500 is preferably non-fixedly secured to the body 100 and encloses the top end of the storage cavity 10 formed by the overpack body 100. The top lid 500 further comprises a radial ring plate 505 welded to a bottom surface 504 of the lid 500 which provides additional shielding against the laterally directed photons emanating from the MPC 200 and/or the annular space 50 (best shown in FIG. 9) formed between the outer surface 201 of the MPC 200 and the inner surface 121 of the inner shell 120. The ring plate 505 also assists in locating the top lid 500 in a coaxial disposition along axis A-A of the VVO 1000 through its interaction with the annular ring 140. When the lid 500 is secured to the overpack body 100, the outer edge of the ring plate 505 of the lid 500 abuts the inner edge of the annular plate 140 of the overpack body 100. A third function of the radial ring 501 is to prevent the lid 500 from sliding across the top surface of the overpack body 100 during a postulated tipover event defined as a non-mechanistic event for the VVO 1000. As mentioned above, the lid 500 comprises a plurality of outlet vents 550 that allow heated air within the storage cavity 10 of the VVO 1000 to escape. The outlet vents 550 form passageways through the lid 500 that extend from openings 551 in the bottom surface 504 of the lid 500 to openings 552 in the peripheral surface 506 of the lid 500. While the outlet ducts 550 form L-shaped passageways in the exemplified embodiment, any other tortuous or curved path can be used so long as a clear line of sight does not exist from external to the VVO 1000 into the cavity 10 through the inlet ducts 550. The outlet vents 550 are positioned about the circumference of the lid 500 in a radially symmetric and spaced-apart arrangement. The outlet ducts 550 terminate in openings 552 that are narrow in height but axi-symmetric in the circumferential extent. The narrow vertical dimensions of the outlet ducts 550 helps to efficiently block the leakage of radiation. It should be noted, however, that while the outlet vents 550 are preferably located within the lid 500 in the exemplified embodiment, the outlet vents 550 can be located within the overpack body 100 in alternative embodiments, for example at a top thereof. Referring briefly to FIG. 10, the purpose of the inlet vents 150 and the outlet vents 550 is to facilitate the passive cooling of an MPC 200 located within the cavity 10 of the VVO 1000 through natural convection/ventilation. In FIG. 10, the flow of air is represented by the heavy black arrows 3, 5, 7. The VVO 1000 is free of forced cooling equipment, such as blowers and closed-loop cooling systems. Instead, the VVO 1000 utilizes the natural phenomena of rising warmed air, i.e., the chimney effect, to effectuate the necessary circulation of air about the MPC 200 stored in the storage cavity 10. More specifically, the upward flowing air 5 (which is heated from the MPC 200) within the annular space 50 that is formed between the inner surface 121 of the overpack body 100 and the outer surface 201 of the MPC 200 draws cool ambient air 3 into the storage cavity 10 through inlet ducts 150 by creating a siphoning effect at the inlet ducts 150. The rising warm air 5 exits the outlet vents 550 as heated air 7. The rate of air flow through the VVO 1000 is governed by the quantity of heat produced in the MPC 200, the greater the heat generation rate, the greater the air upflow rate. To maximize the cooling effect that the ventilating air stream 3, 5, 7 has on the MPC 200 within the VVO 1000, the hydraulic resistance in the air flow path is minimized to the extent possible. Towards that end, the VVO 1000 comprises eight inlet ducts 150 (shown in FIG. 6). Of course, more or less inlet ducts 150 can be used as desired. In one preferred embodiment, at least six inlet ducts 150 are used. Each inlet duct 150 is narrow and tall and has an internally refractive contour (shown in FIG. 6) so as to minimize radiation streaming while optimizing the size of the airflow passages. The curved shape of the inlet ducts 150 also helps minimize hydraulic pressure loss. The structure of the inlet ducts 150 will be described below in much greater detail with respect to FIGS. 4-6. Referring back to FIGS. 1-4 and 7 concurrently, in order to decrease the amount of radiation scattered to the environment, an array of duct photon attenuators (DPAs) may be installed in the inlet and/or outlet ducts 150, 550. An example of a suitable DPA is disclosed in U.S. Pat. No. 6,519,307, the entirety of which is hereby incorporated by reference. The DPAs scatter any radiation streaming through the ducts 150, 550, thereby significantly decreasing the local dose rates around the ducts 150, 550. The configuration of the DPAs is such that the increase in the resistance to air flow in the air inlet ducts 150 and outlet ducts 550 is minimized. The inlet ducts 150 permit the MPC 200 to be positioned directly atop the top surface 131 of the base plate 130 of the VVO 1000 if desired, thus minimizing the overall height of the cavity 10 that is necessary to house the MPC 200. Naturally, the height of the overpack body 100 is also minimized. Minimizing the height of the overpack body 100 is a crucial ALARA-friendly design feature for those sites where the Egress Bays in their Fuel Buildings have low overhead openings in their roll-up doors. To this extent, the height of the storage cavity 10 in the VVO 1000 is set equal to the height of the MPC 200 plus a fixed amount to account for thermal growth effects and to provide for adequate ventilation space above the MPC 200, as set forth in Table 1 below. TABLE 1OPTIMIZED MPC, TRANSFER CASK, AND VVO HEIGHTDATA FOR A SPECIFIC UNIRRADIATED FUEL LENGTH, lMPC Cavity Height, cl + Δ1MPC Height (including top lid), hc + 11.75″VVO Cavity HeightH + 3.5″Overpack Body Body Height (height fromH + 0.5″the bottom end to the top end of theoverpack body)Transfer Cask Cavity Heighth + 1″Transfer Cask Height (loaded over the pad)h + 27″Transfer Cask Total HeightH + 6.5″1Δ shall be selected as 1.5″ < Δ < 2″ so that c is an integral multiple of ½ inch (add 1.5″ to the fuel length and round up to the nearest ½″ or full inch). As can be seen from Table 1, the first step in the height minimization plan is to minimize the height of the MPCs 200. The MPC cavity height, c, is customized for each plant (based on its fuel) so that there is no unnecessary (wasted) space. The MPC 200 can be placed directly on the base plate 130 such that the bottom region of the MPC 200 is level with the inlet ducts 150 because radiation emanating from the MPC 200 is not allowed to escape through the specially shaped inlet ducts 150 due to: (1) the inlet ducts 150 having a narrow width and being curved in shape so as to wrap around a columnar structure 155 made of alloy steel or steel (or a combination of steel and concrete); (2) the configuration of the inlet ducts 150 is such that that there is no clear line of sight from inside the cavity 10 to the exterior environment; and (3) there is enough steel and/or concrete in the path of any radiation emanating from the MPC 200 to de-energize it to acceptable levels. The columnar structure 155 is configured to be cylindrical so as to be internally refractive, but it can also be of rectangular, elliptical, or other prismatic cross-sections to fulfill the essence of the above design features. With the radiation streaming problem at the inlet ducts 150 solved, the top 102 of the overpack body 100 can be as little as ½″ higher than the top surface 202 of the MPC 200. Table 1 above gives typical exemplary dimensions but, of course, is not limiting of the present invention. Finally, with reference to FIG. 4, to protect the concrete mass 115 of the VVO 1000 from excessive temperature rise due to radiant heat from the MPC 200, a thin cylindrical liner 160 of insulating material, can be positioned concentric with the inner shell 120. This insulating liner 140 is slightly smaller in diameter than the inner shell 120. The liner acts as a “heat shield” and can be hung from top impact absorbers 165 or can be connected directly to the inner shell 120 or another structure. The insulating layer 140 can be constructed of, without limitation, blankets of alumina-silica fire clay (Kaowool Blanket), oxides of alimuna and silica (Kaowool S Blanket), alumina-silica-zirconia fiber (Cerablanket), and alumina-silica-chromia (Cerachrome Blanket). The underside of the overpack lid 500 may also include a liner of insulating material if desired. The top impact absorbers 165 are connected to the inner surface 121 of the inner shell 120 in a circumferentially spaced apart arrangement at or near the top end of the cavity 10. Similarly, bottom impact absorbers 166 are connected to the inner surface 121 of the inner shell 120 in a circumferentially spaced apart arrangement at or near the bottom end of the cavity 10. The top and bottom impact absorbers 165, 166 are designed to absorb kinetic energy to protect the MPC 200 during an impactive collision (such as a non-mechanistic tip-over scenario). In the exemplified embodiment, the top and bottom impact absorbers 165, 166 are hollow tube like structures but can be plate structures if desired. The impact absorbers 165, 166 serve as the designated locations of impact with the MPC lid 210 and the base plate 220 of the MPC 200 in case the VVO 1000 tips over. The impact absorbers 165, 166 are thin steel members sized to serve as impact attenuators by crushing (or buckling) against the solid MPC lid 210 and the solid MPC base 220 during an impactive collision (such as a non-mechanistic tip-over scenario). Referring now to FIGS. 4-6 concurrently, the details of the inlet ducts 150 will be discussed in detail. Generally, each of the inlet ducts 150 extend from an opening 151 in the outer surface 112 of the overpack body 100 (which in the exemplified embodiment is also the outer surface of the outer shell 110) to an opening 152 in the inner surface 121 of the overpack body 100 (which in the exemplified embodiment is also the inner surface of the inner shell 120). Each of the inlet ducts 150 forms a passageway 153 from an atmosphere external to the VVO 1000 to a bottom portion of the cavity 10 so that cool air can enter the cavity 10. A columnar structure 155 is located within each of the inlet ducts 150. Each of the columnar structures 155 extend along their own longitudinal axis B-B. In the exemplified embodiment, the longitudinal axes B-B of the columnar structures 155 are substantially parallel with the central vertical axis A-A of the VVO 1000. Thought of another way, the longitudinal axes B-B extend in the load bearing direction of the overpack body 100. Of course, the invention will not be so limited in all embodiments and the longitudinal axes B-B of the columnar structures 155 may be oriented in a different manner if desired. The columnar structures 155 are formed by a combination of steel plates 156, 157 and concrete 115. The plates 157 are cylindrical in shape and bound the outer circumferences of the columnar structures 155, thereby forming the outer surfaces of the columnar structures 155. The plates 156 are flat plates that are thicker than the plates 157 and are centrally positioned within the columnar structures 155 so as to extend along the axes B-B. The plates 156 provide structural integrity to the columnar structures 155 (similar to rebar) and also add additional gamma shielding to the columnar structures 155. The columnar structures 155 have a transverse cross-section that is circular in shape. However, the invention is not so limited and the columnar structures 155 can have a transverse cross-section of any prismatic shape. The columnar structures 155 divide each of the passageways 153 of the inlet ducts 150 into a first channel 153A and a second channel 153B. For each inlet duct 150, the first and second channels 153A, 153B converge at both openings 151, 152, thereby collectively surrounding the entire circumference of the outer surface of the columnar structure 155. Thought of another way, for each inlet duct 150, the first and second channels 153A, 153B collectively circumferentially surround the longitudinal axes B-B of the columnar structures 155, forming a circular (or other prismatic) passageway contained within the walls of the overpack body 100. Importantly, for each inlet duct 150, a line of sight does not exist between the opening 152 in the inner surface 121 of the overpack body 100 and the opening 151 in the outer surface 112 of the overpack body 100. This is because the columnar structures 155 block such a line-of-sight and provide the required radiation shielding, thereby preventing radiation shine into the environment via the inlet ducts 150. As such, the MPC 200 can be positioned within the cavity 10 so as to be horizontally and vertically aligned with the inlet ducts 150 without radiation escaping into the external environment (see FIGS. 8-9). Stated conceptually, for each inlet duct 150, the opening 152 in the inner surface 121 of the overpack body 100 is aligned with the opening 151 in the outer surface 112 of the overpack body 100 so that: (i) a first reference plane D-D that is perpendicular to the longitudinal axis A-A of the overpack body 100 intersects both the opening 152 in the inner surface 121 of the overpack body 100 and the opening 151 in the outer surface 112 of the overpack body 100; and (ii) a second reference plane C-C that is parallel with and includes the longitudinal axis A-A of the overpack body 100 intersects both the opening 152 in the inner surface 121 of the overpack body 100 and the opening 151 in the outer surface 112 of the overpack body 100. When an MPC 200 is positioned in the cavity 10 as shown in FIGS. 8-9, the MPC 200 is also intersected by the reference plane C-C and the reference plane D-D. The inlet vents 150 (and thus the first and second channels 153A, B) are lined with steel. For each inlet duct 160, the steel liner includes the cylindrical plate 157 of the columnar structure 155, two arcuate wall plates 158, an annular roof plate 159, and the base plate 130. All connections between these plates can be effectuated by welding. As can best be seen in FIGS. 5 and 6, the width of the first and second channels 153A, B is defined by a gap located between the cylindrical plate 157 of the columnar structure 155 and the two arcuate plates 158. Preferably, the cylindrical plate 157 of the columnar structure 155 and the two arcuate plates 158 are arranged in a concentric and evenly spaced-apart manner so that the first and second channels 153A, B have a constant width. Most preferably, the first and second channels 153A, B are curved so as to reduce hydraulic pressure loss. Finally, it is also preferred that the inlet ducts 150 have a height that is at least three times that of its width. Referring now to FIGS. 8-11 concurrently, the benefits achieved by the special design of the inlet ducts 150 with respect to MPC 200 storage will be discussed. During use of the VVO 1000, an MPC 200 is positioned within the cavity 10. An annular gap 50 exists between the outer surface 201 of the MPC 200 and the inner surface 121 of the overpack body 100 The annular gap 50 creates a passageway along the outer surface 201 of the MPC 200 that spatially connects the inlet vents 150 to the outlet vents 550 so that cool air 3 can enter VVO 1000 via the inlet vents 150, be heated within the annular space 50 so as to become warm air 5 that rises within the annular space 50, and exit the VVO 1000 via the outlet vents 550. The MPC 200 is supported within the cavity 10 so that the bottom surface of the MPC 200 rests directly atop the top surface 131 of the base plate 130. This is made possible because the inlet ducts 150 are shaped so as not to allow radiation to shine therethrough because a clear line-of-sight does not exist from the cavity 10 to the atmosphere outside of the VVO 1000 through the inlet ducts 150. Thus, the cavity 10 (and as a result the overpack body 100) can be made as short as possible and substantially correspond to the height of the MPC 200, as discussed above with respect to Table 1. Additionally, positioning the MPC 200 in the cavity 10 so that the bottom surface of the MPC 200 is below the top of the opening 152 of the inlet vents 150 ensures adequate MPC cooling during a “smart flood condition.” A “smart flood” is one that floods the cavity 10 so that the water level is just high enough to completely block airflow though the inlet ducts 150. In other words, the water level is just even with the top of the openings 152 of the inlet ducts 150. Because the bottom surface of the MPC 200 is situated at a height that is below the top of the openings 152 of the inlet ducts 150, the bottom of the MPC 200 will be in contact with (i.e. submerged in) the water during a “smart flood” condition. Because the heat removal efficacy of water is over 100 times that of air, a wet bottom is all that is needed to effectively remove heat and keep the MPC 200 cool. The MPC cooling action effectively changes from ventilation air-cooling to evaporative water cooling. Additionally, as shown in FIG. 11, the MPC 200 is particularly suited for “smart-flood” cooling because the MPC 200 is designed to achieve an internal natural thermopshion cyclical flow. Thus, in a smart-flood,” the thermosiphon flow in the MPC 200 will circulate the internal gas so that the hot gas is circulated to the top of the MPC where its heat can be effectively removed. As mentioned above, the design discussed above for the VVO 1000 allows the VVO 1000 to be constructed so that the height of the cavity 10 (and thus the VVO 1000) is minimized to the extent possible to accommodate an MPC 200 that, in turn, corresponds in height to the length of the SNF assemblies at issue. It has been further discovered that because the MPC 200 does not have to be positioned above the inlet ducts 150, the same configuration of inlet ducts 150 can be used for any and all VVOs 1000, irrespective of the height of the MPC 200 to be positioned therein. Additionally, it has been further discovered that if the outer horizontal cross-section of the MPC 200 and the inner horizontal cross-section of the VVO 1000 are also kept constant, that it is possible to manufacture VVOs 1000 of variable heights under a single N.R.C. (or other regulatory agency) license without having to obtain a new license, so long as a taller and shorter version of the VVO 1000 has already been licensed. Licensing of the shorter VVO 1000 is necessary because the shorter a VVO 1000 is, the less effective the heat rejection capacity of that VVO's natural ventilation system becomes. This is because decreasing the height of the MPC 200 results in a decreased upward flow of air within the annular space 50, thereby reducing the ventilation of the MPC 200. Licensing of the taller VVO 1000 is necessary because the taller a VVO 1000 is, the more susceptible it becomes to inertial loading resulting from a postulated tip-over event that would destroy the integrity of the MPC 200 within the cavity 10. Stated simply, assuming that the ventilation system of the taller and shorter VVOs are held constant, if the shorter VVO meets the required heat rejection capacity, it can be assumed that all taller VVOs will also meet the required heat rejection capacity. Similarly, assuming that the structural configuration of the taller and shorter VVOs are held constant, if the taller VVO can withstand an inertial load resulting from a postulated tip-over event and maintain the integrity of the MPC within its cavity, it can be assumed that all shorter VVOs will also withstand the inertial load resulting from the postulated tip-over event and maintain the integrity of the MPC within its cavity. As used herein, the structural configuration of two VVOs are held constant if the structural components and arrangements remain the same, with exception of the height of the shells 110, 120 and possibly the diameter of the outer shell 110. Thus, in on embodiment, the invention is directed to a method of designing embodiments of the VVO 1000 so that its height is variable and greater than the plant's fuel length by a certain fixed amount. Thus, VVOs 1000 of varying heights can be manufactured under a single U.S.N.R.C. license and be suitable to store SNF in an optimized configuration at all nuclear plants in the world. An embodiment of the present invention will now be described in relation to VVO 1000 discussed above with the addition to suffixes “A-C” to distinguish between the tall version of the VVO 1000A the short version of the VVO 1000B, and the intermediate version of the VVO 10000 respectively. According to one embodiment of the present invention, a VVO 1000A having a first cavity 10A for receiving a first MPC 200A containing high level radioactive waste is designed. This first VVO 1000A comprises a structural configuration that can withstand an inertial load resulting from a postulated tip-over event of the VVO 1000A so as to maintain the integrity of the first MPC 200A within the cavity. The first cavity 10A has a first height H1 that corresponds to the height of the first MPC 200A as discussed above in relation to Table 1. A second VVO 1000B having a second cavity 10B for receiving a second MPC 200B containing high level radioactive waste is then be designed. The second VVO 1000B comprises a configuration of inlet and outlet ducts 150B, 550B for facilitating natural convective cooling of the second MPC 200B that achieves a required heat rejection capacity. The second cavity 10B has a second height H2 that corresponds to the height of the second MPC 200B as discussed above in relation to Table 1. The first height H1 is greater than the second height H2. The designs of the first and second VVOs 1000A, 1000B are then submitted to the appropriate regulatory agency, such as the U.S.N.R.C., for licensing. A license is obtained from the regulatory agency for the first and second VVOs 1000A, 10008. After the licenses are obtained, a third VVO 1000C comprising a third cavity 10C for receiving a third MPC 200C containing high level radioactive waste is manufactured. The third cavity 10C has a third height H3 that corresponds to a height of the third MPC 200C as discussed above in relation to Table 1. The third height H3 is greater than the second height H2 and less than the first height H1. The VVO 1000C is manufactured to have a structural configuration that is the same as the structural configuration of the first VVO 1000A and a configuration of inlet and outlet ducts 150C, 550C for facilitating natural convective cooling of the third MPC 200C that is the same as the configuration of the inlet and outlet ducts 150B, 550B of the second VVO 1000B. The first, second and third cavities 10A, 10B, 10C all have the same horizontal cross-sections and the first, second and third MPCs 200A, 200B, 200C all have the same outer horizontal cross-sections. Thus, the third VVO 1000C will automatically be covered by the license granted for the VVOs 1000A and 1000B without filing a new application for certification with the regulatory agency. In the example above, the taller VVO 1000A may also be designed to comprise a configuration of inlet and outlet ducts 150A, 550A for facilitating natural convective cooling of the second MPC 200B that achieves a required heat rejection capacity. The configuration of inlet and outlet ducts 150A, 550A may be the same as the configuration of inlet and outlet ducts 150B, 550B of the shorter VVO 1000B. Similarly, the shorter VVO 1000B may also be designed to comprise a structural configuration that can withstand an inertial load resulting from a postulated tip-over event of the VVO 1000B so as to maintain the integrity of the first MPC 200B within the cavity 10B. The structural configuration of the VVO 1000B may be the same as the structural configuration of the VVO 1000A. While the invention has been described with respect to specific examples including presently preferred modes of carrying out the invention, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques. It is to be understood that other embodiments may be utilized and structural and functional modifications may be made without departing from the scope of the present invention. Thus, the spirit and scope of the invention should be construed broadly as set forth in the appended claims.
claims
1. A method of extending a life expectancy of a high-temperature piping, comprising:when it is determined that a continued stationary use cannot be performed by a remaining life expectancy evaluation of a creep rupture of a welded portion of the high-temperature piping,peeling off a part of a heat insulation material from an outer surface of the high-temperature piping, which covers a location having a high creep rupture risk in the high-temperature piping, to form an exposed portion of the high-temperature piping; andlowering an outer surface temperature of the high-temperature piping locally to extend the life expectancy of the location having the high creep rupture risk,wherein a width of the exposed portion of the heat insulation material is twice or more a distance from an end portion of the exposed portion to a portion where a compressive stress is asymptotical to 0 after a change in stress between a tensile stress and the compressive stress occurring in the high-temperature piping due to the removal of the heat insulation material is made from the tensile stress to the compressive stress, andthe distance at which the compressive stress after a change in stress is made from the tensile stress to the compressive stress is asymptotical to 0 is based on the following formula (1),βx=5  (1)β is expressed by the following formula (2), β = 3 ⁢ ( 1 - v 2 ) a 2 ⁢ h 2 4 ( 2 ) here, x is a distance from the end portion of the exposed portion, ν is a Poisson's ratio, and symbol a is an average radius of the piping, and symbol h is a plate thickness of the piping. 2. The method of extending the life expectancy of the high-temperature piping according to claim 1, wherein the outer surface of the high-temperature piping, from which the heat insulation material has been removed, is cooled. 3. The method of extending the life expectancy of the high-temperature piping according to claim 2, wherein the cooling is cooling by air or cooling by cooling water. 4. The method of extending the life expectancy of the high-temperature piping according to claim 1, wherein a heat dissipating member is installed on the outer surface of the high-temperature piping from which the heat insulation material has been removed. 5. The method of extending the life expectancy of the high-temperature piping according to claim 1, wherein the temperature of the outer surface of the high-temperature piping, from which the heat insulation material has been removed, is measured to determine that the cooling capacity is appropriate. 6. The method of extending the life expectancy of the high-temperature piping according to claim 5, wherein, when the cooling capacity is not appropriate, the cooling capacity is changed to be appropriate. 7. A life expectancy extension structure of a high-temperature piping,in which a width of an exposed portion of the high temperature piping where a part of a heat insulation material that covers a location having a high creep rupture risk in the high-temperature piping is peeled off is twice or more a distance from an end portion of the exposed portion to a portion where a compressive stress is asymptotical to 0 after a change in stress between a tensile stress and the compressive stress occurring in the high-temperature piping due to the removal of the heat insulation material is made from the tensile stress to the compressive stress, andthe distance at which the compressive stress after a change in stress is made from the tensile stress to the compressive stress is asymptotical to 0 is based on the following formula (1),βx=5  (1)β is expressed by the following formula (2), β = 3 ⁢ ( 1 - v 2 ) a 2 ⁢ h 2 4 ( 2 ) here, x is a distance from the end portion of the exposed portion, ν is a Poisson's ratio, and symbol a is an average radius of the piping, and symbol h is a plate thickness of the piping. 8. The life expectancy extension structure of the high-temperature piping according to claim 7, comprising:a cooling unit that cools a surface of the exposed portion of the high temperature piping from which the heat insulation material has been removed. 9. The life expectancy extension structure of the high-temperature piping according to claim 8, wherein the cooling unit is an air-cooling unit by air or a water-cooling unit by cooling water. 10. The life expectancy extension structure of the high-temperature piping according to claim 7, wherein a heat dissipating member is installed on the surface of the exposed portion of the high temperature piping from which the heat insulation material has been removed.
041359712
claims
1. In a nuclear reactor having a pressure vessel and a core within the pressure vessel, said core comprised of a plurality of fuel assemblies each comprised of a plurality of vertically oriented fuel elements, the reactor having fluid under pressure passed upwardly over said fuel elements; the improvement comprising: a pressure plate attached to the upper end of a fuel assembly, and integral therewith and having an upper surface and a lower surface; said lower surface exposed to the fluid at the fuel assembly outlet, and means for exposing said upper surface to the fluid at a pressure greater than the fuel assembly outlet pressure from a location upstream with respect to fluid flow of said fuel assemblies a sealing core plate spaced above said pressure plate and in sealing engagement with the periphery thereof so as to form a pressurizable plenum defined by the upper surface of said pressure plate and the lower surface of said seal plate. 2. An apparatus as in claim 1 having also: portions of said seal plate peripherally engaging the outer portion of said pressure plate in closely spaced relationship for substantially restricting fluid flow therebetween, thereby forming a pressurizable plenum defined by the upper surface of said pressure plate and the lower surface of said seal plate. 3. An apparatus as in claim 2 wherein said seal plate has slots therein; said pressure plate having vertically upwardly extending lips at the outer periphery thereof extending into the slots of said seal plate. 4. An apparatus as in claim 2 wherein said reactor vessel has an inlet opening and an outlet opening for the passage of fluid under pressure through the reactor vessel and having: means for conveying fluid from the inlet to the lower end of said fuel assemblies prior to traverse of the fuel assemblies; and means for independently conveying fluid from the inlet directly to said plenum. 5. An apparatus as in claim 4 wherein the fuel assembly includes a control rod guide tube extending vertically through said fuel assembly and said pressure plate, said control rod guide tube open to said pressure plenum at the upper end and open to the fluid flowing upwardly at the lower end of said fuel assemblies. 6. An apparatus as in claim 5 having also a spring means, said spring means located intermediate said pressure plate and said seal plate, and urging said pressure plate downwardly away from said seal plate. 7. An apparatus as in claim 5 wherein said pressure plate has a flow opening in the center thereof, and said sealing plate has a flow opening in vertical alignment therewith, the portion of said seal plate surrounding the opening therein being in closely spaced relationship with the portion of said pressure plate surrounding the opening therein, for substantially restricting fluid flow therebetween.
055419690
claims
1. In a pressurized water nuclear power plant, a water level monitor responsive to the water level in a reactor vessel that is fluidly connected to a steam generator, comprising: a main pipe for delivering heated water from said reactor vessel to said steam generator during normal plant operation, said main pipe including a horizontal portion having a top region at a top elevation and a bottom region at a bottom elevation; a tank forming a chamber, the chamber having an upper region at an upper elevation and a lower region at a lower elevation, wherein said top region of the pipe is at the same elevation as said upper region of the chamber, and said bottom region of the pipe is at the same elevation as said lower region of the chamber; a secondary upper pipe in fluid connection with the top region of the main pipe; a secondary lower pipe in fluid connection with the bottom region of the main pipe; an upper connecting pipe, fluidly connecting the secondary upper pipe to the upper region of the chamber; a lower connecting pipe, fluidly connecting the secondary lower pipe to the lower region of the chamber, whereby water in the horizontal portion of the main pipe can communicate freely with said chamber; means in the chamber for generating a signal indicative of a water level in the chamber; and means for transmitting the signal to a remote location. a control room; a nuclear reactor vessel situated remotely from the control room; a steam generator having a lower head; a hot leg water pipe having a horizontal run which defines top and bottom elevations, said pipe fluidly connecting the reactor vessel to the steam generator lower head for supplying a flow of hot water from the vessel to the steam generator during normal operation; a water level monitor chamber having an upper region at the same elevation as the top elevation of the pipe and a lower region at the same elevation as the bottom elevation of the pipe; means for fluidly connecting the pipe at the top elevation to the upper region of the chamber; means for fluidly connecting the pipe at the bottom elevation to the lower region of the chamber; means for generating a signal indicative of a water level in the chamber; and means for transmitting the signal from the chamber to the control room. 2. The nuclear power plant of claim 1, wherein the upper connecting and the lower connecting pipes have valves for selectively isolating the chamber from the main pipe. 3. The nuclear power plant of claim 1, wherein the means for generating a signal is a plurality of heated junction thermocouples. 4. The nuclear power plant of claim 1, wherein the chamber is sealed from atmosphere. 5. The nuclear power plant of claim 1, wherein the remote location is a control room in the nuclear power plant. 6. The nuclear power plant of claim 1, wherein the secondary upper pipe is a surge line. 7. The nuclear power plant of claim 1, wherein the secondary lower pipe is a shut down cooling line. 8. The nuclear power plant of claim 7, wherein the secondary upper pipe is a surge line. 9. The nuclear power plant of claim 8, wherein the upper connecting and the lower connecting pipes have valves for isolating the chamber from the main pipe. 10. A nuclear power plant comprising; 11. The power plant of claim 10, wherein the means for generating a signal is a plurality of heated junction thermocouples. 12. The power plant of claim 10, wherein the control room has means for displaying the signal on a computer monitor.
description
The invention relates to a phase plate for use in a particle-optical apparatus, said phase plate to be irradiated by a beam of particles, said phase plate comprising a central structure that is non-transparent to particles, said central structure surrounding a through-hole for passing a part of the beam, said central structure surrounded by an area transparent to particles, said central structure equipped to cause a phase shift between the part of the beam passing through the through-hole and the part of the beam passing outside the central structure. The invention further relates to a method using such a phase plate. In a Transmission Electron Microscope (TEM) a beam of electrons produced by an electron source is formed into a parallel beam of electrons illuminating the sample. The sample is very thin, so that part of the electrons pass through the sample and part of the electrons are absorbed in the sample. Some of the electrons are scattered in the sample so that they exit the sample under a different angle than under which they enter the sample, while others pass through the sample without scattering. By imaging the sample on a detector, such as a fluorescent screen or a CCD camera, intensity variations result in the image plane. The intensity fluctuations are in part due to the absorption of electrons by the sample, and in part to interference between scattered and unscattered electrons. The latter mechanism is especially important when observing samples in which little electrons are absorbed, e.g. low-Z materials such as biological tissues. The contrast of the image resulting from electrons interfering with each other can, for parallel illumination, be explained as follows: A parallel illumination can be described in Fourier space as a distribution δ(G) where δ denotes the well-known Dirac delta function which is only non-zero at G=0, and G denotes spatial frequency. The scattering of the incoming beam is described by the specimen function φ(G). The beam Ψ0(G) immediately after the specimen becomesΨ0(G)=δ(G)−iφ(G)  [1]The imaging system, and in particular the objective lens of the TEM, aberrates this wave toΨ(G)=δ(G)−iφ(G)exp[2πiχ(G)]  [2]where χ(G) is the aberration function which depends on parameters like defocus and spherical aberration. The intensity at the detector is equal to the convolution of Ψ(G) with its complex conjugate Ψ(G)*,|(G)=Ψ(G)*Ψ*(G)  [3a]This can be written as|(G)=δ(G)−iφ(G)exp[2πiχ(G)]+iφ*(−G)exp[−2πiχ(−G)]+φ(G)exp[2πiχ(G)]*φ*(−G)exp[−2πiχ(−G)]  [3b]As in Fourier space both frequencies G and −G are present, and since φ(x) is a real function, φ*(−G) can be replaced with φ(G).φ*(−G)=φ(G)  [4]Similarly, since χ(G) is even in G, χ(−G) can be replaced with χ(G).χ(G)=χ(−G)  [5]The expression for the intensity simplifies to|(G)=δ(G)−2φ(G)sin [2πiχ(G)]+φ(G)exp[2πiχ(G)]*φ(G)exp[−2πiχ(G)]  [6]The factor sin [2πiχ(G)] is called the Contrast Transfer Function (CTF):CTF(G)=sin [2πiχ(G)]  [7]The term quadratic in φ(G) is small and is usually neglected. Objects with a specific spatial frequency scatter the beam over a specific angle, the scattering angle being proportional to the spatial frequency. For low spatial frequencies the scattering angle is close to zero and the contrast is close to zero as χ(G) and consequently the CTF is almost zero. For higher spatial frequencies the contrast fluctuates due to the positive and a negative values for the CTF, depending on the spatial frequency. As the CTF is close to zero for low spatial frequencies, large structure cannot be resolved in the image. In 1947 Boersch described that the introduction of a phase plate would result in a CTF where low spatial frequencies show a maximum, and large structures can thus be imaged, see “Über die Kontraste von Atomen im Elektronenmikroskop”, H. Boersch, Z. Naturforschung 2A (1947), p. 615-633. Recently such phase plates have successfully been introduced in TEM's. A phase plate is a structure that is placed in a plane where the beam illuminating the sample, after having passed through the sample, is focused to a spot by the so-named objective lens. It is noted that a phase plate can also be placed in a plane that is an image of the plane where the objective lens focuses the beam to a spot. Usually, the illuminating beam is a parallel beam, and then the plane where the beam is focused to a spot is the back-focal plane of the objective lens. If the illuminating beam is not a parallel beam, but close to parallel, then this plane is close to the back-focal plane of the objective lens or close to an image of said plane. In the plane of the phase plate all unscattered electrons are focused in one point, while scattered electrons are imaged at other positions. The phase plate causes a phase shift θ between scattered and unscattered electrons. Therefore equation [2] is modified toΨ(G)=δ(G)−iφ(G)exp[2πiχ(G)+θ]  [8]and thus equation [7] toCTF(G)=sin [2πiχ(G)+θ]  [9]By choosing θ=π/2 (or more general: θ=π/2+2nπ, with n an integer), this reduces toCTF(G)=cos [2πiχ(G)]  [10]thereby converting the sine-like behaviour of the CTF to a cosine-like behaviour. It is noted that a phase shift of θ=−π/2 also causes a cosine-like behaviour of the CTF. It is further noted that a marked improvement of the contrast may also occur for phase shifts other than θ=π/2+nπ. For a more detailed derivation of the formulae the reader is referred to “High-resolution electron microscopy”, J. C. H. Spence, 3rd edition (2003), ISBN 0198509154, more specifically to paragraph 3.4 and chapter 4. In a so-named Boersch phase plate such a phase shift is caused by temporary accelerating or decelerating the unscattered electrons. A Boersch phase plate must have a very small diameter to allow (most of the) scattered electrons to pass without intercepting these scattered electrons by the physical structure of the phase plate. The manufacturing of such a phase plate is described in e.g. U.S. Pat. No. 5,814,815 to Hitachi. The known phase plate comprises a grounded ring-like structure with an inner electrode, thus resembling a miniature electrostatic Einzellens. The electrons passing through the phase plate are temporarily accelerated or decelerated. By a proper choice of the voltage on the inner electrode the phase shift θ of the electrons is e.g. plus or minus π/2. The electrons that are passing outside the miniature lens do not experience the phase shift. By positioning the phase plate in a plane where the beam illuminating the sample is focused to a point and centering it round the axis of the objective lens, the unscattered electrons experience the phase shift, while all electrons that are scattered pass outside the phase plate and thus do not experience the phase shift. A problem of the known phase plate is that the central structure intercepts electrons, thereby blocking electrons scattered over a small angle. These electrons are necessary to image structures with a low spatial frequency. Large structures can thus not be imaged with such a phase plate. It is noted that any scattered electrons that also pass through the phase plate, because they are scattered over a very small angle, will experience the same phase shift as the unscattered electrons and can thus not interfere with the unscattered electrons to form a high contrast image. A disadvantage of the known phase plate is that large structures can not be imaged as the scattered electrons carrying the information with low spatial resolution are either blocked by the physical structure of the phase plate or experience the same phase shift as the unscattered electrons. This makes it difficult to navigate to points of interest in the sample, or to observe the position of a high resolution feature, such as a lipid bi-layer, in a large feature, such as a cell. It is noted that another type of Boersch phase plate is described in International Application WO2006/017252 to Glaeser. This phase plate comprises a central ring electrode surrounded by a grounded ring electrode. Herewith an electric field can be generated on the axis, thereby accelerating or decelerating the unscattered electrons, while the grounded electrode acts as a shield so that scattered electrons do not experience a phase shift. The disadvantages mentioned for the phase plate of U.S. Pat. No. 5,814,815 are equably applicable. The invention relates to a hybrid phase plate for use in a TEM. The phase plate according to the invention resembles a Boersch phase plate in which a Zernike phase plate is mounted. As a result the phase plate according to the invention resembles a Boersch phase plate for electrons scattered to such an extent that they pass outside the central structure (15) and resembles a Zernike phase plate for scattered electrons passing through the bore of the central structure. Comparing the phase plate of the invention with a Zernike phase plate, the invention is has the advantage that for electrons that are scattered over a large angle, no electrons are absorbed or scattered by a foil, resulting in a better high resolution performance of the TEM. Comparing the phase plate of the invention with a Boersch phase plate the demands for miniaturization of the central structure are less severe. There is a demand for a phase plate with which both large and small features can be imaged. To that end the phase plate according to the invention is characterized in that the central structure comprises a foil transparent to particles, said foil surrounding the central trough-hole, said foil equipped to cause a phase shift between the part of the beam passing through the through-hole and the part of the beam passing through the foil. When electrons pass through a foil, such as a carbon foil, they experience a phase shift due to the internal potential of the foil. In the phase plate according to the invention the electrons passing through the central hole experience a phase shift due to the acceleration or deceleration of the electrons in the central structure. The electrons passing through the foil of the central structure experience a phase shift due to the internal potential of the foil as well as a phase shift due to the acceleration or deceleration of the electrons in the central structure. By proper combination of these two phase shifts a combined phase shift of these electrons can be achieved resulting in constructive interference of these electrons with the unscattered electrons, resulting in a high contrast of large features.The electrons passing outside the central structure do not experience a phase shift and can thus interfere constructively with the unscattered electrons. By incorporating a foil in the central structure of the phase plate, it is possible to make the central hole through which the unscattered electrons must pass much smaller than the central hole of the known Boersch phase plate. The known Boersch phase plates exhibit a central hole of approximately 1 μm, while a hole of e.g. 100 nm or less can be drilled in a foil using e.g. focused ion beam milling. It is noted that the objective lens focuses the unscattered beam in a spot with a typical diameter of between 50 and 200 nm, depending on the magnification between the particle source and the phase plate. Another advantage is that the central structure need not be so small, as also scattered electrons passing through the central structure are phase shifted with respect to the unscattered electrons. It is noted that another type of phase plate, the so-named Zernike phase plate, also uses a foil with a hole with similar—small—diameter and thus is capable to image structures as large as those imaged with the phase plate according to the invention. The Zernike phase plate consists of a thin foil with a central hole in it. The electrons travelling through the foil experience a phase shift due to the internal potential of the foil, while the electrons passing through the central hole do not experience a phase shift. By a proper choice of the thickness of the foil the phase shift can be π/2, and thus the required improvement in resolution occurs. Such a phase plate is described in e.g. “Transmission electron microscopy with Zernike phase plate”, R. Danev et al., Ultramicroscopy 88 (2001), pages 243-252. A problem with Zernike phase plates is that all scattered electrons have to travel through the foil. In the foil scattering of these electrons occurs, resulting in a blurring of the image and/or a degradation of the signal-to-noise ratio. Typically 30% of the electrons are absorbed or scattered by the foil, thereby reducing the CTF to 70% compared to that of an ‘ideal’ phase plate. This especially impacts the highest obtainable resolution of the TEM, resulting in a reduced performance of the TEM. This is in contrast with the phase plate according to the invention, where electrons scattered over a large angle pass outside the central structure and do not pass through a foil. In an embodiment of the phase plate according to the invention the foil is a carbon foil. The use of a carbon foil for use in a Zernike phase plate is already well-known. Its conductivity and its transparency, as well as its mechanical properties, make it the material of choice for this application. In another embodiment of the phase plate according to the invention in which for at least one line in the plane of the phase plate and passing through the centre of the through-hole, said line thus intersecting the central structure at two opposite sides, the at least one line intersects the central structure from a distance R1 to a distance of R2 from the through-hole in one direction, and a distance from R3 to a distance of R4 from the through-hole in the other direction, with R3≧R2. In this embodiment the phase plate will, in at least one direction (the direction of the line), block particles scattered over an angle α, but at the same time pass particles scattered over an angle −α. This is known as single sideband imaging. Therefore the assumption of formula [4] cannot be followed, and instead of formula [6] the intensity is given by:|(G)=δ(G)−2iφ(G)exp[2πiχ(G)+θ]  [11]and the CTF changes toCTF(G)=2iexp[2πiχ(G)+θ]  [12]The effect of an imaginary CTF is described in “Discrimination of heavy and light components in electron microscopy using single-sideband holographic techniques”, K. H. Downing et al., Optik 42 (1975), No. 2, pages 155-175, showing that the CTF being imaginary implies a shift of the structures corresponding to the spatial frequency concerned. However, an intensity variation is obtained in the image plane. In a further embodiment of the phase plate according to the invention the central structure is formed from two half-annuli, one half-annulus with inner radius R1 and outer radius R2, and the other half-annulus with an inner radius of R3 and an outer radius of R4, and in which R3≧R2, and the through-hole is located at the centre points of the two annuli from which the two half-annuli are formed. In this preferred embodiment the phase plate is formed such that for as much as possible blocking of particles scattered over an angle α is compensated with unblocked passage of particles deflected over an angle −α, and further that the reduced CTF, resulting of only passing half of the scattered particles for certain scattering ranges, occurs for the same spatial frequencies in different directions. This results in an image with the least artefacts introduced in the image due to missing spatial frequencies and/or different missing spatial frequencies in different directions. It is noted that, as the two half-annuli from which the central structure is formed must be joined together, for a limited direction no compensation can occur, as will be shown later in the detailed description of figures. In an aspect of the invention a particle-optical apparatus equipped with a phase plate and equipped with an objective lens, said particle-optical apparatus illuminating a sample with a beam of particles, the phase plate placed substantially in a plane where the beam illuminating the sample is focused, in which the phase plate is the phase plate according to the invention. This aspect describes the position where the phase plate according to the invention should be placed. In an embodiment of the apparatus according to the invention, in working, the combined phase shift caused by the foil and the central structure results in a phase shift φ of substantially θ=n·π for the particles transmitted through the foil, with n an integer. By choosing the phase difference between the unscattered electrons and the scattered electrons that pass through the foil equal to n·π, the CTF for the associated spatial frequency range becomes either 1 or −1, corresponding with an optimal positive or negative contrast. It is noted that the increase in contrast at low spatial frequencies is caused by changing a sine to a cosine, as discussed earlier. Optimum gain occurs at a phase shift θ=π/2+n·π, but also a marked increase in contrast may be obtained at other phase shifts. Therefore a marked increase in CTF can be realized even when the phase shift of the foil does not completely counteract the phase shift caused by the electric field of the central structure. In a further embodiment of the apparatus according to the invention, in working, the combined phase shift caused by the foil and the central structure results in a phase shift θ of substantially θ=0 for the particles transmitted through the foil. In this embodiment the phase shift experienced by the unscattered electrons is equal to but of opposite sign of the phase shift caused by the foil. The electrons passing through the foil thus experience no net phase shift so that constructive interference with the unscattered electrons (which did experience a phase shift) can occur. In another embodiment of the apparatus according to the invention the apparatus is equipped to image the back-focal plane of the objective lens on the phase plate with a variable magnification. By choosing the magnification with which the back-focal plane is imaged on the phase plate, the user of the apparatus has the option of choosing which particles are intercepted or affected by the physical structure of the phase plate. As the intercepted electrons cannot contribute to the image, the CTF for the spatial resolution corresponding to these blocked electrons is reduced, corresponding to a band in the CTF where the CFT shows a different behaviour. The position of this band in terms of spatial frequency can be tuned, e.g. centering said band round a zero of the CFT. In an aspect of the invention a method of forming an image using a particle-optical apparatus equipped with an objective lens for illuminating a sample and a phase plate, the particle-optical apparatus equipped to image the plane where the objective lens forms a focus onto the phase plate, is characterized in that the particle-optical apparatus is equipped with the phase plate according to the invention, and the particle-optical apparatus is equipped to image the plane where the objective lens forms a focus onto the phase plate with a variable magnification, and the method comprises: determining a desired lower spatial frequency range of the image, said lower spatial frequency range caused by the interference of particles transmitted through the foil with particles passing through the through-hole, determining a desired higher spatial frequency range of the image, said higher spatial frequency range caused by the interference of particles transmitted around the central structure with particles passing through the through-hole, and adjusting the magnification with which the back-focal plane is imaged on the phase plate so that particles corresponding with said lower and the higher spatial frequency range are not intercepted by the central structure. By adjusting the magnification such, that interception of particles and the resulting loss in spatial information occurs in a less interesting frequency range, an image can be obtained in which, for example, large details are imaged so as to determine the position of small details, while details with intermediate size are less visible. Also, this method can be used to centre the band where the central structure intercepts particles round a zero of the CTF, as contrast is reduced anyway and therefore the effect of a change of CTF due to the interception is reduced as well. It is noted that the position where the CTF shows a zero is dependent on e.g. the distance of the specimen to the back-focal plane, the so-named defocus distance. In an embodiment of the method according to the invention determining a lower spatial frequency range and determining a higher spatial frequency range takes the form of determining a central spatial frequency around which said lower spatial frequency range and said higher spatial frequency range are centred. In this embodiment the spatial frequencies are selected by centering them round a desired spatial frequency. FIG. 1A and FIG. 1B schematically show a prior art Boersch phase plate as described in e.g. U.S. Pat. No. 5,814,815. A central structure in the form of a cylinder is shown with a bore along its axis 11, the inside of the bore showing three ring-shaped electrodes 12A, 12B and 13 arranged round the axis of the cylinder. The middle electrode 13 is insulated from the two outer electrodes 12A and 12B, while the two outer electrodes are electrically connected to each other. The conductive outer surface comprising surfaces 14A, 14B and 15 of the cylinder is formed by a conductive surface, the outer electrodes 12A, 12B part of said conductive outer surface. From the phase plate two spokes 16A, 16B extend to hold the phase plate. The outside of the spokes shows an electrically conductive layer connected to the conductive outer surface of the cylinder. At least one of the spokes shows an inner conductive track 17 insulated from the outer layer, the inner track electrically connected to the middle electrode 13. It is noted that phase plates with only one spoke are known, as well as phase plates with more than 2 spokes. By applying a voltage to the middle electrode electrons of the unscattered beam (passing through the bore) will travel slower or faster (depending on the voltage of the middle electrode) than electrons travelling outside the cylinder. This is equivalent to the unscattered part of the beam experiencing a phase shift with respect to the scattered part of the beam, as the scattered part of the beam is unaffected by the voltage of the middle electrode.The actual phase shift depends on the energy of the electrons and the voltage on the middle electrode. By proper choice of the voltage a phase shift of π/2 or −π/2 is realized. The conductive outer layer surrounds the cylinder so as to cause a uniform phase shift between the unscattered electrons and the scattered electrons, independent under which angle the scattered electrons are scattered, and thus how far removed the scattered electrons are from the cylinder. The conductive layer confines the effect of the middle electrode 13 to the unscattered beam, and prevents an electric field outside the cylinder due to the voltage on middle electrode 13. Therefore any electrons passing outside the cylinder do not experience a phase shift. FIGS. 2A and 2B schematically show a prior art Zernike phase plate. A carbon foil 22 is attached to a carrier 21, such as a standard platinum aperture. The carbon foil shows an aperture 23 for passing the unscattered electrons. All other electrons, that is: all scattered electrons which contribute to the image, pass through the foil. Passing the foil these electrons experience a phase shift. The actual phase shift depends on the energy of the electrons and the thickness of the foil. By proper choice of the thickness a phase shift of π/2 is realized. It is noted that the phase shift caused by a Zernike phase plate, contrary to the phase shift caused by a Boersch phase plate, cannot be tuned. Therefore a Zernike phase plate may show the optimal phase shift needed for a 200 keV electron beam, but not for a 300 keV beam. It is further noted that a Zernike phase plate typically 30% or more of the electrons are absorbed or scattered by the foil, thereby reducing the CTF by 30% or more. This especially impacts the high resolution performance of the TEM, limited by the signal-to-noise ratio. FIGS. 3A and 3B schematically show a phase plate according to the invention. FIG. 3A and FIG. 3B can be thought to be derived from FIGS. 1A and 1B, respectively. A carbon foil 30 is adhered to the central structure, said foil showing a through-hole 31. Electrons passing through the through-hole experience a phase shift of e.g. −π/2 due to the field caused by middle electrode 13. Electrons passing through the foil (and not stopped by the central structure), experience a phase shift of π/2 due to the foil, and a phase shift of −π/2 due to the field caused by the middle electrode. The net phase shift for these electrons is thus zero. Electrons passing outside the central structure also experience phase shift 0, as discussed before. Therefore a phase shift difference of π/2 is provided for all scattered electrons with respect to the unscattered electrons. FIGS. 4A, 4B, 4C and 4D schematically show exemplary CTF curves for different situations. FIG. 4A shows an exemplary CFT curve 401 for a conventional TEM (that is: a TEM without a phase plate) and an exemplary CFT curve 402 for an identical TEM equipped with an ideal phase plate. Under an ideal phase plate a phase plate not intercepting scattered electrons and not introducing additional scatting is understood. The CTF is a function of the spatial frequency, here expressed in lines per nanometer. The envelope 403 of the CTF functions is governed by system parameters, such as the spherical and chromatic aberration coefficients of the objective lens, the energy spread of the beam, the opening angle, etc. It is noted that these curves can therefore differ for different TEM's. As will be shown in FIGS. 5A and 5B the curves also vary for different defocus distances, that is: the distance between the specimen/object and the object plane of the objective lens. As is clear from curve 401 in FIG. 4A, in a conventional TEM without a phase plate the CTF for spatial frequencies below 2 lines per nanometer is very low, and therefore structures larger than 0.5 nm are not imaged with good contrast. For spatial frequencies between 2 to 4 lines per nanometer a first optimum occurs, followed by an oscillating behaviour of the CFT for spatial frequencies above 5 lines per nanometer. In a TEM with a phase plate the CTF shows a maximum for low spatial frequencies, followed by an oscillating behaviour similar to the behaviour shown without a phase plate, but at different spatial frequencies. Large structures are thus well imaged by a TEM with a phase plate. FIG. 4B shows an exemplary CTF of a TEM equipped with a Boersch phase plate, which intercepts all electrons corresponding with a spatial frequency below 0.9 nm−1. FIG. 4B can be through to be derived from FIG. 4A, however, the central structure of the phase plate intercepts electrons that are scattered over a small angle, corresponding to a band-stop 404. It is noted that electrons scattered over an extremely small angle are not intercepted by the phase plate, but pass through the central hole. However, as these experience the same phase shift as the unscattered electrons, the interference of these electrons with the unscattered electrons results in a CTF of essentially zero. Therefore the size of the inner hole of the central structure is not important, but only the outer diameter of the central structure. FIG. 4C shows an exemplary CTF of a TEM equipped with a Zernike phase plate. FIG. 4C can be thought to be derived from FIG. 4A, however, the CFT is lowered by 30% due to absorption and scattering of the foil, as earlier discussed. Also, for spatial frequencies below 0.2 lines per nm the CFT drops to a low value as for these scatter angle both the scattered and the unscattered electrons pass through the hole in the foil, so that no phase shift occurs between the two for such low spatial resolutions and the CTF behaves as a sine-like function for these low spatial frequencies. FIG. 4D shows an exemplary CTF curve of a phase plate according to the invention. FIG. 4D can be thought to be derived from FIGS. 4B and 4C. The band-stop, representing the range where electrons are intercepted by the central structure, is moved from a frequency range close to zero to a frequency range of e.g. 3-4 lines per nanometer. Unscattered electron experience a phase shift of −π/2 due to the field caused by the central structure. For scattered electrons representing spatial frequencies up to, in this example, 3 lines per nanometer the phase plate according to the invention behaves as a Zernike phase plate, and thus shows the CTF as shown in FIG. 4C. For spatial frequencies above 4 lines per nanometer the phase plate shows a behaviour similar to that of a Boersch phase plate, and thus shows the CTF as shown in FIG. 4B. It is noted that in the spatial frequency range above 4 lines per nanometer no reduction of the CFT due to absorption or scattering of electrons occurs, as is the case in a Zernike phase plate.It is further noted that the stop band may be positioned around e.g. the first null of the CTF, as a result of which only spatial frequencies are blocked in which the CFT is close to zero anyway. FIGS. 5A, 5B, 5C and 5D show schematically the effect of a defocus on the CTF. FIGS. 5A, 5B, 5C and 5D can be thought to be derived from FIGS. 4A, 4B, 4C and 4D respectively; however, a small defocus is introduced. As known to the person skilled in the art this results in a different CTF. The curves show an extended frequency range where low spatial frequencies correspond with a large CTF. In other words: the first zero of the CTF occurs at a higher spatial frequency. The range of spatial frequencies blocked by the central structure is positioned at other spatial frequencies, as the CFT shows its first zero at another frequency. This can be done by using a phase plate with another dimension, or by using a different magnification between the back-focal plane of the TEM and the plane where the phase plate is positioned. FIG. 6 schematically shows a preferred embodiment of the phase plate according to the invention. FIG. 6 shows a top view of a phase plate, that is: a view from the position of the objective lens. A non-transparent structure 610 surrounds a transparent foil 611, which foil shows a hole 612 for passing the beam of unscattered particles. The non-transparent part is formed such that, except for a small angle 613, over angle 614A electrons are blocked that are scattered over a distance between R1 and R2, while over the remaining angle 614B electrons are blocked that are scattered over a distance between R3 and R4. Further R3 is chosen to be equal to R2. As a result in any direction, except for direction within angle 613, half the electrons which are scattered over a distance between R1 and R4 are intercepted and half are transmitted. As discussed earlier, discussing formulae [11] and [12], this results in an imaginary CFT for the frequencies where half the electrons are blocked. It is noted that in the examples shown, the parameters have been tuned such that the phase shift between the scattered and unscattered beam is exactly π/2. It is remarked that a phase shift differing from that exact value also increases the CFT. Further it is noted that, for similar reasons, the phase shift between the particles passing through the foil and the particles passing outside the central structure need not be identical. FIG. 7 schematically shows a TEM equipped with a phase plate according to the invention. FIG. 7 shows a particle source 701 producing a beam of particles, such as electrons, along optical axis 700. The particles have a typical energy of 80-300 keV, although higher energies, e.g. 400 keV-1 MeV, or lower energies, e.g. 50 keV, may be used. The beam of particles is manipulated by condenser system 702 to form a parallel beam impinging on a sample 703, the sample positioned with a sample holder 704. The sample holder can position the sample with respect to the optical axis and may shift the sample in the plane perpendicular to the optical axis and tilt the sample with respect to said axis. Objective lens 705 forms a magnified image of the sample. The objective is followed by a magnifying system 706, e.g. a doublet of lenses. A phase plate 707 is placed in a plane conjugated to the back-focal plane of the objective lens, said conjugated plane positioned between the magnifying system and a projection system 709. The magnifying system can thus form an image of the back-focal plane with a variable magnification. The phase plate is positioned with a manipulator 708, allowing the phase plate to be centred round the optical axis. The projection system forms a magnified image of the sample on a detector 710, thereby revealing sample details of e.g. 0.1 nm. The detector may take the form of a fluorescent screen, or e.g. a CCD camera. In the case of e.g. a fluorescent screen the screen can be viewed via the glass window 711. It is noted that, by properly setting the magnification of the magnifying system 706 the stop-band of the particles intercepted by the phase plate in the CTF can be tuned.
043371183
claims
1. In a nuclear reactor having a core including means for recirculating a coolant through the core to make a core coolant flow, means associated with said recirculating means for controlling the core coolant flow rate, means for detecting the power level of the reactor and producing a signal indicative of the detected power level, and means for detecting the core coolant flow rate and producing a signal indicative of the detected flow rate, the combination of: (a) means responsive to the signal from said flow rate detecting means for producing a signal indicative of the maximum threshold power level at the detected flow rate, the threshold being previously determined and inputted to said means as a function of the core coolant flow rate; (b) means for receiving and comparing the signals from said power level detecting means and said maximum threshold power level signal producing means; and (c) means for producing a coolant block signal or coolant run-back signal when the signal from said power level detecting means exceeds the signal from said maximum threshold power level signal producing means, said coolant block signal or run-back signal being received by said flow rate control means whereby said recirculation means is operated to block the change in the core coolant flow rate or run-back the flow rate. (a) first means for detecting the power level and producing a signal indicative of the detected power level; (b) second means for detecting the core coolant flow rate and producing a signal indicative of the detected flow rate; (c) first monitor means responsive to the signals from said first and second detecting means for blocking the withdrawing of the control rods when the detected power level exceeds a predetermined rod block threshold power level at the detected flow rate; (d) second monitor means responsive to the signals from said first and second detecting means for initiating a scram action when the detected power level exceeds a predetermines scram threshold level at the detected flow rate; and (e) third monitor means responsive to the signals from said first and second detecting means for blocking the change in the core coolant flow rate or running-back the flow rate when the detected power level exceeds a maximum threshold power level at the detected flow rate, said maximum threshold power level being predetermined and inputted as a function of the core coolant flow rate. 2. The combination as claimed in claim 1, wherein said flow control means is adapted to control the flow rate along a predetermined flow control line to operate the reactor and wherein said maximum threshold power level is about 103 to 108% of that on said flow control line at the rated flow rate and at flow rates adjacent to the rated flow rate. 3. The combination as claimed in claim 2, wherein said maximum threshold power level is about 102 to 107% of that on said flow control line in a substantial range of flow rates below the first-mentioned flow rates. 4. A nuclear reactor power monitoring system for monitoring the power level of a nuclear reactor and preventing an excessive rise of the power level, the nuclear reactor including a core, a plurality of control rods selectively insertable in said core, means for recirculating a coolant through the core to make a core coolant flow, and means associated with said recirculating means for controlling the core coolant flow rate, the monitoring system comprising in combination: 5. A nuclear reactor power control system as claimed in claim 4, wherein said third monitor means includes an averaging circuit for receiving signals from said first detecting means and producing a signal indicative of the average of the detected power level, a coolant block threshold circuit for receiving a signal from said second detecting means and producing a signal indicative of the maximum threshold power level at the detected flow rate, the threshold being previously determined and inputted to said threshold circuit as a function of the core coolant flow rate, a comparator for receiving and comparing signals from said averaging circuit said threshold circuit, and a signal generating means circuit for producing a coolant block signal or coolant run-back signal when the signal from said averaging circuit exceeds the signal from said threshold circuit, said coolant block signal or run-back signal being received by said flow control means whereby said recirculation means is operated to block the change in the core coolant flow rate or run-back the flow rate. 6. A nuclear reactor power control system as claimed in claim 5, wherein said flow control means is adapted to control the flow rate along a predetermined flow control line to operate the reactor and wherein said maximum threshold power level is about 103 to 108% of that on said flow control line at the rated flow rate and at flow rates adjacent to the rated flow rate and is about 102 to 107% of that on said flow control line in a substantial range of flow rates below the first-mentioned flow rates.
summary
050287966
description
DETAILED DESCRIPTION OF THE INVENTION FIG. 1 is a schematic view of the inner face of a support belt constructed in accordance with this invention. Portions of the belt as shown are depicted in partial cut-away or peeled-back configuration to reveal the internal structure. Support belt 10 as shown in FIG. 1 includes an elongated rear support element 12 attached at either end thereof to elasticized elements 30 and 32. The elasticized elements 30 and 32 are in turn attached to connecting elements 40 and 42, respectively which, in turn, are attached to adjustable fastening means consisting of straps 50 and 52 and mating plastic buckle means 54 and 56. At lease one of said mating buckles includes conventional means for adjusting the length of the adjoining straps, for example, loop and catch means (not shown). The elongated rear support element 12 comprises a light-weight relatively thin (e.g. about 1/8-1/2 inch), relatively firm but flexible core 20. The function of the core 20 is to provide padding as well as structural support for the rear support element. At the same time, the core 20 must be flexible enough to repeatedly bend to conform to the contour of the wearer's back while in use as shown in FIG. 3. Various types of foam, rubber and similar materials will work well as the material for core 20. Layers of woven fabric (natural, synthetic or composites) and an enclosed pouch filled with a light-weight natural or synthetic fill can also be used as core 20 if they have the appropriate balance between stiffness and flexibility. Volara foam is a preferred embodiment for core 20. Selection of an appropriate material and thickness for core 20 is a matter of routine experimentation. The inner face of core 20 is covered with a slip-resistant material that frictionally engages the exterior surface of the radiation shield garment in order to retard slippage while the support belt is in use. In general, radiation shield garments have an exterior surface made of tightly woven synthetic fabric. A variety of soft, light-weight foam and rubber materials are known to frictionally engage a surface of woven synthetic fabric without scratching or damaging that surface. In the preferred embodiment of this invention, the inner face of core 20 is covered with a flexible foam-backed vinyl material 21 consisting of a vinyl inner face 22 and a thin foam-layer outer face 24. Foam-backed vinyl fabrics are well known in the art. By bonding a thin delicate and easily damaged foam or rubber sheet to a vinyl support layer, a flexible yet durable composite sheet is created. Such composites are ideally suited to covering the inner face of core 20 in this invention. The foam or rubber side of such composites should be outwardly facing in order to serve as the frictionally-engaging material for contacting the exterior surface of the radiation shield garment. The outer face 27 of core 20 (not shown) is covered with a thin, woven synthetic fabric such as 200 denier fabric as shown at item 28 in FIG. 3. The purpose of covering 28 is simply to enclose and protect core 20 and to present a smooth, durable and attractive outer face. Any suitable covering material could be used for this purpose. The overall dimensions and shape of rear support element 12 are not critical and may be adjusted by routine experimentation according to the size of a wearer's back, comfort considerations, and desired slip-resistance. A larger surface area of the inner face of support element 12 in contact with the radiation shield garment results in better slip-resistance and, therefore, better support. As shown in FIGS. 1 and 3, rear support element 12 is generally rectangular in shape with outwardly bowed, convex top and bottom edges. This configuration has been found to maximize the surface area of rear support element 12 consistent with user comfort. Elasticized elements 30 and 32 may be fashioned from any conventional elastic fabric. The length of the elasticized elements (along the axis of the belt) will ordinarily range from about 1/4-5 inches, although only enough is needed to impart a small degree of elasticity to the belt to insure that the belt is held snugly in place while in use. If there is some degree of elasticity in other sections of the belt, for example in straps 50 and 52, it may be possible to eliminate one or both elasticized elements consistent with this invention. The width of the elasticized elements (perpendicular to the axis of the belt) will ordinarily be consistent with the end width of elongated rear support element 12 to provide a belt with smooth, continuous top and bottom edges. Connecting elements 40 and 42 are designed to provide a smooth and comfortable connection between the rear support element 12, including elasticized elements if any, and the adjustable fastening means at the front of the support belt. Because the connecting elements 40, 42 will ordinarily ride on the user's hips while the belt is in use, they should be string, light-weight and comfortable. Although many materials would satisfy these requirements, in the preferred embodiment of this invention, connecting elements 40 and 42 comprise a loosely woven mesh fabric core 44 and 45, respectively, such as textiline, covered with a thin, woven synthetic fabric 46 and 47, respectfully, for example a fabric such as that used to cover the outer face of core 20. The dimensions and shape of connecting elements 40 and 42 should be consistent with the size of other elements of the belt. The rounded, semi-circular ends of elements 40 and 42 contribute to a smooth, finished appearance for the belt. Depending on the dimensions of other elements of the belt, one or both connecting elements can be made smaller or eliminated consistent with this invention. For example, straps 50 and 52 could be directly attached to elasticized elements 30 and 32 respectively thereby eliminating elements 40 and 42. The adjustable fastening means as shown in FIG. 1 consists of straps 50 and 52 which are attached respectively to connecting elements 40 and 42. Straps 50 and 52 may be fashioned from any strong, light-weight material, such as nylon webbing. At the ends of straps 50 and 52 are mating plastic buckle means 54 and 56 respectively. One or both of said buckle means may include conventional loop and catch means (not shown) for adjusting the length of the adjoining strap. Alternatively, the ends of straps 50 and 52 may include loop fasteners to provide adjustable fastening means. FIGS. 2 and 3 show the support belt 10 of this invention in use supporting a radiation shield garment 60. The front view in FIG. 2 shows straps 50 and 52 and the buckle means in the fastened position. The rear view in FIG. 3 shows rear support element 12, including covering 28 of the outer face of the core 20, snugly centered around the user's back at waist level in order to support and shift the weight of the radiation shield garment. Foam-layer outer face 24 functionally engages radiation shield garment 60 and inhibits the garment from sliding after belt 10 has been tightened. Support element 12 gives support and comfort to the lumbar area of the user. It should be understood that the foregoing description of the invention is intended merely to be illustrative and that the other embodiments and modifications may be apparent to those skilled in the art without departing from its spirit.
description
1. Field of the Invention This invention pertains in general to the inspection of boiling water reactor internals and more particularly to the inspection of the underside of a top guide for a boiling water reactor. 2. Related Art FIG. 1 is a sectional view, with parts cut away, of a typical reactor pressure vessel 10 for a boiling water nuclear reactor. During operation of the boiling water reactor, coolant water circulating in the reactor pressure vessel 10 is heated by nuclear fission produced in the core 12. Feedwater is admitted into the reactor pressure vessel 10 by a feedwater inlet 14 and feedwater sparger 16. The sparger 16 is a ring-shaped pipe that includes apertures for circumferentially distributing the feedwater inside the reactor pressure vessel 10. The feedwater from the feedwater sparger 16 flows downwardly through downcomer annulus 18, which is an annular region between the reactor pressure vessel 10 and the core shroud 20. The core shroud 20 is a stainless steel cylinder that surrounds the core 12. Core 12 includes a multiplicity of fuel bundle assemblies 22; two arrays of which are shown in FIG. 1. Each array of fuel bundle assemblies 22 is supported at its top by top guide 24 and at the bottom by core plate 26. Top guide 24 provides lateral support for the top of the fuel bundle assemblies 22 and maintains correct fuel channel spacing to permit control rod insertion. The coolant water flows downward through downcomer annulus 18 and into core lower plenum 28. The coolant water in the core lower plenum 28 in turn flows upward through the core 12. The coolant water enters fuel bundle assemblies 22 wherein a boiling boundary layer is established. A mixture of water and steam exits core 12 and enters core upper plenum 30 under shroud head 32. Core upper plenum 30 provides a standoff between the steam-water mixture exiting the core 12 and entering standpipes 34. Standpipes 34 are disposed atop shroud head 32 and in fluid communication with core upper plenum 30. The steam-water mixture flows through standpipes 34 and enters steam separators 36, which may be, for example, of the axial-flow centrifugal type. Steam separators 36 substantially separate the steam-water mixture into liquid water and steam. The separated liquid water mixes with feedwater in mixing plenum 38. This mixture then returns to the core 12 via downcomer annulus 18. The separated steam passes through steam dryers 40 and enters the steam dome 42. The dried steam is withdrawn from the reactor pressure vessel 10 via steam outlet 44 for use in turbines and other equipment (not shown). The boiling water reactor also includes a coolant recirculation system that provides the forced convection flow through the core 12 necessary to attain the required power density. A portion of the water is sucked from the lower end of the downcomer annulus 18 via recirculation water outlet 46 and forced by a centrifugal recirculation pump (not shown) into a plurality of jet pump assemblies 48 (only one of which is shown) via recirculation water inlets 50. The jet pump assemblies 48 are circumferentially distributed around the core shroud 110 and provide the required reactor core flow. The United States Nuclear Regulatory Commission requires that for nuclear plant license extensions the reactor internals components subject to age degradation be inspected for deterioration through mechanism such as intergranular stress corrosion cracking. The previous method of inspecting the bottom side of the top guide was conducted with a single camera secured back on itself via a piece of tape such that the camera was pointed directly up. Inaccuracies in the motion and inspection angle were common using this method. Visual inspection criteria set forth in the EPRI Boiling Water Reactor Vessel and Internals Project (BWRVIP) Report-03 (Revision 12), requires the camera angle to be placed 30° or less from the perpendicular with a known distance from the inspection piece. BWRVIP-26A and BWRVIP-183 are both applicable to Top Guide examinations. Employing the previous method it was difficult to verify that the inspection was within the inspection criteria. Accordingly, a new method is desired that can verify that the inspection criteria has been followed. More particularly, a new apparatus is desired that can carry out such a method and maintain a known camera angle and distance from the inspection piece as well as provide rigidity to keep flow induced impact on the inspection process at a minimum if not entirely eliminated. The foregoing objectives are achieved by the apparatus of this invention which provides an inspection fixture for a top guide of a boiling water reactor. The inspection fixture includes a frame sized to rest and be supported on a top edge of a fuel assembly opening within the top guide. A wheel track is supported from the frame in a manner to extend around a periphery of the fuel assembly opening, substantially proximate a border thereof, when the frame is supported on the top edge of the fuel assembly opening. A central shaft is rotably supported from the frame and extends down below the fuel assembly opening, substantially along an axis of a fuel assembly to be positioned below the opening, when the frame is supported on the top edge of the fuel assembly opening. A linkage bracket is fixedly connected to the central shaft and extends laterally therefrom with a hinged distal link having a hinge line substantially parallel to and spaced from the central shaft. A cam follower rides on the wheel track and is supported from the distal link. An inspection sensor bracket is connected between the central shaft and the distal link for supporting a camera at a fixed angle to survey the underside of the top guide. In one embodiment, the fixture includes a hard stop ring for preventing the central shaft from rotating more than approximately 380°. Preferably, the hinged distal link is spring-biased in a preselected orientation that is preferably at an angle of 0° with another portion of the linkage bracket between the hinge line and the central shaft. In a preferred embodiment, the wheel track is substantially rectangular and preferably substantially square. Desirably, the wheel track is suspended from the frame within the fuel assembly opening in the top guide when the frame rests on the top edge of the fuel assembly opening and in one embodiment the wheel track sits just below the top surface of the top guide. In another embodiment, the inspection fixture of this invention includes a coupling at an upper end of the central shaft for coupling with a drive means for rotating the central shaft. Preferably, the drive means includes a pole that connects to the coupling for positioning the inspection fixture on the top edge of the fuel assembly opening and rotating the central shaft. The top guide inspection fixture of this invention is shown in the exploded view illustrated in FIG. 2 and is supplied from a reactor work platform by way of a small handling pole 52 that has a dimple 54 that fits in a J-slot coupling 56 in a distal end of a sleeve 58 which is the upper part of the central shaft 60 of the inspection fixture 62 of this invention. The small handling pole 52 sets the fixture 62 into the cell of the top guide, i.e., the fuel assembly opening. The fixture then allows for manual mechanical manipulation and rotation of a camera or other inspection sensor through the small handling poles to visually inspect the underside surface of the top guide to meet visual inspection requirements. The inspection can be performed in either a clockwise or counterclockwise direction, as desired. The inspection fixture 62 features a cross-member frame 64 and wheel track 66 that is shown in more detail in the exploded view shown in FIG. 3. The frame has a central hub 68 with four flukes or struts 70 that are spaced 90° apart around the hub 68 and extend out radially. The struts 70 have support ledges 72 that are designed to sit on the top surface of the walls of the fuel assembly openings in the top guide. The central hub 68 has a lower sleeve bearing 74 and a modified upper sleeve bearing 76 that accommodates rotation of the central shaft 60 that passes therethrough. A hard stop floating ring 78 is connected to the central shaft 60 and includes a raised land 80 that cooperates with a notch 82 in the flange of the upper bearing 76 to limit rotation of the central shaft to a little more than one full revolution, i.e., approximately 380°. The approximately 380° rotation from hard stop to hard stop assures an overlap of the inspection area for full coverage. The hard stops are desirable to prevent the device, e.g., camera cable, from becoming entangled should the user continue performing all remaining inspections in the same direction and not reset the fixture after a full rotation, to a “home” position. Two cable restraint hooks 84 are located on the hub 68 of the frame to properly secure a video (or other sensor) cable and prevent the cable from having excessive or insufficient slack. A home position visual indicator 86 is located on the top side of the frame to provide feedback of the relative camera location during operation. A wheel track 66 is welded to the frame and sits within the fuel assembly opening just below the top surface of the top guide when the frame is in position. Preferably, the wheel track 66 is a rectangle and more desirably a square, to follow the inside surface of the fuel assembly opening so as to guide a camera 88 in a camera support bracket 90, around the underside of the walls of the fuel assembly opening in the top guide. The central shaft 60 comprises an upper J-slot sleeve 58, an upper central shaft member 92, a linkage assembly sleeve 94 and a lower shaft member 97 each of which are fixedly coupled together end to end, such as by welding, with the pins 98 serving to assure that there is no rotation of slippage. The weight of the camera support bracket 90 is carried by a shaft collar assembly 100 which is secured to the lower central shaft member 96. The height of the shaft collar assembly 100 on the lower central shaft member 96 is adjustable so that the camera support bracket 90 can be raised or lowered to adjust for different top guide configurations. The markings 102 on the lower central shaft 96 are provide to identify the height adjustments for specific top guide designs. A slotted camera bracket 104 connects the camera support bracket 90 with the lower central shaft member 96 and permits lateral movement of the camera support bracket as a cam follower 124 connected to the camera support bracket 90 through a distal linkage block 110 follows the wheel track 66 as will be explained hereafter. A linkage assembly 106 generally comprises the sleeve 94, first linkage block 108 and second, distal linkage block 110. First linkage block 108 is more fully shown in FIG. 4 and is welded to the linkage assembly sleeve 94 to form an integral member. Second or distal linkage block 110 is better shown in FIG. 5. First linkage block 108 is connected to second linkage block 110 through a hinged coupling 112 which is pivotably coupled by linkage pin 114. The hinged coupling 112 includes a torsion spring 116 that returns the hinge orientation to a neutral position, i.e., 0° offset between the first linkage block 108 and the second linkage block 110. The bottom side of the second linkage block has a hole 118 for one end of a slip fit rod 120 that allows for rotational movement. The other end of the slip fit rod 120 is fixedly connected to the top of the camera bracket 90 to maintain the fixed orientation of the camera bracket. A hole 122 in the upper end of the second linkage block 110 seats the shaft of the cam follower 124. The cam 124 rides on the inside surface of the wheel track 66. As the central shaft 60 is manually rotated with the small handling pole 52, the configuration of the fixture 62 ensures that the camera position is always in the same position as the cam roller 124. It should be appreciated that while the fixture is stated as supporting a camera 88, other nondestructive sensors can also be supported by this fixture to examine the state of the top guide. As previously mentioned, a hard stop ring 78 is positioned on the central shaft 60 to limit the fixture to one full revolution, which prevents the camera cable from binding in the fixture, as well as gives mechanical feedback for beginning and ending the inspection. The fixture positions the camera such that inspections performed through the fixture of this invention are within BWRVIP requirements for a VT-1 examination. This includes the relation of the camera viewing angle to the inspection surface (less than 30° from the perpendicular angle between the surface and the camera field of view) as well as inspection distance (15.24 cm from the inspection surface). For an inspection to be performed, the top guide cell (i.e., the fuel assembly opening) designated for inspection must be fully evacuated of all fuel assemblies, control rod blades, double blade guides and/or single blade guides. Fuel assemblies, double blade guides, and/or single blade guides located in immediately adjacent cells do not require evacuation to perform inspections as there will be no contact or interference with any of these objects. The foregoing fixture of this invention will also not interfere or make contact with any instrumentation, such as local power range monitors. To accommodate five different set-ups respectively required for all BWR/2-6 top guide designs, three different length rods 120 may be used. Each rod is designed to maintain significant contact in the slip fit hole 118 on the distal block 110 of the linkage while allowing vertical adjustment depending on the position of the shaft collar 100. The lower central shaft member 96 that holds the shaft collar 100 has small grooves 102 to indicate where to properly position the shaft collar depending on the top guide being inspected. Once the fixture is configured for a particular set-up, all intended inspections can be performed without any further alteration, modification, or manipulation of the set configuration. The main construction materials employed for the various components in this embodiment are formed from 300 series stainless steel and 6061 aluminum for corrosion resistance. Thus, the fixture of this invention provides for a smooth inspection with a known camera angle and distance from the inspection piece, as well as provides rigidity to keep flow induced impact to the inspection at a minimum, if not entirely eliminated. FIG. 6 provides a perspective view of the fixture of this invention installed on the top guide with the cam 124 at a mid location along a straight rail of the wheel track 66 as the camera support 90 surveys the underside of the top guide 24. FIG. 7 is a perspective view of the fixture shown in FIG. 6 with the cam wheel 124 in a corner location of the wheel track 66. FIGS. 6 and 7 give a better appreciation of the functioning of the linkage assembly 106 as the hinge coupling 112 bends to accommodate the straight portions of the wheel track 66. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
description
This application is related to Provisional Patent Application Ser. No. 61/279,486, filed Oct. 22, 2009 and claims priority thereto. 1. Field of the Invention This invention relates in general to the field of pressurized light water nuclear reactors and, in particular, to radial neutron reflectors which surround the nuclear core for improved neutron economy to lower fuel costs and reduce the radiation dose on the pressure vessel. 2. Related Art The fission reactions in a nuclear reactor generate heat and release neutrons which produce additional fission reactions in the nuclear fuel. The fissile material is massed in the reactor such that the neutron flux density is sufficient to maintain a sustained fission process. In a commercial reactor, pellets of the fissile material are encased in zircoloy rods mounted in modular, elongated fuel assemblies which are generally square in cross section. A large number of these square, elongated fuel assemblies are massed to form a generally cylindrical reactor core which is housed inside a cylindrical stainless steel core barrel between horizontal upper and lower stainless steel core plates. This entire assembly, in turn, is mounted inside a pressure vessel with generally hemispherical upper and lower heads. Reactor coolant, introduced into the pressure vessel through inlet nozzles, flows downward in an annular space between the core barrel and the pressure vessel, reverses direction in the lower plenum of the vessel, flows upward through openings in the lower core plate, and through the fuel assemblies where it is heated as a result of the fission reactions before being directed radially out of the pressure vessel through outlet nozzles. The heat extracted by the reactor coolant from the core is utilized to generate electricity thereby lowering the temperature of the reactor coolant which is recirculated through the reactor in a closed loop. Since the fuel assemblies are square in cross section, an irregular space exists between the periphery of the core and the inner surface of the core barrel. The usual practice is to place longitudinally extending flat, baffle plates along the outer surfaces of the fuel assemblies to confine the upward coolant flow to the fuel assemblies. The baffle plates are held in place by horizontal, irregularly shaped former plates that are bolted to and between the longitudinal baffle plates and the core barrel. Holes in the former plates permit limited coolant flow in the generally annular space between the longitudinal baffle plates and the core barrel to provide cooling for these components and to equalize the pressure on both sides of the longitudinal baffle plates. Although the original purpose of the vertical baffle plates was to channel reactor coolant flow through the fuel assemblies, it has been recognized that to some extent they also reflect neutrons back towards the peripheral fuel assemblies. However, since these plates are relatively thin, most of the neutrons escaping radially from the core migrate into the large volume of water between the plates and the core barrel which absorbs or thermalizes the neutrons with very little reflection. Radial reflectors are designed to reflect neutron radiation back into the interior active core region of reactor vessels during power operation to improve the efficiency of the reactors and to protect the reactor vessels from the embrittling affects of years of irradiation during power operation. With an aging fleet of reactors around the world, there is a current need to extend the life of reactor vessels by more effectively shielding the vessel walls from irradiation to satisfy the requirements for plant licensing extensions. Furthermore, new reactors are being designed with larger and larger cores that will necessitate a more effective shield of the reactor vessel wall to achieve the desired operating life. Accordingly, it is an object of this invention to provide a radial reflector design that provides improved protection for the reactor vessel walls against radiation that will extend the vessels life. It is a further object of this invention to provide a new radial reflector design that can economically be back fitted into existing reactors. Further, it is an additional object of this invention to provide such a radial reflector design that can accommodate ever larger reactor cores with minimum increases in reactor vessel size. Further, it is an object of this invention to provide a new reactor internal support arrangement that will accommodate the larger internals that will be necessary to support larger reactor cores of the future. These and other objects are achieved by this invention which provides a nuclear reactor lower internals arrangement having an axial and circumferential dimension. The lower internals arrangement includes a tubular core barrel supported coaxially within the lower internals and having an inside surface and an upper and lower end. A reflector is supported within the tubular core barrel and has an outside curvature that substantially matches a curvature of the inside surface of the core barrel and contacts a substantial portion of the inside surface substantially over an axial length of the core barrel that extends substantially from the lower end to the upper end. The reflector further has an inside contour that matches an outside contour of an array of a plurality of nuclear fuel assemblies that are designed to be supported within the core barrel to form a nuclear core. The reflector is fixedly connected to the inside surface of the core barrel at a plurality of axial and circumferential locations so that the reflector is substantially supported by the inside surface of the core barrel. In one embodiment, the reflector does not extend continuously around the circumferential dimension, but is provided in circumferential sections, preferably that mate with a stepped interface formed from alternating angles wherein adjacent alternating angles are bent in opposite directions. Preferably, the alternating angles are substantially right angles. In one embodiment, at least two of the circumferential sections are spaced from each other and are separated by a baffle and former structure. Desirably, the reflector does not extend substantially continuously from the lower end to the upper end of the core barrel and is divided into axial sections that interface with each other at an acute angle with the inside surface of the core barrel. Preferably, the acute angle is 30°. Desirably, the number of axial sections is either three or four and a space is provided between interfacing axial sections. In the embodiment wherein at least two of the circumferential sections are spaced from each other and are separated by a baffle-former structure, a former plate is positioned to cover substantially each circumferential end of the interface of the axial sections. In still another embodiment, the radial reflector includes a plurality of axially extending coolant channels between the outside curvature of the reflector and the inside curvature of the core barrel. Desirably, there are axial coolant channels within the radial reflector that extend between axial sections and include tubular sleeves inserted and closely received within at least some of the coolant channels in the vicinity of the interface between axial sections that span the interface. In another embodiment, the core barrel is attached to the reactor vessel at a plurality of spaced, circumferential locations by a plurality of attachment bracket arrangements that maintain a space between the reactor vessel and the outside of the core barrel. At least two of the attachment bracket arrangements are positioned circumferentially around and affixed to an outside surface of the core barrel at substantially different axial elevations. Desirably, adjacent attachment bracket arrangements are positioned at the substantially different axial elevations and the space between the reactor vessel and the inside of the core barrel forms a coolant path wherein the substantially different axial elevations are longitudinally spaced far enough apart so that the coolant blocked by one of the attachment bracket arrangements at an upper elevation is reformed directly below the one of the attached bracket arrangements before the coolant reaches a lower axial elevation of the next attachment bracket arrangement at the substantially different axial elevation. In another embodiment, the nuclear reactor lower internals structure includes a specimen basket formed from a hollowed out portion of the reflector. Desirably, the hollowed out portion extends from a top surface of the reflector. Referring now to the drawings, FIG. 1 shows a simplified nuclear reactor primary system, including a generally cylindrical reactor pressure vessel 6 having a closure head 52 enclosing a nuclear core 54. A liquid reactor coolant, such as water, is pumped into the vessel 6 by the pump 56 through the core 54 where heat energy is absorbed and is discharged to a heat exchanger 58 typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown) such as a steam driven turbine generator. The reactor coolant is then returned to the pump 56, completing the primary loop. Typically, a plurality of the above described loops are connected to a single reactor vessel 6 by the reactor coolant piping 60. A conventional reactor design is shown in more detail in FIGS. 2, 3 and 4. In addition to the core 54 comprised of the plurality of parallel, vertical, co-extending fuel assemblies 1, for purposes of this description, the other vessel internal structures can be divided into the lower internals 38 and the upper internals 49. In conventional designs, the lower internals function to support, align and guide core components and instrumentation, as well as to direct flow within the vessel. The upper internals restrain or provide a secondary restraint for the fuel assemblies 1 (only two of which are shown for simplicity in FIGS. 2 and 3), and support and guide instrumentation and components such as control rods. In the exemplary reactor shown in FIGS. 2 and 3, coolant enters the vessel 6 (also designated by reference character 6 in FIG. 3 et seq.) through one or more inlet nozzles 62, flows downward about a core barrel 4, is turned 180° in a lower plenum 25, passes upwardly through a lower core support plate 8 upon which the fuel assemblies 1 are seated, and through and about the assemblies. The coolant flow through the core and surrounding area is typically large, in the order of 400,000 gallons per minute (25.24 m3/s) at a velocity of approximately 20 feet per second (6.1 m/s). The resulting pressure drop and frictional forces tend to cause the fuel assemblies to rise, which arrangement is restrained by the upper internals 49, including a circular upper core plate 7. Coolant exiting the core 54 flows along the underside of the upper core plate 7 and upwardly through a plurality of perforations 53. The coolant then flows upwardly and radially to one or more outlet nozzles 63. The upper internals 49 can be supported from the reactor or vessel head 52 and include an upper support assembly 61. Loads are transmitted between the upper support plate 61 and the upper core plate 7 primarily by a plurality of support columns 59. A support column is aligned above a selected fuel assembly 1 and perforations 53 in the upper core plate 7. Rectilinearly moveable control rods typically including a drive shaft 55 and a spider assembly of neutron absorbing rods are guided through the upper internals 49 and into aligned fuel assemblies 1 by the control rod guide tubes 57. The guide tubes 57 are fixedly joined to the upper support assembly 61 and connected by a split pin force fit into the top of the upper core plate 7. Currently, the core is typically enclosed by a series of vertical baffle plates 2 attached to horizontal former plates 3 that are connected between the baffle plates 2 and the reactor vessel core barrel 4 as can be seen in FIGS. 2 and 3, though there is a slight difference in the number of formers shown between the two figures, which is, in part, dependent upon the height of the core. FIG. 3 depicts an elevational view of a typical pressure vessel 6 enclosing the baffle/former/fuel assembly elements 2, 3, 1 and also showing the upper core plate 7, lower core plate 8, cylindrical thermal shield 5 and lower core support plate 30. FIG. 4 illustrates a partial plan view that provides a better appreciation of the baffle plates 2, former plates 3 and fasteners 9 and 12 which, respectively, attach the baffle plates to the former plates and the former plates to the core barrel as can be seen, respectively, in FIGS. 4A and 4B. As can be appreciated in FIGS. 2 and 3, there are typically between seven and eight levels of former plates 3. A typical threaded hole, as seen at 10 in FIG. 4B, receives the threaded fastener 12 which affixes the former plates 3 to the core barrel 4. A cooling hole 11, drilled vertically through the former 3, bisects the horizontal through hole in the former 3, provided for the bolt 12. Coolant flowing through the hole 11 reduces the temperature of the bolt during plant operation, thereby prolonging its operating life. As can be seen from FIG. 4, the perimeter of the core is a stepped (staircase) pattern, which is the classic design and will continue to be the “core enclosure geometry,” in newly designed pressurized water reactors, as radial core reflector designs replace the classical standard baffle/former/thermal shield concept to accommodate larger cores and enhanced operating life for the plant. This invention provides both (i) a partial core reflector design that combines with the conventional baffle/former design to provide a complete core enclosure and (ii) a full core reflector design. A partial radial reflector is particularly suited for reactor internals of the two and three-loop design, i.e., approximately 450 Mwe and 750 Mwe. Reactor internals replacement for aging nuclear plants is driven by several factors. Most pressing is baffles/former bolt 9 replacement because of material aging and the desire of the utilities to obtain plant license extensions, which has to take into consideration the “swelling” of core components, in addition to the other factors of irradiation assisted stress corrosion cracking and creep, to obtain regulatory approval for an additional 20 to 25 years of plant operation. The second factor is the fast neutron dose rate on the pressure vessel inside diameter, and more particularly, the vessel girth and longitudinal welds which reside in the high flux zones, which could cause metal embrittlement and possible cracking, i.e., pressurized thermal shock, in the event of cold, emergency core cooling water being injected during an accident scenario. Several plants are currently operating with “suppressors” 13 in the outer row/s of fuel rods, as shown in FIG. 4, in the core peripheral fuel assemblies to minimize the fast neutron count on the pressure vessel 6. The suppressors are hafnium or stainless steel rods that are substituted for fuel bearing rods. If a “suppressor” strategy is chosen, the utility sacrifices some power output and the ability to use an “out-in-out” fuel assembly refueling rotation schedule, which is the most economical plan. Another driver for reactor internals replacement is to include injection of inlet coolant water into the pressure vessel head plenum. A cooler body of water in this area improves the safety of the pressure vessel head penetration welds and increases the margin of safety for a loss of coolant accident, at which time this water dumps into the core. The thermal shield 5 is designed to mitigate fast neutron attrition on the pressure vessel 6 but is not nearly as effective as a radial core reflector which places the metal closer to fuel and thus causes neutrons to be “reflected” back into the core. The partial radial reflector of this invention shelters the pressure vessel more effectively and contributes to a percentage of increased fuel utilization, approximately 3% for a full radial core reflector. Several other reactor internals modifications that utility customers desire, besides the above, brings one to the realization that replacing the reactor internals can be more cost effective than making all these modifications piecemeal. For example, one three-loop plant, several years ago, replaced 280 of the 1,088 baffle/former bolts at a cost of approximately $17 million along with a plant critical path outage of approximately 32 days. To replace the remaining 808 bolts would require another three outages of similar duration and cost. ALARA considerations also add intangible costs when choosing the bolt replacement path. ALARA is an acronym that stands for “as low as reasonably achievable” and refers to minimizing radiation exposure. Although a full circumferential radial core reflector, such as the one disclosed hereafter is feasible, it is more expensive than a partial radial reflector which is an effective alternative when considering reactor internals replacement for a two-loop and three-loop plant. Existing plants have concerns over the number and duration of plant outages that inspection, repairs, and a baffle bolt replacement will require with a license extension from 32 to 54 Effective Full Power Years (EFPY). Perhaps even more critical is the added fast neutron flux dosage on the pressure vessel that will be incurred as a result of a plant life extension, that is now being marginally abated by the fuel assembly suppressor rods 13 shown in FIG. 4. It is well known in the nuclear industry that due to the core geometry and mass of fuel proximity to the pressure vessel inside diameter, the fast fluence on the cardinal axes (i.e., 0°, 90°, 180° and 270°) of the core is twice of that on the 45°, 135°, 225° and 315° axes for two and three-loop plants. Therefore, placing a partial radial reflector element on each cardinal axis will reduce the neutrons reaching the pressure vessel to the extent that, by end of life (54 EFPY), the accumulation on the pressure vessel walls for the axes designations noted above, will be approximately equal, and no more than the total of 1×1020 n/cm2 now being mandated, which is the limit for which the pressure vessel material fracture properties are known. A partial radial reflector 15, in accordance with this invention, is shown in FIG. 5 in relation to the core barrel 6, former plates 3 and baffle plates 2 and achieves the goal of an acceptable fluence rate on the pressure vessel after 54 EFPY, and perhaps beyond. The partial radial reflector eliminates all the baffle/former bolts in the most highly irradiated segment of the core, where irradiation assisted stress corrosion cracking and also “swelling” is of more concern. Employing the partial radial reflector 15 the utility can eliminate “suppressor” rods 13 in their twelve peripheral fuel assemblies, recovering that lost power, and also enabling a return to the more economical “out-in-out” fuel rotation plan. The massive cylindrical thermal shields 5, shown in FIGS. 2 and 3, is also eliminated along with the flexures 14, shown in FIG. 3, which connect the thermal shield to the core barrel 4. These flexures (there are six, each about six inches wide), are candidates for fatigue failure with the additional cycles plant life extension brings. Pressure drop reduction is another advantage that can be achieved with the thermal shield and flexures removed. Additionally, the partial radial reflectors stiffen the lower core barrels 4, lowering the lower internals beam mode natural frequency, which is desirable. The partial radial reflector will also increase fuel utilization by approximately 1%. This equates to about 7 Mwe in the case of a three-loop plant. The presence of the partial radial reflectors will also affect the fuel assembly power curve, resulting in more safety margin during a loss of coolant accident event. Each partial radial reflector 15, shown in FIG. 5 attached to the core barrel 4, spans for example, approximately 31° of arc, accounting for a total of about 124 feet (37.8 m) of the circumference. The elevation view shown in FIG. 6A illustrates three tiers of the partial radial reflector 15 at each cardinal axis for a total of 12 tiers per core. The partial radial reflector tiers are attached to the core barrel by a complement of bolts 19 and dowels 20 as shown in FIGS. 6B and 6C. Each tier can be analyzed as independent elements of relatively little weight and size for all anticipated conditions, including a loss of coolant accident seismic event. Approximately 35 cooling holes 16, shown in FIG. 5, are drilled throughout the partial radial core reflector length and will be needed in order to satisfy the maximum steady state metal operating temperature requirement of approximately 640° F. (337.8° C.). FIG. 5 also shows the interface between the partial core reflector and the adjoining baffle plate 2 and former 3 subassemblies. Bolts 9, affix the baffle plate at each of the seven former 3 elevations. The dowel 17 is only used at the fourth former elevation above the lower core plate 8 and is intended to provide some shear resistance against the baffle plate separating from its contact with the partial core reflector at interface 18 during a plant transient or an extremely high loading condition. FIG. 6A shows an elevation view of the partial radial reflector 15 with the details of the bolts 19 and dowels 20, which affix the partial radial reflector to the lower core barrel shown in FIGS. 6B and 6C. The selection of the number and size of the bolts and dowels is chosen to maintain the partial radial reflector tiers in the functional condition needed to constrain the core and maintain a coolable core geometry under the worst loading conditions. The partial radial reflector is shown as three vertical tiers 15 for a total of 12 tiers. Three tiers are chosen in order to minimize the vertical distance between dowels 20 and the most distant bolts 19, since differential thermal expansion between the partial radial reflector and the core barrel will induce deflection that produces bending stresses in the bolts 19. At plant operating conditions, the partial radial reflector temperature may be approximately 50° F. (10° C.) higher than that of the core barrel. While three axial partial radial reflector segments are considered optimum, either two or four may be used. The gap 21, between tiers 15, is approximately 0.060 inches (1.5 mm) to accommodate the tiers coming together due to thermal expansion. A miter cut 27 at the interface between segments 15 is angled to provide a metal barrier that will reflect rather than pass fast neutrons exiting the core on a horizontal radial path toward the pressure vessel 6. It should be noted that the outside diameter of the partial radial reflector is not in full contact with the core barrel inside diameter. A small separation 23, as can be seen in FIG. 6B, is provided at vertical intervals so coolant water circulation can cool the outside diameter of the partial radial reflector. At the elevations of the miter gaps 21, the outside radius of the partial radial reflector is in full contact with the core barrel inside diameter. This is to prevent coolant leakage through the miter gap entering the core region at this interface. For the same reason, two formers 3 are positioned, in elevation, adjacent to and abutting against the partial radial reflector miter gap 21, on both its sides, to shut off coolant leakage into the core at these interfaces. One large manufacturing advantage of the partial radial reflector concept is that the tiers 15 are of a size and weight where a gun drilling machine can perform the operation of drilling the cooling holes 16 through lengths even greater than what is shown. Conventional portable drilling equipment is currently limited to drill depths of approximately 21 inches (53.3 cm) in 304 stainless steel. Therefore, if the tiers were much larger, circumferentially, so that they would not fit in a gun drilling machine setup then perhaps eight tiers 15 would be required to accommodate the limitations of conventional portable drilling equipment, instead of three to span the vertical core height. In that case, counting all four axes, 32 sections would be required as compared to 12 specified by this invention. If 32 sections were required, that would mean 32 setups would be needed to drill 35 cooling holes 16 in each tier for a total of 1,120 holes. With only the 12 tiers needed, 420 holes are required, which is a considerable difference. FIG. 7 illustrates the hardware that is preferred for the interface between the partial radial reflector tiers 15. Two hollow dowels 24 are provided to precisely center one tier upon another so that a flat vertical face along the entire length of the partial radial reflector is presented to the core. Also, it ensures that there is no “snagging” of the peripheral fuel assembly grid 26 shown in FIGS. 3 and 8, as fuel assemblies are inserted or withdrawn as they pass against the miter gap edges 21 of the partial radial reflector tiers 15. Cooling hole flow sleeves 28 are designed to bridge the gap between tiers 15 and thus provide uninterrupted continuity of coolant flow at each coolant flow hole. They are not intended to be load-bearing elements. Sleeves are a light press fit into the lower tier with a liberal clearance in the adjoining tier above as shown in FIG. 7A. The sleeves provide an added barrier against any coolant flow exiting at the miter gap 21 into the core region, but allows coolant flow continuity between tiers. While preferred to reduce leakage, the sleeves may be considered optional. FIG. 8 illustrates the geometry of the reactor internals components in a lower corner section of the core, which direct core coolant flow into the partial radial reflector bottom tier chamber 29, where it is then dispensed upwards through all the various partial radial reflector cooling holes. This flow represents “bypass coolant,” i.e., coolant which does not flow through the core, and therefore becomes inefficient flow since its temperature is not raised. Generally, about 1.0% of the total coolant flow is budgeted for the partial radial reflector cooling. About 3.2% is budgeted for the full circumferential reflector cooling. The coolant flow, after making 180° turn in the lower pressure vessel plenum 25 flows upward through the lower core support plate 30 and through the lower core plate 8 where some percentage of flow feeds through a gap between the lower core plate and the bottom of the partial radial reflector and into the chamber 29, passing through small constrictive flow holes 22 (0.25 inch diameter (6.35 mm), for example) shown in FIG. 8. The desired pressure drop is thus achieved before release of the bypass coolant into the larger partial radial reflector coolant holes 16 and upward. Pressure differential, radially, across the partial radial reflector is targeted as zero, as the pressure drop through the length of the partial radial reflector matches that through the length of the fuel assembly. If there is a small ΔP difference, the ΔP is directed radially outward so the fuel assembly is “pressed” into the face of the partial radial reflector instead of the alternative, whereby coolant flow would escape through the partial radial reflector miter joints gaps 21, into the core region at some velocity and impact the fuel assembly rodlets with the possibility of causing damage. The foregoing discussion with regard to the partial reflector is presented for application in two and three-loop nuclear pressurized water reactors as the best choice for a utility seeking to extend the life of its nuclear plants to reach 54 EFPY of operation without exceeding the fast neutron fluence limit on the pressure vessel inside diameter while also eliminating baffle bolts 9 in the high fluence zones. With lower internals replacement new core baffle bolts are installed throughout the remaining circumference of the core barrel. However, utilities may have different priorities and therefore choose a full circumference radial core reflector or a variation of the partial radial reflector. For example, instead of employing the partial radial reflector tiers 15, shown in FIGS. 5-7, which spans almost all of the vertical distance between core plates (approximately 156 inches (3.96 m)), the utility may elect to span only the central region of the core (approximately 104 inches (2.64 m)) and require then only two tiers for the partial radial reflector. The remaining distance above and below the two-tier partial radial reflector can be made up of the conventional baffle-former design. This would represent a less expensive, albeit not as effective, alternate for the utility. Another alternative would be not to affix the partial radial reflector tiers to the core barrel with bolts and dowels, but instead, clamp them to the lower core plate with one or two tie rods 35, similar to the scheme, shown in FIGS. 11A, 11B and 11C, which is presently implemented in a full radial core reflector design for a state of the art 257 fuel assemblies core. Other restraints between the partial radial reflector, thus clamped, and the core barrel would then have to be provided to take horizontal service and accident loads. These types of restraints are identified by reference character 36 shown at the upper and lower portions of the core barrel of the full radial reflector illustrated in FIGS. 11A, 11B and 11C. The same drivers exist for reactor internals replacements of existing four-loop, 1,000 mw nuclear power plants as were stated for the two and three-loop power plants described above. The significant difference between the four-loop plants and the two and three-loop plants is that the maximum neutron fluence occurs at the 45° axes instead of the cardinal axes, as is the case in two and three-loop power plants. This means that a partial radial reflector placed straddling a 45° axis will have a much different geometry than one on the cardinal axis. FIG. 9 and FIGS. 10A, 10B and 10C show a four-loop partial radial reflector configuration. The “face” that the 45° partial radial reflector presents to the core must have the “staircase” geometry as seen in the plan view in FIG. 9, to accommodate the core configuration. However, the short distance 31 between the corner of the fuel assemblies 1 and the core barrel 4, at this juncture leaves little space to provide the necessary shielding thickness to meet the pressure vessel neutron fluence accumulation limit. One possible solution to this problem is to install “suppressor rods” 13 (formed from hafnium or stainless steel) in lieu of fuel bearing rods in the extreme corners of the fuel assemblies 1 as identified in FIG. 9. A 17×17 fuel assembly has a compliment at 264 fuel bearing rods. This means that nine to twelve suppressor rods in the corners of a fuel assembly closest to the inner wall of the reactor vessel will likely solve the shielding issue. If it is twelve suppressor rods in each of eight fuel assemblies, i.e., two abutting each partial reflector, this will represent a loss of 96 fuel rods or about ⅓ of one fuel assembly. This loss is more than compensated for by the neutron reflection feature of the partial reflector which will increase fuel utilization by approximately 1%. This equates to approximately 10 mw in the 1,000 mw four-loop plant which features a 193 fuel assemblies making up the core. All of the advantages noted for the partial core reflector for the two and three-loop plants apply as well to the four-loop units. However, the core perimeter will be made up of the standard bolted baffle/former configuration except for the four azimuth zones occupied by the partial radial reflector shown in FIG. 9. The suppressor rods 13 may prevent the utility from using the “out-in-out” fuel management scheme which would be preferred. However, as the pressure vessel material specimens are monitored at intervals over the course of the reactors remaining life, a favorable neutron accumulation trend may be found which would then permit the replacement of the eight suppressed assemblies with standard fuel assemblies. If this would be the case, the out-in-out fuel assembly rotation scenario could then be implemented. A second alternative for the utility is to abandon the “suppressor” rods 13 and opt for the now standard neutron panels 33, shown in FIG. 9, for additional shielding. A cost/benefit study could determine the better of the two choices. FIG. 10A is an elevational view of the 45° partial radial reflector and FIGS. 10B and 10C respectively show the bolts and dowels 19 and 20 which secure the partial radial reflector tiers to the core barrel 4. As previously noted, former 3 abuts the tiers to seal off cooling flow leakage through the miter joint gap 21. Another five former levels 3 in addition to the two formers opposite the miter joints, comprise the total of seven, spaced vertically over the distance between core plates. These details can be seen in FIG. 3. A third alternative is to increase the diameter of the core barrel 4 with a commensurate increase in the radial reflector outside diameter, e.g., a 2.25 in. (5.72 cm) diametrical increase. The other interfaces between the reactor vessel and the internals should accommodate this change. In such a case no suppressor rods or neutron panels would be required. Further, in accordance with this invention, a full circumferential radial core reflector can be employed as an alternative to the partial core radial reflectors heretofore described. The full circumferential radial core reflector can be used as part of a reactor internals replacement for existing plants as well as state-of-the-art and next generation plants not yet constructed. It is especially appropriate where the cores may become larger and where the present radial core reflector design will be strained to keep up with the increased neutron fluence that the reactor vessel interior surface will experience as the result of the larger cores, especially when one considers the increased size and weight factors that such reflectors will present. FIGS. 11A, 11B and 11C illustrate a current state of the art, prior art core arrangement which employs a full circumferential radial core reflector. This example illustrates a “full” radial core reflector for a 257 (17×17) fuel assembly core, with 144 inch (3.66 meter) fuel stack which requires a pressure vessel inside diameter of approximately 203 inches (5.2 meters). In this design, the radial core reflector vertical tiers 34 are clamped via tie rods 35 to the lower core plate 8 to secure the approximate 90 ton (81.65 metric tons) radial core reflector assembly in the vertical direction. Radial restraint is accomplished by hefty alignment pins 36, which connect the core barrel 4 to the radial core reflector 34 at the top and bottom flanges 39 and 40 of the radial core reflector. Between tiers, i.e., seven interfaces, and between the bottom tier and lower core plate 8 (the eighth interface) large dowel pins (approximately 5 inches (12.7 cm) in diameter and four per interface) are employed to prevent the tiers 34 from shifting horizontally, relative to each other, when high seismic impulse loads are experienced. Precise alignment between tiers is also required which is another function provided by the dowel pins, so that the tier faces present a substantially complete, continuous smooth surfaces allowing peripheral fuel assemblies to be inserted or withdrawn without “snagging” and being damaged by any unevenness at the tier interfaces. However, providing enough clearance to ensure that the four dowel pins engage at the tier interfaces, it is then possible that a mismatch of 0.020 inches (0.05 cm) can exist at each horizontal tier interface; seven total. With the modular reflector of this invention, there are only two horizontal interfaces, and with only two dowel pins engaging, the mismatch can be controlled to 0.007 inches (0.018 cm) or less. Hence, a fuel assembly, having as many as nine grids 26 must slide past only two seams with 0.007 inches (0.018 cm) mismatch vs. seven seams with 0.020 (0.05 cm) mismatch. With the component machining tolerances required to meet all these needs, manufacturing and assembly tasks for the state of the art designs pose a real challenge. With this state of the art, prior art design, at least eight tiers are required to span the vertical height required to shield the pressure vessel from excessive neutron flux. The vertical cooling hole pattern, best seen in the plan view in FIG. 11A, is replicated throughout the tier stack. A multitude of approximately 1,600 holes, are needed to sufficiently cool the radial core reflector metal, constructed of 304 stainless steel, to satisfy an objective design goal of 10 to 1 metal to water ratio; this being conducive to the maximum increase in “fuel utilization” of approximately 3%. This state of the art, prior art arrangement requires a separate set-up of each tier, on a large machining center, to drill the cooling holes. Furthermore, each tier has to be repositioned many times so as to bring all the hole locations within “reach” of the machine head travel. This is also true of portable machines which can be “brought to the work,” and must be moved many times as well. This has significant cost and schedule implications for drilling the 1,600 holes through each of eight tiers, 12,800 holes of diameters in the range of approximately 0.562 to 0.875 inches (1.4 to 2.2 cm). There is also the need to drill, through the full length, passage holes for eight tie rods 35, plus the holes for the larger diameter dowels that are used to align the separate tiers at each tier interface, i.e., approximately 32 dowels. As will be appreciated hereafter, the full circumference radial reflector of this invention substantially improves on these circumstances. The 90 ton (81.65 metric tons) radial core reflector weight, as it is now supported in the state of the art design, also affects other components of the lower reactor internals; most significantly, the lower core support plate 30 shown in FIGS. 3 and 11B. With the proposed full circumference radial core reflector of this invention, the entire weight of the radial reflector is evenly distributed around the inside wall of a lower core barrel as shown in FIGS. 12 and 13. Thus, in accordance with this invention, the lower core support plate 30 is relieved of a downward load of approximately 130 tons (117,934 kg) which is representative of a 293 fuel assemblies plant with a fuel stack height of 168 inches (4.27 meters). The 293 fuel assemblies core is representative of the direction of the next generation plants, i.e., 36 more fuel assemblies than the 257 fuel assemblies example, along with a 24 inch (61 cm) increase in fuel height that was mentioned above. In accordance with this invention, the full core radial reflector is constructed with 24 to 32 relatively small circumferential reflector segmented elements as opposed to the current state of the art eight to ten toroidal-shaped axial tiers 34, which are much larger and are shown in FIG. 11(B). The design of this invention offers advantages such as, much shorter lead times for obtaining materials (lead times for large forgings can be 18 months or more), manufacturing machines/methods, handling, final assembly, manufacturing schedule, and shipping and reduced total cost. Desirably, the segmented reflector elements of this invention are not contiguous and are affixed to the inside diameter of the reactor internals lower core barrel 4 using a pattern of bolts and dowel pins similar to that previously described for the partial reflectors. In contrast, the toroidal tiers of the prior art are stacked one on top of the other (nine total), forming a single massive unit which would weigh approximately 115 tons (104.3 metric tons) in the case of a 293 fuel assembly core. This entire structure would be clamped as a single entity to the lower core support plate by way of at least eight longitudinal tie rods 35, of an approximate diameter of 2.5 inches (6.4 cm). The tie rods are threaded into the lower core plate 8 support ledge, as shown in FIG. 11C, then clamped with a lock nut at the top when all the tiers 34 have been assembled. Almost the entire weight of the tiered assembly is born by the lower core support plate 30, whereas in accordance with this invention, twenty-four circumferential segmented reflector elements are disposed over the full height and circumference of the core barrel, there by adding no load to the lower core support plate. Since the segmented design is not contiguous, stress levels cannot build in the corners. This means that the minimum ligament width 31 (FIG. 9) requirement is no longer dictated by stress level requirements. In the analysis of the core, in accordance with this invention, each reflector element will be treated as an individual mass weighing perhaps 12,000 pounds (5.4 metric tons), which is readily secured with a plurality of small bolts and dowels to withstand the high “g” loads experience during a seismic or loss of coolant accident event. The nine tier assembly on the other hand, would be a single mass of approximately 230,000 pounds (104.33 metric tons), which creates a whole different matter with regard to the size and number of components and features that will be needed to restrain such an assembly during a high load episode. In addition, the relatively small circumferential and axial segments of the full circumference reflector of this invention are relatively easy to handle and machine as compared to the much larger toroidal tiers of the reflectors of the prior art. The toroidal tiers require a portable machine to drill the cooling flow holes through each tier, where the practical maximum drill depth in 304 stainless steel is approximately 21 inches (53.3 cm), which sets the maximum thickness of a tier. About 1700 cooling holes, with diameters ranging from 0.625 inches to 0.875 inches (1.6 cm to 2.2 cm) are required to effectively cool the reflector metal in each tier. Nine tiers requires that 9×1,700=15,300 individual hole positions must be addressed by the drilling apparatus. On the other hand, the much smaller reflector element segments of this invention can be mounted on a conventional gun drilling machining center. Here, the drill depth is not the limiting factor. For the design of this invention, a reflector element length of approximately 61 inches (1.5 meters) is selected for the 168 inch (42.7 m) fuel length, but can be decreased based on the designer's preference, as balanced against other design requirements and priorities. With about 213 cooling flow holes per full circumference radial core reflector element, and 24 elements, the number of holes to be addressed is 213×24=5,112, i.e., only about 33% of the total tier set-ups required for a toroidal tier design. Assembly of the full circumference radial reflector segments has an advantage over the radial reflector tiers in that the tiers have to be lowered, one atop the one below, each weighing in the order of 25,500 lbs. (11,567 kg). The full circumference radial reflector segments of this invention weigh approximately between 12,000 lbs. (5,443 kg) and 8,770 lbs (3,978 kg). The heavier segments can be assembled first (those on the 0°, 90°, 180° and 270° axis), either lowered or moved radially (horizontally) into the core barrel. Then the four remaining heavier segments, in each tier can be lowered or moved into position horizontally. In regard to the pressure vessel neutron shielding, the nine tier radial reflector design of the prior art has an interface gap at eight elevations of approximately 0.01 inch (0.25 mm) or less, through which fast neutrons can stream and impinge the pressure vessel inside diameter. Next generation plant designs, now being considered, are expected to operate for 72 Effective Full Power Years with a budgeted 1×1020 n/cm2 maximum accumulation on the pressure vessel wall at the end of life. The reflector design of this invention has an interface gap at only two elevations and instead of the interface being flat and fully horizontal, it is mitered approximately at an angle of between 25° and 45°, whereby fast neutrons find no uninterrupted horizontal path to the pressure vessel inside diameter. A 30° miter is selected as a preferred example so as to minimize the thickness of the abutting formers at these locations for the partial radial reflectors where formers may be employed to interface with the circumferential end of the radial reflector segments. Considerable stiffening of the lower core barrel is an advantage of this invention that results from the rigid reflector elements being affixed around at least portions of the full circumference of the core barrel over a height of approximately 183 inches (4.65 meters), which assumes a fuel stack height of approximately 168 inches (4.3 meters). This results in a lowering of the lower internals natural frequency in both the beam and shell modes, putting the internals assembly at less risk to the effects of flow induced vibration. Core barrel thickness needs to be increased only marginally, or not at all, as the core size grows from 257 fuel assemblies to 293 fuel assemblies because of the reflectors' stiffening affect. Another large benefit of this invention derives from the fact that the radial core reflector elements affixed directly to the inside diameter of the core barrel do not require the flanges of the design associated with reference characters 39 and 40 in FIG. 11B. Thus, the space between the reflectors' toroidal outside diameter and the inside diameter of the core barrel can be reduced with no longer the need of the space occupied by the alignment pins 36. In turn, the diameter of the core barrel 4 can be reduced, along with the inside diameter of the pressure vessel. Considering the pressure vessel size reduction alone, besides the substantial material cost savings, the size of the entire reactor vapor container can be made smaller. The dominant challenge in forging larger structural elements as one piece, is the pressure vessel head. Therefore, any reduction in pressure vessel diameter has many favorable ramifications, e.g., smaller containment equipment hatch, less load on the pressure vessel supports, less shipping concerns, etc. The 293 fuel assemblies core proposed herein can, of course, be scaled upwards or downwards, with downwards an easier course. Upwards is more difficult as forging size and manufacturing capacity come into play. Cores configured with 313, 325 and 333 or more fuel assemblies are possible. There is a point of diminishing return, however, when the size of other components, such as the pressure vessel head, make further expansion of the core impractical, because at some point, manufacturing capabilities will be exceeded. Another significant benefit is derived from the strengthening of the core barrel with this invention. Conventionally, six lower tier radial support keys 32 shown in FIG. 11A, are affixed to the lower core support plate 30 and engage six pressure vessel devices which are keyways machined in “saddles” affixed to the pressure vessel inside diameter. The lower reactor internals, bearing the mass of 293 fuel assemblies, and its own weight would displace in a pendular motion in a seismic or loss of coolant accident event were they not restrained. The lower radial support keys provide this restraint, absorbing and transferring the high loads to the much more substantial pressure vessel. Because of the size of these six key-keyway geometries, a significant amount of downcomer coolant flow, approximately 20% is blocked in the annulus between the reactor vessel and the core barrel, just above where the flow enters the pressure vessel lower plenum 25. This has an adverse affect on the uniformity of core inlet flow distribution and there is also the pressure drop penalty that the geometries inflict. The strengthening of the core barrel in accordance with this invention enables a modification of this design as will hereafter be appreciated, that minimizes these affects. Further, with the radial core reflector tier design of the prior art, there would need to be a set-up in the manufacturing shop to confirm that the design requirements have been met, and then the radial core reflector for best final results, would be disassembled for packaging and shipment to the plant site. Another tedious process of final assembly will then be required at the site, under not the best conditions. The design of this invention will permit final assembly of the radial core reflector in the shop and due to the stiffening of the core barrel, all of the radial core reflector hardware will be left installed for shipping. This represents a significant benefit in terms of reduced labor hours, extra cleaning, packaging and schedule reduction. FIG. 16 shows the “jigsaw” fit between adjoining radial core reflector elements of this invention wherein the circumferential ends have a stepped interface formed from alternating angles with adjacent alternating angles bent in opposite directions. The “stepped joint” 41 reduces any coolant water leakage into or out of the core area because of the pressure drop effected by the right-angled flow path through the joint. The total surface area of the total radial core reflector of this invention is approximately 5,450 inches2 (3.5 meters2) without flow holes. With a length of 185 inches (4.7 meters) (the embodiment shown in FIG. 12), the total weight of 24 radial core reflector elements, computes to be approximately 286,450 pounds (129,932 kg). With a 10:1 metal to water ratio, after all coolant flow holes are drilled, the weight would be reduced to approximately 260,500 pounds or 130 tons (117,934 kg). Each of the radial core reflector elements, before cooling hole machining would weigh approximately 286,450÷24=12,000 pounds (5,443 kg); which would be the set-up weight on the gun drilling machine. A reactor internals structure of the size to accommodate 293 fuel assemblies, which is an example used in this specification, will require at least six key/keyway positions as seen in FIGS. 12 and 14A, 14B, 14C and 14D. Because of the high load imparted to these keys/keyways, during a seismic or loss of coolant accident event, they must be substantial in size, and affixed to a solid base. In all current conventional designs, including radial core reflector concepts, the lower core support plate 30 shown in FIGS. 2 and 3, is that base. As the number and size of the radial support keys increase to match increasing core sizes and mass, a penalty is incurred in the form of flow blockage as downcomer coolant flow in the annulus between the pressure vessel and the core barrel encounters the key/keyway geometries and debouches into the pressure vessel lower plenum 25. Another penalty is incurred which results from this significant flow blockage and comes in the form of increased ΔP across the key/keyway geometries. FIG. 12, which depicts the 10.5 inch (26.7 cm) wide annulus, would have a total annulus flow area of approximately 6,650 inches2 (4.3 meters2). The area obstructed by the six key/keyway locations could be as much as 1330 inches2 (0.86 meters2). In other words, 20% of the flow into the vessel plenum is blocked. The implications of this are that peripheral fuel assemblies in the core regions that occupy the same general azimuthal locations as the radial support keys (see FIG. 12, for example), could experience coolant flow starvation and thus be in violation of the design specification requirement governing “uniformity” of core inlet flow distribution. As stated above, a lower radial support key must be based in a rigid structure that can safely withstand the high impact loads that are imparted in an accident scenario. As a result of the design of this invention, which uniformly affixes radial core reflectors elements to the lower core barrel inside circumference, the core barrel now qualifies as that “rigid structure” to which radial support keys may be attached. Thus, this invention also facilitates relocating the radial key/keyway positions to reduce the coolant flow blockage. Thus, in accordance this invention, three of the six lower radial support keys 42, are elevated by a height 45 from their normal position at the lower end of the core barrel, and are seated in a band 46 which is machined in the lower core barrel 4, to a depth of 47 of approximately 0.25 inches (6.4 mm) as shown in FIGS. 14A, 14B, 14C and 14D. The vertical fit of the radial support keys in the band 46 is intended to have zero clearance to a small interference, perhaps 0.006 inch (0.15 mm) maximum. The azimuthal location of the radial support keys 42 is shown in FIG. 15. The radial support keys which remain in their normal locations are identified by reference character 32. The vertical separation 45 between the two sets of radial support keys is determined to allow the downcomer, coolant flow to “fully reform” after passing through the higher radial key elevation and, before entering the pressure vessel lower plenum, suffering the blockage presented by only the three radial support keys positioned at the lower elevation. It is suggested that a separation of two times the radial support key length, i.e., 2×20.25=40.5 inches (102.9 cm) will allow the downcomer coolant flow to “fully reform after passing through the higher radial key elevation and before entering the pressure vessel lower plenum 25; suffering the blockage presented by only the three radial support keys positioned at the lower elevation.” A flow blockage that would have been 20% is now effectively reduced to 10%. The next generation plants, now in planning, have proposed cores numbering more than 293 fuel assemblies. Radial support key locations can be expected to increase from six to eight. The advantage of the staggered elevation of the radial support keys becomes even more significant in such designs. The full circumference, segmented radial core reflector of this invention can replace another state of the art concept which employs a welded baffle plate design shown in FIG. 17, which forms the core enclosure, similar to that shown in FIGS. 3 and 4. With the segmented design of this invention significant benefits would be derived. It should be noted that the design of FIG. 16 requires neutron panels 33 to provide the pressure vessel sufficient irradiation shielding. With the segmented, full circumference radial core reflector replacement design of this invention shown in FIG. 18 the pressure vessel life can be extended from fifty-four to seventy-two EFPY, based upon allowable fast neutron dose accumulation, which is a significant benefit to a potential customer. Four extra fuel assemblies 51, shown in FIG. 18, can be added, raising the total from 157 to 161, without the need of increasing the pressure vessel diameter or violating the minimum shielding requirements at the 45 degree, 135 degree, 225 degree and 315 degree reflector axes. An additional 23 Mwe can be derived with their addition. By combining the extra power output represented by the extra fuel assemblies, the reduction of by-pass leakage, and the increased fuel utilization via reflected neutrons, a utility customer could elect to maintain all its operating parameters, and instead reduce the enrichment of its fuel, which is a very attractive alternative. Considering that the cost of a single fuel assembly is in excess of $2,500,000 and that the fuel represents 95% of that cost, if the utility could save 5% of the fuel cost, the 161 fuel assembly core would save the utility approximately $19,000,000; which would be compounded for replacement fuel assemblies. Additionally, as can be seen in FIG. 17, traditionally, specimen baskets 48 which are employed to hold specimens that are used to analyze the state of the reactor vessel material over the life of the reactor, as a result of irradiation embrittlement, typically are supported on the outside of the core barrel 4 in the annulus between the core barrel and the reactor vessel 6. Conventionally, passage holes 50 (FIG. 13) are bored through the lower core barrel flange directly above each conventional specimen basket so pressure vessel material specimens can be withdrawn periodically, using long handled tools, for evaluation. These holes have plugging devices installed before the plant resumes operation. As seen in FIG. 18, in accordance with this invention, the specimen baskets can be located within the confines of the radial core reflector, e.g., in an opening machined in the surface of the radial core reflector, and thus eliminate the potential for damage as the reactor internals are removed and inserted in the pressure vessel and when being transported between the pressure vessel and the internals support stand. With the new locations of the specimen baskets, machining costs are reduced, no plugs are required and the plant outage period is reduced. Weight of the radial reflector segments are reduced due to the cut-out of the baskets, which means lower loss of coolant accident and seismic loads at all interfaces between the reactor internals and the pressure vessel. Thus, this invention has a number of added benefits that enhance the evolution of the design of pressurized water reactors. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
047939664
summary
BACKGROUND OF THE INVENTION This invention relates to nuclear reactors and has particular relationship to reactors in which a coolant, typically water at critical temperature and pressure, is circulated through the nuclear core. The core includes the fuel and is disposed in the lower region of the reactor vessel. In addition, the reactor includes control-rod assemblies. Control-rod assemblies include control rods, supports for the control rods, drive rods for the control rods and guides for the control rods and, in some reactors, other related components. The core with its fuel assemblies is usually referred to as the lower internals of the reactor. The control-rod drives and guides are referred to as the upper internals of the reactor. The control rods are movable by the drive rods between the upper internals and recesses or thimbles in the core. The control rods are mounted in clusters on their supports. There are rod clusters (RCC's) in which the rods have a high absorption cross-section for neutrons. These clusters are used to reduce the power or shut down the reactor and are moved between the core and their guides a number of times during the fuel cycle of a reactor. There are so-called grey control rods of substantially lower neutron absorption cross-section than the high-absorption RCC's and they serve for load follow or to moderate or control the power of the reactor. Grey rods are moved between the core and the guides many times (typically 5,600), substantially more than the high-absorption RCC's, during the fuel cycle of a reactor. There are water displacement rod clusters (WDRC'S). These rods are approximately of the same diameter as the RCC's. Their function is to displace the coolant, which may penetrate into the thimbles that do not receive control rods or grey rods. The water operates as a moderator. The coolant may be light water as in a PWR but it may also be liquid metal or heavy water. WDRC clusters are in the core during earlier operation, typically during the first 60% of the fuel cycle, and are raised into the upper internals during the remainder, typically 40% of the fuel cycle. Typically the control rods are tubular. In this application and in its claims, the expression "control-rods", unless modified by wording signifying a specific type of rod, means any or all of the above-described control rods. Typically, the RCC's and the grey rods in their clusters are carried by cruciform supports and are movable inside of hollow curciform guides. These guides conventionally have slots through which coolant flows. The WDRC's are not so protected. A large number of these tubes are movable in rectangular or square guides which conventionally have holes through which the coolant flows. During the later part of the fuel cycle, the WDRC's are moved into and remain in perforated guides. All guides are part of the upper internals of the reactor. The coolant flows vertically through the core and into the upper internals. The outlet nozzles of the vessel are disposed between the ends of the upper internals and they conduct the coolant horizontally. The coolant then flows generally horizontally or transversely through the control-rod assemblies in passing to the nozzles. Typically the vertical flow of the coolant through the core has a velocity of about 24 ft/sec. The flow through the nozzles in prior art apparatus has a velocity of about 50 ft/sec and the flow through some regions of the upper internals in prior art reactors may be as high as 30 or 40 ft/sec. At these velocities, the coolant causes thee vertical members and particularly the WDRC's, during the later part of the fuel cycle, to vibrate. At resonance velocities, the amplitude of vibration of the vertical members may be of the order of an inch or more. At higher velocities there is cavitation. The vertical members of the upper internals and particularly the WDRC's are subject to failure by reason of fatigue by the continuous vibrations induced by the transverse flow and by the stresses induced by cavitation. It is an object of this invention to prevent failure of the components of the control-rod assemblies and particularly of the WDRC's by reason of the transverse flow of the coolant. SUMMARY OF THE INVENTION In accordance with this invention failure of the components of the control-rod assemblies is prevented by reducing the velocity of the transversely-flowing coolant to alow magnitude such that neither appreciable vibration of the components nor cavitation occurs. This magnitude is substantially lower than the resonant magnitude for the components involved. Typically this velocity is about 5 ft/sec. This invention arises from the realization that, in prior art reactors, the coolant, in flowing transversely through the control rod assemblies, is predominantly channeled directly through the outlet nozzles over a relatively small volume of the guides. This channeling increases the velocity of the coolant substantially as it flows over the guides. The velocity is accordingly reduced to the low magnitude by expanding the volume of the transversely-flowing coolant. A perforated shroud is interposed between the nozzles and the upper internals. This shroud and associated components are so structured, in the light of pressure gradations in the outflowing coolant, that the outflow takes place over the whole surface of the shroud, correspondingly expanding the volume of the outflow.
claims
1. A battery comprising:a membrane material capable of collecting alpha particles;a first plate;a radioisotope material positioned between the membrane material and the first plate;a second plate;a rechargeable electro chemical cell positioned between the second plate and the first plate;a housing accommodating the radioisotope material, the membrane, the first plate, the rechargeable electro chemical cell, and the second plate; andconnection leads including a cell anode lead coupled to the second plate, and a cell cathode lead coupled to the first plate. 2. The battery of claim 1, wherein the radioisotope material, the membrane, the first plate, the rechargeable electro chemical cell and the second plate are rolled up producing a rolled assembly. 3. The battery of claim 1, wherein the connection leads connect an anode plate and a cathode plate to the housing for connections to at least one external power load. 4. The battery of claim 2, further comprisinga capacitor assembly which comprises,a first dielectric material layer proximate to an outer layer of the rolled assembly;a third plate proximate to the first dielectric material layer;a second dielectric layer proximate to the third plate;a fourth plate proximate to the second dielectric layer; andconnection leads connecting the third plate to the cell anode lead and connecting the fourth plate to the cell cathode lead;an insulating material layer enclosing the cell and the capacitor assembly;a housing enclosing the rolled assembly; andleads connecting the anode plate and the cathode plate to the housing. 5. The battery of claim 1, wherein the radioisotope material, the membrane, the first plate, the rechargeable electro chemical cell and the second plate are connected in parallel to each other. 6. The battery of claim 1, wherein the battery can be charged by means of an external charge circuit. 7. The battery of claim 1, wherein the rechargeable electro chemical cell is comprised of:an anode layer;a cathode layer;an electrolytic layer separating the anode layer and the cathode layer; anda separating membrane positioned within the electrolytic layer. 8. The battery of claim 1, wherein the radioisotope material comprises one of a radioisotope alpha emitter material, a radioisotope beta emitter material or a mixture of radioisotope materials that emit alpha and beta particles. 9. The battery of claim 1, wherein the membrane material is configured to pass alpha or positive particles and reject beta or negative particles, or to pass beta or negative particles and reject alpha or positive particles. 10. The battery of claim 1, wherein the first plate collects alpha particles or positive charges. 11. The battery of claim 1, further comprising a control and monitoring circuit capable of rendering the battery inoperable. 12. The battery of claim 1, wherein the second plate is capable of capturing beta particles. 13. A battery comprising:a membrane material configured to pass alpha particles and reject beta particles;a first plate;a radioisotope material capable of emitting the alpha particles and the beta particles, being positioned between the membrane material and the first plate;a second plate;a rechargeable electro chemical cell including an anode layer, a cathode layer, an electrolytic layer separating the anode layer and the cathode layer, and a separating membrane located within the electrolytic layer, the rechargeable electro chemical cell being positioned between the second plate and the first plate;a housing accommodating the radioisotope material, the membrane, the first plate, the rechargeable electro chemical cell, and the second plate; andconnection leads to the anode layer and cathode layer with an electric potential between for powering at least one external load. 14. A battery of claim 13, further comprisinga charge control and monitoring circuit to monitor cell voltage, temperature and charge level; andan overcharge control circuit to bleed of excess power. 15. A battery having one compartment, comprising:a membrane material capable of collecting alpha particles;a radioisotope material positioned next to the membrane material;a rechargeable electro chemical battery positioned by the radioisotope material; anda housing enclosing the membrane material, the radioisotope material, and the rechargeable electro chemical battery,wherein the membrane material, the radioisotope material and the rechargeable electro chemical battery are housed within the one compartment of the battery. 16. A battery of claim 1, further comprising a control and monitoring circuit capable of sending a locator beacon signal for tracking purposes. 17. A battery of claim 1, further comprising a control and monitoring circuit capable of sending a signal indicating various parameters of its operation, condition and health.
claims
1. A method for x-ray tomosynthesis or limited-angle CT of a subject or part of a subject, comprising:during imaging, moving a detector tray supporting a detector having a non-circular shape by rotating the detector tray, the detector comprising a plurality of detector cells, a greater density of the detector cells positioned at or near a center of rotation of the detector to provide a larger x-ray detection area near the center of rotation;shaping an x-ray beam to generally match the shape or part of the shape of the detector;moving or orienting the beam to track the motion of detector; andmoving an x-ray beam source with respect to the subject, while moving the beam to track the detector, to acquire at least two subject projections. 2. The method of claim 1, further comprising translating the detector tray. 3. A system for x-ray tomosynthesis or limited-angle CT of a subject or part of a subject, comprising:a gantry to rotate an x-ray detector of non-circular shape about an axis of rotation, the detector comprising a plurality of detector cells, a greater density of the detector cells positioned at or near a center of the axis of rotation to provide a larger x-ray detection area near the axis of rotation;a collimator to shape the x-ray beam to generally match the shape or part of the shape of the x-ray detector;a beam orientation mechanism to track the motion of the detector with the x-ray beam; andan x-ray beam source gantry to move an x-ray beam source with respect to the subject during tomosynthesis or limited-angle CT;wherein the axis of rotation corresponds with an x-ray beam central axis. 4. The system of claim 3, wherein the detector gantry translates within a plane or curved surface. 5. The system of claim 3, comprising means for unlimited rotation of the x-ray detector. 6. The system of claim 5, comprising means for power and signal transmission between the rotating detector and a non-rotating supporting assembly. 7. The system of claim 3, wherein the detector of non-circular shape is mounted on a rotatable tray, the rotatable tray mounted on a non-rotatable detector assembly that is moveable along at least two dimensions of a surface. 8. The system of claim 7, wherein the non-rotatable detector assembly comprises a slip-ring assembly for transmitting power to the rotatable detector tray while not limiting the number of detector rotations. 9. The system of claim 7, wherein the detector assembly comprises a wireless transmitter and receiver for transmission from the rotatable detector tray to the non-rotatable detector. 10. The system of claim 3, wherein the detector cells are arranged on a rectangular elongated matrix. 11. The system of claim 7, wherein the detector cells are arranged on a rectangular elongated matrix and wherein additional detector cells are provided at or near a center of rotation of the detector tray to provide for the larger x-ray detection area near the axis of rotation. 12. The system of claim 3, wherein the detector cells are arranged on a rectilinear elongated matrix and wherein additional detector cells are provided on one or several additional matrices. 13. The system of claim 3, further comprising means to dynamically and automatically track the location of a point in space. 14. The system of claim 13, wherein the point in space corresponds to a point on an interventional medical device selected from the group of a catheter, sheath, brachytherapy seed, probe, ablation element, and guide wire. 15. The system of claim 3, wherein the collimator is rotatable around the x-ray beam central axis. 16. The system of claim 3, comprising means for rotating the x-ray detector around the x-ray beam central axis in synchronicity with the collimator. 17. The system of claim 3, wherein the system is constructed and arranged for operation in a plurality of selectable imaging states including a non-tomosynthesis state.
claims
1. An improvement to measurement methods that have steps of trapping an ensemble of atoms and measuring interference fringes between populations of internal states of a quantum system based on interaction of the ensemble of atoms with a plurality of counterpropagating optical beam pairs, the improvement comprising:a. coupling the plurality of counterpropagating beam pairs such that each pair of beams traverses the ensemble of atoms in parallel counterpropagating beam paths;b. interposing a beam-splitting surface common to the plurality of counterpropagating beam pairs;c. generating interference fringes between reflections of the plurality of parallel pairs of counterpropagating beams to generate a detector signal; andd. processing the detector signal to derive at least one of relative phase and relative alignment between respective pairs of the counterpropagating beams. 2. An improvement in accordance with claim 1, wherein processing the detector signal includes inferring relative alignment of the parallel pairs of counterpropagating beams from a depth of the interference fringes. 3. An improvement in accordance with claim 1, wherein processing the detector signal includes measuring phase shear across the plurality of parallel pairs of counterpropagating beams. 4. An improvement in accordance with claim 1, wherein detecting the interference fringes includes spatially resolving the interference fringes using a detector array. 5. An improvement in accordance with claim 1, further comprising feeding back the at least one of relative phase and relative alignment between respective pairs of the counterpropagating beams to an optical element for stabilizing the at least one of relative phase and relative alignment between respective pairs of the counterpropagating beams. 6. An atom interferometer comprising:a. an ensemble of atoms successively launched between a pair of magneto-optical traps;b. a plurality of pairs of counterpropagating laser beams traversing the ensemble of atoms for probing quantum states characterizing the atoms; andc. a beam-splitting surface common to the plurality of counterpropagating beam pairs, configured to reflect a portion of each of plurality of counterpropagating beam pair;d. a reflector for redirecting one of each pair of counterpropagating laser beams to form an interference pattern with the other of each pair of counterpropagating laser beams; ande. a detector configured to detect the interference pattern and generate a detector signal; andf. a processor for receiving the detector signal and deriving a measure of at least of relative phase and relative spatial alignment of each pair of counterpropagating laser beams. 7. An improvement to an atom interferometer having at least one distinct ensemble of atoms, the improvement comprising:a. a single polarization-preserving fiber coupled for propagation of a first laser beam characterized by a first Raman frequency and a second laser beam characterized by a second Raman frequency distinct from the first Raman frequency, from at least one source of the first and second laser beams; andb. a first parallel displacement beamsplitter for separating the first laser beam and the second laser beam coupled out of the polarization-preserving fiber into respective free-space-propagating parallel beams each respective free-space-propagating parallel beam traversing the at least one distinct ensemble of atoms. 8. The improvement in accordance with claim 7, further comprising a reflector for turning the second laser beam into a direction antiparallel to the first laser beam. 9. The improvement in accordance with claim 8, further comprising a second parallel displacement beamsplitter for creating a plurality of counterpropagating laser beam pairs. 10. The improvement in accordance with claim 8, wherein the reflector is a corner cube reflector. 11. An atom interferometer comprising:a. an ensemble of atoms successively launched between a pair of magneto-optical traps;b. a first plurality of laser beams, all characterized by a first Raman frequency, traversing the ensemble of atoms in a first set of parallel directions for probing quantum states characterizing the ensemble of atoms;c. a second plurality of laser beams, all characterized by a second Raman frequency, traversing the ensemble of atoms in a second set of parallel directions substantially counterpropagating with respect to the first set of parallel directions;d. a first fiber collimator for coupling the first laser beam from optical fiber to free-space propagation substantially parallel to a baseplate;e. a first parallel displacement beam splitter for splitting the first laser beam into a plurality of parallel beam paths;f. a second fiber collimator for coupling the second laser beam from optical fiber to free-space propagation substantially parallel to the baseplate;g. a beam-turning optic for steering the second laser beam in a path substantially parallel to the baseplate and substantially parallel to the plurality of parallel beam paths traversed by the first laser beam;h. a reflector for turning the second laser beam into a direction substantially antiparallel to the plurality of parallel beam paths traversed by the first laser beam; andi. a second parallel displacement beam splitter for splitting the second laser beam into a plurality of parallel beam paths each counterpropagating on the plurality of parallel beam paths traversed by the first laser beam. 12. An atomic interferometer in accordance with claim 11, wherein the reflector is a corner cube reflector. 13. An improvement to an atom interferometer having a first and a second magneto-optical trap (MOT) displaced with respect to each other by an inter-trap distance bisected by a center displaced from either MOT by a “center-to-trap distance,” withsubstantially orthogonal blue-detuned cooling beams traversing a first MOT in directions substantially opposing directions in which another pair of substantially orthogonal blue-detuned cooling beams traverse a second MOT, andsubstantially orthogonal red-detuned cooling beams traversing the first MOT in directions substantially opposing directions in which another pair of substantially orthogonal red-detuned cooling beams traverse the second MOT,wherein the improvement comprises:a. a first fiber collimator for coupling a first laser beam from optical fiber to free-space propagation in a first laser direction substantially parallel to a baseplate and displaced from the center by the center-to-trap distance;b. a second fiber collimator for coupling a second laser beam from optical fiber to free-space propagation substantially parallel to the baseplate, substantially orthogonal to the first laser direction, and also displaced from the center by the center-to-trap distance;c. a first pentaprism, disposed entirely within a sphere of radius no greater than three times the inter-trap distance about the center, for splitting the first laser beam into two orthogonal cooling beams; andd. a second pentaprism, disposed entirely within the sphere of radius no greater than three times the inter-trap distance about the center, for splitting the second laser beam into two orthogonal cooling beams. 14. An atom interferometer in accordance with claim 12, in which the improvement further comprises:e. a third pentaprism, disposed entirely within the sphere of radius no greater than three times the inter-trap distance about the center, for further splitting the first laser beam into two orthogonal cooling beams; andf. a fourth pentaprism, disposed entirely within the sphere of radius no greater than three times the inter-trap distance about the center, for further splitting the second laser beam into two orthogonal cooling beams.
claims
1. A method of using a gas cluster ion beam to offset systematic non-uniformities in workpieces processed in a process tool, the method comprising:processing a plurality of first workpieces in the process tool;collecting parametric data from the first workpieces;identifying systematic non-uniformities in the collected parametric data;formulating a systematic error offset effective to reduce the identified systematic non-uniformities;directing the gas cluster ion beam toward a second workpiece before the second workpiece is processed by the process tool;spatially modulating an applied dose of the gas cluster ion beam, based at least in part on the systematic error offset, as a function of position on the second workpiece to offset the systematic non-uniformities projected to occur in the second workpiece when the second workpiece is processed by the process tool; andprocessing the second workpiece using the process tool. 2. The method of claim 1, wherein the systematic non-uniformities are selected from the group consisting of film thickness, surface roughness, and surface contamination. 3. The method of claim 1, further comprising:collecting parametric data from the second workpiece processed with the gas cluster ion beam before the second workpiece is processed by the process tool. 4. The method of claim 1, wherein collecting the parametric data further comprises:analyzing each of the first workpieces using a metrology tool selected from the group consisting of a spectroscopic ellipsometer, scatterometer, interferometer, X-ray fluorescence tool, and a four point probe. 5. The method of claim 1, wherein directing the gas cluster ion beam toward the second workpiece further comprises:generating gas clusters from a process gas selected from the group consisting of helium, neon, argon, krypton, xenon, nitrogen, oxygen, carbon dioxide, sulfur hexafluoride, nitric oxide, nitrous oxide, and mixtures thereof; andionizing the gas clusters to generate the gas cluster ion beam. 6. The method of claim 1, wherein directing the gas cluster ion beam toward the second workpiece further comprises:implanting ions from the gas cluster ion beam into an upper layer of the second workpiece, infusing ions from the gas cluster ion beam into the upper layer of the second workpiece, etching the upper layer of the second workpiece, decontaminating the upper layer of the second workpiece, or depositing a film on the upper layer of the second workpiece. 7. The method of claim 1, wherein collecting parametric data further comprises:generating a first metrology map for each of the first workpieces before processing in the process tool; andgenerating a second metrology map for each of the first workpieces after processing in the process tool. 8. The method of claim 7, wherein identifying systematic non-uniformities further comprises:comparing the first and second metrology maps for each of the first workpieces to identify the systematic non-uniformities. 9. The method of claim 1, wherein spatially modulating the applied dose of the gas cluster ion beam further comprises:moving the second workpiece relative to the gas cluster ion beam with a dwell time at each position determined at least in part by the systematic error offset. 10. The method of claim 1, wherein spatially modulating the applied dose of the gas cluster ion beam further comprises:moving the second workpiece relative to the gas cluster ion beam; andadjusting an intensity of the gas cluster ion beam at each position on the second workpiece and determined at least in part by the systematic error offset to spatially modulate the applied dose. 11. The method of claim 1, wherein spatially modulating the applied dose of the gas cluster ion beam further comprises:moving the gas cluster ion beam relative to the second workpiece with a dwell time at each position determined at least in part by the systematic error offset. 12. A method of offsetting systematic non-uniformities using a gas cluster ion beam, the method comprising:generating a first metrology map for each of a plurality of first workpieces;processing the plurality of first workpieces are processed with a process tool;generating a second metrology map for each of the plurality of first workpieces after processing with the process tool;identifying systematic non-uniformities from parametric data by comparing the first and second metrology maps for each of the plurality of first workpieces;scanning the gas cluster ion beam across an upper layer of a second workpiece before the second workpiece is processed by the process tool;spatially modulating an applied dose of the scanned gas cluster ion beam as a function of position on the second workpiece to offset systematic non-uniformities projected to occur in the upper layer of the second workpiece before the second workpiece is processed in the process tool; andprocessing the second workpiece in the process tool. 13. The method of claim 12, wherein the non-uniformities are selected from the group consisting of film thickness, surface roughness, and surface contamination. 14. The method of claim 12, further comprising:collecting parametric data from the second workpiece processed with the gas cluster ion beam. 15. The method of claim 12, wherein the first and second metrology maps are collected using a metrology tool selected from the group consisting of a spectroscopic ellipsometer, scatterometer, interferometer, X-ray fluorescence tool, and a four point probe. 16. The method of claim 12, further comprising:generating gas clusters from a process gas selected from the group consisting of helium, neon, argon, krypton, xenon, nitrogen, oxygen, carbon dioxide, sulfur hexafluoride, nitric oxide, nitrous oxide, and mixtures thereof; andionizing the gas clusters to generate the gas cluster ion beam. 17. The method of claim 12, wherein scanning the gas cluster ion beam across the second workpiece further comprises:implanting ions from the gas cluster ion beam into an upper layer of the second workpiece, infusing ions from the gas cluster ion beam into the upper layer of the second workpiece, etching the upper layer of the second workpiece, decontaminating the upper layer of the second workpiece, or depositing a film on the upper layer of the second workpiece. 18. A processing system for use with a process tool and a metrology tool, the process tool configured to process a plurality of workpieces, the metrology tool configured to map a parameter of an upper layer on each of the processed workpieces and to generate parametric data representing the mapped parameter, the processing system comprising:a gas cluster ion beam apparatus; anda controller coupled in communication with the gas cluster ion beam apparatus and adapted to be coupled in communication with the metrology tool, the controller being configured to receive the parametric data from the metrology tool, to generate control signals for operation of the gas cluster ion beam apparatus that are based upon the parametric data received from the metrology tool, and to communicate the control signals to the gas cluster ion beam apparatus. 19. The processing system of claim 18, wherein a gas cluster ion beam apparatus including a vacuum enclosure, a source configured to produce a gas cluster ion beam inside the vacuum enclosure, and a workpiece support in the vacuum enclosure. 20. The processing system of claim 19, wherein the workpiece support includes an X-Y positioning table. 21. The processing system of claim 19, wherein the controller is configured to identify systematic non-uniformities in the parametric data and to generate the control signals based at least partially on the systematic non-uniformities for spatially modulating an applied dose of the gas cluster ion beam as a function of position on a workpiece supported by the workpiece support. 22. The processing system of claim 19, wherein the gas cluster ion beam apparatus further comprises:a plurality of scan plates; anda scan generator electrically coupled with the scan plates, the scan generator configured to apply voltages to the scan plates for varying a path of the gas cluster ion beam relative to the workpiece support. 23. The processing system of claim 19, wherein the source is a reactive source. 24. The processing system of claim 18, wherein the parametric data includes at least one of post-tool processing data or post-gas cluster ion beam apparatus processing data. 25. The processing system of claim 24, wherein the parametric data comprises film thickness data.
056152388
abstract
A radioisotope production target and a method for fabricating a radioisotope production target is provided, wherein the target comprises an inner cylinder, a foil of fissionable material circumferentially contacting the outer surface of the inner cylinder, and an outer hollow cylinder adapted to receive the substantially foil-covered inner cylinder and compress tightly against the foil to provide good mechanical contact therewith. The method for fabricating a primary target for the production of fission products comprises preparing a first substrate to receive a foil of fissionable material so as to allow for later removal of the foil from the first substrate, preparing a second substrate to receive the foil so as to allow for later removal of the foil from the second substrate; attaching the first substrate to the second substrate such that the foil is sandwiched between the first substrate and second substrate to prevent foil exposure to ambient atmosphere, and compressing the exposed surfaces of the first and second substrate to assure snug mechanical contact between the foil, the first substrate and the second substrate.
041479386
claims
1. A fire resistant cask for transportation and storage of radioactive material comprising: an inner container for containing said radioactive material, a layer of gamma and neutron radiation shielding materials surrounding said inner container, an outer container surrounding said layer and inner container, a plurality of spaced apart heat conducting fins projecting outwardly from said outer container, and heat-expansible movable wall means intermediate said spaced apart fins substantially bridging the space between the fins with intermost surfaces at all times having substantial and direct exposure to the atmosphere, disposed closely adjacent to outermost surface of said outer container and the base of said fins during normal dissipation of heat through said fins from the inner container and reversibly movable upon subjection to high exterior temperature to a position adjacent outer extremities of said fins to house the fins against heat flow from the atmosphere through the fins to said outer container. 2. The fire resistant cask of claim 1 wherein the movable wall means comprises a plurality of bimetallic bands encircling said cask. 3. The fire resistant cask of claim 2 wherein each bimetallic band is curved and comprises an inner strip of metal having a higher linear coefficient of thermal expansion bonded along a side to an outer strip of metal having a lower coefficient of thermal expansion. 4. The fire resistant cask of claim 3 wherein the outer strip metal is an iron-nickel-cobalt alloy, the inner strip metal is an iron-chrome-nickel alloy, and said bonding is by spot welding at intervals along said strips. 5. The fire resistant cask of claim 1 wherein the movable wall means comprises a plurality of metallic bands comprised of an alloy with thermally recoverable and reversible pseudo-plastic strain behavior. 6. The fire resistant cask of claim 1 wherein means is provided for limiting outward movement of said movable wall means. 7. The fire resistant cask of claim 6 wherein the limiting means comprises a plurality of pins adjacent the outer extremities of said fins. 8. The fire resistant cask of claim 6 wherein the limiting means comprises projections adjacent the outer extremities of said fins extending over said movable wall means. 9. The first resistant cask of claim 1 wherein the cask is characterized by fire survivability of at least about 800.degree. C. fire for about 30 minutes without structural damage and reestablishment of internal cooling without human intervention.
044877412
summary
BACKGROUND OF THE INVENTION This invention relates to the art of nuclear reactor power plants and has particular relationship to the transfer of fuel assemblies to and from nuclear reactors during fueling, refueling and the like. While this invention is uniquely applicable to nuclear reactors, it is realized that the invention may have applicability in other arts. To the extent this invention is applied in other arts, such application is regarded as within the scope of equivalents of this invention. It is also understood that while this invention is predominantly practiced to transfer fuel assemblies, it may also be applied to the transfer of individual fuel rods. Such application is within the scope of equivalents of this invention. During refueling the core of a nuclear reactor with its fuel assemblies is immersed in highly radioactive water in the pressure vessel. The spent fuel assemblies which are to be removed are also highly radioactive. These fuel assemblies are positioned in slots in the core. Typically the spent fuel assemblies are removed to a vehicle which carries them to a pit where they are stored under water as nuclear waste. The replacement fuel assemblies are removed from a vehicle and transferred to the reactor where they are inserted in the slots vacated by the spent fuel assemblies. The transfer of fuel assemblies between the vehicles and the reactor and the insertion of replacement fuel assemblies in the slots in the core is accomplished by a crane. The crane carries a line which terminates in a fixture for engaging the fuel assemblies. Typically the fixture has fingers which are expansible and collapsible under hydraulic pressure. Each fuel assembly has a hole in its top. The crane has a traverse drive which positions the fixture with the fingers collapsed over a fuel assembly. The line drive then guides the fixture into the hole in the assembly. The fingers are expanded to grip the fuel assembly. Then the line drive is energized to raise and remove the fuel assembly. Other facilities for engaging the fuel assemblies are also feasible. For example, each assembly may be provided in its top with an eyelet to be engaged by a hook terminating the crane line. In carrying out the transfer of the fuel assemblies, it is necessary that the crane line be guided precisely into the hole in the fixture. It is necessary that the operator know if the fixture has encountered an obstruction at the boundaries of the slot which contains a fuel assembly. Positive intelligence must be available that a spent fuel assembly has been effectively engaged by the crane fixture. If a fuel assembly breaks during removal, a part of the broken radioactive assembly may lodge in the reactor under the water. This event constitutes a major catastrophy as it may disable a reactor altogether. The breaking of an assembly during removal must be avoided. While inserting an assembly in a slot, intelligence that the assembly has encountered an obstruction or the boundary of a slot is essential. It must also be known that the assembly is seated in the slot. There must also be intelligence that a fuel assembly being inserted or removed from a slot has encountered excessive resistance or that the movement of the assembly in or out is obstructed. Such increased resistance, particularly when a fuel assembly is being removed from a slot, may damage the crane by overloading the line drive or may rupture the line. At times it may be necessary to traverse the crane line laterally without raising the line to its uppermost starting position. It is indispensible that such a traverse be carried out with the crane-line and its fixture free of a fuel assembly. Provisions to assure that traverse of the line with a fuel assembly on the fixture is precluded are indispensible. It is an object of this invention to provide apparatus uniquely suitable for the transfer of fuel assemblies to and from a nuclear reactor in whose use and operation the above-described condition shall be fully met. SUMMARY OF THE INVENTION In accordance with this invention, there is provided apparatus including a crane and a hoist-load monitor responsive to the loads on the crane throughout its operations in the transfer of a fuel assembly. There is also provided a control interfaced between the monitor and the crane, responsive to the monitor, for controlling the crane. The apparatus includes a load sensor, typically a strain gauge, which supplies intelligence as to the loading of the crane. The intelligence provided by the load sensor includes a measure of the loading when a fuel assembly is being raised, a measure of the loading when the assembly is being lowered and a measure indicating that crane line is slack; i.e., carries loading less than the weight of the line and fixture alone. The monitor includes setpoint means for setting desired levels of loading. The setpoints include a high-level (HL) setpoint, a low-level (LL) setpoint, and a slack-line (SL) setpoint. Appropriate indicators and signals for indicating the status of the loading with respect to the setpoints are provided. The HL setpoint is predominantly significant during raising of a fuel assembly. When the load sensor indicates that this setpoint is exceeded, the crane line drive is deenergized. The LL setpoint is predominantly significant during lowering of a fuel assembly. Measure by the load sensor of loading less than the LL setpoint indicates that the fuel assembly being lowered has contacted an obstruction, either by engagement with boundaries of a fuel assembly slot or because the fuel assembly has been seated in the slot. The operator can determine which of these events has occurred from the length of line taken up in the lowering and also by observation of the water in the reactor. The LL setpoint serves another purpose. Once the LL setpoint is exceeded during lowering the traverse drive is deenergized and is locked in the deenergized condition so that there can be no traverse even if the loading decreases to a magnitude between the LL setpoint and the SL setpoint. To unlock the traverse drive, it is necessary that the measure of the load sensor be less than the SL setpoint, i.e. less than the loading of line and fixture alone. This indicates that the crane line is slack. With the crane line slack, the fixture is disconnected from a fuel assembly. The crane line is subsequently raised to a position where the SL setpoint is exceeded. If the disconnection is effective, the loading is less than the LL setpoint and the crane line can be traversed laterally. If the disconnection is not effective, the LL setpoint is exceeded and the traverse drive is locked precluding traverse by the crane line and the fuel assembly which it carries. The setpoints may be changed. However the mechanisms for changing the setpoints are in a locked cabinet for which only the supervisors have the key. The HL setpoint may be overridden. This purpose is accomplished by a key switch. Only the supervisors have the key. The key cannot be removed from the key slot unless it is turned to the non-overriding setting. The setpoints are digital and their indications are digital. The measures of the load sensor are converted into digital magnitudes. The digital magnitudes avail a measure of the loading in pounds or kilograms.
abstract
A transport container for nuclear fuel assemblies, of right prismatic shape, which has an external envelope and an internal structure defining at least one housing for receiving and holding a fuel assembly.
abstract
A fuel channel for housing a fuel rod bundle in a boiling water nuclear reactor includes an expanded section to create an increased flow area at a top of the fuel channel and thereby reduce a pressure drop through the fuel channel. The expanded section eliminates a need for channel spacers and a fastener guard.