patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
description
The disclosed system and method relate to semiconductor processing. More particularly, the disclosed subject matter relates to an ion beam source system for use in ion implantation equipment in the process of doping semiconductors. Ion implantation is commonly used for doping a semiconductor material at precisely controlled depths and dopant concentrations. An ion implanter generally includes an ion source to generate an ion beam, ion beam transport optics for accelerating the ion beam, and a process chamber where the ion implantation on a semiconductor wafer occurs. The ions are mostly positively charged. An ion beam containing dopants is generated in an ion beam source chamber. Thermionic electrons are first generated from a metal such as tungsten filament which is heated by a current source. The filament is also negative biased. Thermionic electrons are generally accelerated by the biased potential, and collide with molecules of dopant precursors to generate plasma comprising dopant ions. During ion implantation, the charged ion beam strikes the semiconductor wafers in the process chamber, resulting in a doped semiconductor wafer when the dopant ions diffuse into the wafer. Meanwhile, the size of semiconductor wafers has gradually increased to improve throughput and reduce cost per die. For example, in the transition from 300 mm to 450 mm wafer size, the wafer area increases by 125%. The within wafer uniformity (WiWU) becomes more difficult to maintain in the more-than-double-sized wafer. This description of the exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. In the description, relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description and do not require that the apparatus be constructed or operated in a particular orientation. Terms concerning attachments, coupling and the like, such as “connected” and “interconnected,” refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. In an ion implantation process, the improved productivity becomes more beneficial when the wafer size increases. For example, in the transition from 300 mm to 450 mm wafer size, the ion beam is used to implant the more-than-double-sized wafer for a uniform ion implantation. The inventors have determined that the ion beam current is a contributor to the productivity. Higher ion beam current provides a more efficient doping and an improved productivity when the wafer size increases. For example, compared to that for the 300 mm wafers, a higher ion beam current is used to increase productivity in an ion implantation platform for the 450 mm wafers. The magnitude of ion beam current affects within-wafer uniformity (WiWU). The inventors have also determined that the filament based electron source device in some ion source chambers can limit thermionic electrons, resulting in limited amount of ions in an ion beam. The lifetime of the filament in the ion beam source chamber also ultimately affects the wafer yield. So in some embodiments described herein, such ion beam current can be increased in the ion implantation platform, which is useful for the larger wafers, as well as smaller wafers. An ion generation apparatus having an electron beam source device comprising a field emission array, a related ion implantation equipment system, and an ion generation method are provided to increase the ion beam current during a process of ion implantation. The increased ion beam current results in an increase in the rate of doping through an ion implantation, and an overall increase in productivity. In some embodiments, an apparatus comprises an ionization chamber, and an electron beam source device inside the ionization chamber. Such an electron beam source device comprises a field emission array having a plurality of emitters for generating electrons in vacuum under an electric field. In some embodiments, the electron source device further comprises a cathode. The cathode is configured so that the electrons from the field emission array collide with the cathode, and the cathode is configured to emit secondary electrons. The electrons collide with the at least one dopant gas inside the ionization chamber to ionize the dopant molecules to generate plasma. The plasma comprises dopant ions, which are extracted through an extraction apparatus to form an ion beam. For brevity and clarification, references to “secondary electrons” made below will be understood to encompass the electrons emitted from a cathode after the electrons emitted from a field emission array are directed toward the cathode. References to electrons for ionizing dopant gas made below will be understood to encompass the electrons from the cathode and from the field emission array, unless expressly indicated otherwise. FIG. 1A illustrates an exemplary ionization chamber system 100 having an electron beam source device 110 comprising a field emission array, in accordance with some embodiments. FIG. 1B is an enlarged detail of an exemplary electron beam source device 110 in FIG. 1A, in accordance with some embodiments. Ionization chamber system 100 comprises an ionization chamber 101, an electron beam source device 110 inside ionization chamber 101, at least one inlet 102 for feeding dopant gas on a first wall of chamber 101, a repeller 104 inside chamber 101, and at least one outlet or aperture 106 for ionized dopant on a second wall of chamber 101. Two magnets 108 and 112 are installed outside chamber 101 at the two ends, and aligned with repeller 104 and electron beam source 110 in the same direction. Inlet 102 is used to supply a dopant gas into ionization chamber 110. Examples of dopants include but are not limited to BF3, PH3, AsH3 and any other dopant precursors suitable for doping a semiconductor wafer. The starting material for dopant gas is in the form of gas, liquid or solid. In some embodiments, solid dopants are vaporized at a raised temperature such as 200° C. or higher, or decomposed at an elevated temperature such as 800° C. or higher. In some embodiments, dopants are introduced with another gas. Examples of the other gas to be introduced with the dopants include but are not limited to nitrogen, argon, oxygen and hydrogen. Electron source device 110 generates and emits electrons inside the ionization chamber 100. An exemplary electron source device 110 is described in details below with reference to FIG. 1B. Repeller 104 is located inside chamber 101, but at the end opposite to electron source device 110. Repeller 104 serves to confine electrons inside chamber 101 and reflect them back toward electron source device 110. Magnets 108 and 112 create a magnetic field so that the electrons from electron source device 110 travel forward in a spiral direction toward repeller 104. Magnets 108 and 102, together with repeller 104 are configured so that the electrons from electron source device 110 travel repeatedly in helical paths, and efficiently collide with dopant molecules. The dopant molecules are ionized to form plasma comprising dopant ions, radicals and other species. For example, AsH3 molecules struck by electrons produce ions such as As+, As2+ and AsH2+. Outlet or aperture 106 is located on a second wall of chamber 101. In some embodiments, aperture 106 is located on the side opposite to that for inlet 102 for dopant gas. Aperture 106 provides an exit pathway for dopant ions from chamber 101. An ion extraction apparatus having electrodes is used to extract the desired dopant ions based on electric charges. The dopant ions are further accelerated to form an ion beam. Such ion beam is used to dope a semiconductor wafer in the ion implantation system. Referring to FIG. 1B, an exemplary electron source device 110 comprises a field emission array 118, in accordance with some embodiments. A field emission array comprises a plurality of emitters 120 for generating electrons in vacuum under an electric field. Electron source device 110 comprises a field emission array 118, and two power sources 128 and 129. They are connected with conductor wires 124. In some embodiments, electron source device 110 further comprises a cathode 122 and a third power supply 126. In some embodiments, field emission array 118 and cathode 122 are in a vacuum chamber. Field emission array 118 comprises a plurality of emitters 120 on its top surface. Field emission array 118 is in a rod or tubular shape. In some embodiments, the cross-section shape of field emission array 118 is a circle, a square, or any other regular polygon. In some embodiments, the top surface of field emission array 118 has a shape of a circle, a square, or any other regular polygon. The plurality of emitters 120 are arranged in any pattern on the top surface of field emission array 118. In some embodiments, field emission array 118 contains an opening or a hole in its middle portion. A portion of cathode 122 goes through field emission array 118 having such an opening or hole, in a direction normal to the top surface of field emission array 118. Cathode 122 is not electrically connected with any part of field emission array 118. Each of the plurality of emitters 120 generates electrons in vacuum under an electric field. Examples of an emitter in field emission array 118 include but are not limited to a nanogap device, a carbon nanotube based device, and a Spindt array structure. Emitters 120 may be prepared on a substrate by lithographic techniques similar to those used in the fabrication of integrated circuits. The plurality of similar or identical individual emitters is organized in a regular two-dimensional pattern to provide field emission array 118. FIG. 2A illustrates an exemplary emitter 120, which is a metal nanogap device, in accordance with some embodiments. FIG. 2B is a cross section view of the exemplary emitter in FIG. 2A. Exemplary emitter 120 is one of the plurality of emitters in field emission array 118 shown in FIG. 1B. Field emission array 118 having such exemplary emitters 120 is fabricated by lithographic techniques used in semiconductor industry. In some embodiments substrate 130 is a silicon wafer having a layer of SiO2 deposited or grown on the surface. In some embodiments, the thickness of the SiO2 layer on the silicon wafer ranges from 10-300 nm. Different metal layers 132, 134 and 136 are disposed over substrate 130 to form a nanogap structure as illustrated in FIGS. 2A and 2B. In some embodiments, for example, metal layer 132 is titanium. Titanium (Ti) layer 132 can be deposited by electron beam evaporation, physical vapor deposition (PVD), chemical vapor deposition (CVD) or any other coating method. In some embodiments, titanium layer 132 is 1-100 nm in thickness. For example, the thickness of titanium layer 132 is 5 nm in one embodiment. Metal layer 134 is disposed over metal layer 132. In some embodiments, metal layer 134 is a different metal of 1-100 nm in thickness. In some embodiments, for example, metal layer 134 is a 10 nm thick platinum layer. Platinum (Pt) layer 134 can be deposited by electron beam evaporation, PVD, CVD or any other coating method. Metal strips 136, 138 and 140 are disposed over the substrate after formation of gaps through lithographically etching metal layers 132 and 134. The gap dimension ranges from 1 to 200 microns. Strip 138 is disposed inside the gap over the substrate, while strips 136 and 140 are disposed on metal layers 132 and 134 coated substrate. In some embodiments, metal strips 136, 138 and 140 are of the same metal as each other. In some embodiments, for example, they are made of palladium (Pd). In some embodiments, a sharp edge on these metal strips, particularly on metal strip 136 at the edge facing metal strips 138 and 140, is preferred. In some embodiments, the metal strips go through high pressure hydrogen treatment. For example, upon hydrogen absorption, a palladium metal strip goes through phase transformation leading to volume expansion. The resulting high stress concentration leads to formation of a nanogap having sharp edges. For illustration purpose, related dimensions are labeled in FIG. 2A. In some embodiments, for example, the total width (a) of metal strips 136, 138 and 140 is about 50 μm in a direction perpendicular to the gap direction, while the dimension along the gap direction (b) is about 3 μm. The width of the gap (c) is about 15 μm. The dimensions of a nanogap based device are not limited by any of these values demonstrated in this disclosure. Metal strip 140 and metal layers 134 and 132 underneath forms a gate structure. Metal strip 136 having an edge and metal layers 134 and 132 underneath form a cathode array structure. As illustrated in FIG. 2A, a gate voltage (Vg) from a DC power source is applied between the gate structure and the cathode array structure through a DC power source 144 in the device. Vg is in a range of from 10 to 2000 volts. Under a high electric field in vacuum, electrons are emitted from the edge of metal strip 136. In some embodiments, the cathode array structure comprising metal strip 136, and metal layers 132 and 134 underneath, is also connected to a ring-shaped anode 146 above the nanogap device. As shown in FIG. 2A, they are connected through a DC power source 142 at a voltage in the range of 1,500-3,500 volts. The electrons emitted from the edge of metal strip 136 are accelerated under such electric field and travel through the opening in the middle portion of the anode 146. FIG. 2B is a cross section view of the exemplary emitter in FIG. 2A, which is a nanogap device. In FIG. 2B, the same items are indicated by the same reference numerals, and for brevity, descriptions of the structure, provided above with reference to FIG. 2A, are not repeated. FIG. 3A illustrates an exemplary emitter 150 in a field mission array, which is carbon nanotube based device, in accordance with some embodiments. An emitter 150 comprises at least one carbon nanotube disposed in a void in a dielectric film/layer. Emitter 150 shown in FIG. 3A is one of the type of emitter 120 shown in FIG. 1B. Emitter 150 in field emission array 118 can be fabricated by lithography, etching and deposition techniques used in the semiconductor industry. In some embodiments substrate 152 is a silicon wafer. In some embodiments a layer of dielectric material 154 such as SiO2 is deposited or grown on the surface. In some embodiments, the thickness of the SiO2 layer on the silicon wafer ranges from 1-50 μm. A metal layer 156 is disposed on dielectric layer 154. In some embodiment, layer 156 is silver, titanium, or any other metal, or a combination thereof. In some embodiments, metal layer 156 is deposited by electron beam evaporation, PVD, CVD or any other coating. Through lithographic techniques, layer 154 and 156 are etched to form void spaces in a certain pattern as shown in FIG. 3A. Carbon nanotubes 158 are grown from substrate 152 inside the void spaces in dielectric layer 154. In some embodiments, carbon nanotubes (CNTs) 158 are single walled CNTs, double walled CNTs 15 or multiple walled CNTs. In some embodiments, all CNTs are aligned in the same direction. In some embodiments, all CNTs are aligned perpendicularly to the substrate. A gate voltage through power 142 and an anode voltage through power 144 are applied onto the substrate 152 and metal layer 156, as shown in FIG. 3A. In some embodiments, the gate voltage is in the range of 0-1 KV. In some embodiments, the anode voltage is in the range of 0-10 KV. In some embodiments, the anode voltage is higher than the gate voltage. Electrons are emitted from CNTs 158 under such an electric field. Electrons emitted from CNTs are accelerated to travel away from the void space. Examples of an emitter useful for this purpose are not limited to the nanogap and carbon nanotube based devices described above. In some embodiments, each of the plurality of 25 emitters in the field emission array is a Spindt array structure. The Spindt array structure comprises a plurality of metal cones, each of which is disposed in a respective cylindrical void in a dielectric film. FIG. 3B illustrates an exemplary emitter in a field mission array, which is a Spindt array structure, in accordance with some embodiments. Emitter 180 shown in FIG. 3B is one of the type of emitter 120 shown in FIG. 1B. In FIG. 3B, like items are indicated by like reference numerals, and for brevity, descriptions of the structure, provided above with reference to FIG. 3A, are not repeated. In FIG. 3B, electrons are emitted from a plurality of metal cones 160. Each of the plurality of metal cones is disposed in a respective cylindrical void on a dielectric film 154 coated on substrate 152. Metal layer 156 is disposed onto the dielectric film 154. In some embodiments, metal cones 160 as individual field emitters are small sharp molybdenum cones. A gate voltage through power 142 and an anode voltage through power 144 are applied onto substrate 152 and metal layer 156, as shown in FIG. 3B. In some embodiments, the gate voltage is in the range of 0-1 KV. In some embodiments, the anode voltage is in the range of 0-10 KV. The anode voltage is higher than the gate voltage. Electrons are emitted from metal cones 160 under such an electric field. Electrons emitted from metal cones are accelerated to travel away from the void space. Referring back to FIG. 1B showing an exemplary electron source device 110, a field emission array 118 comprising a plurality of emitters 120 emits electrons in vacuum under an electrical field. Power source 128 provides DC power for the gate voltage (Vg) in each emitter 120 as described in FIG. 2A. Power source 129 provides DC power for the anode voltage (Va) in each emitters 120 as described in FIG. 2A. Power sources 128 and 129 are batteries in some embodiments. Cathode 122 in FIG. 1B is a metal film. In some embodiments, cathode 122 is made of tungsten. Cathode 122 is biased at a voltage from a DC supplier 126. The biased voltage is in the range of 1000-3000 volts. For example, the voltage is 2000 volt in some embodiments. Cathode 122 and field emission array 118 are configured so that electrons emitted from emitters 120 are accelerated to strike cathode 122. Cathode 122 then emits secondary electrons. The secondary electrons and possibly electrons from emitters are released into ionization chamber 101 in FIG. 1A to produce plasma comprising dopant ions. FIG. 4 is a flow chart diagram illustrating an exemplary ion generation method 200 comprising steps of generating electrons from a field emission array and ionizing dopant molecules to form an ion beam for ion implantation, in accordance with some embodiments. In step 202, electrons are generated from the emitters 120 of a field emission array 118 in an electron source device 110 as described in FIGS. 2A and 3. In step 204, electrons from the emitters 120 are accelerated and directed toward a cathode 122 in the electron source device 110 as described in FIG. 1B. Electrons from the emitters of the field emission array strike cathode 122 which emit secondary electrons. In step 206, a gas comprising at least one dopant is fed into the ionization chamber 101 as described in FIG. 1A. The dopant gas comprises a desired dopant precursor. In some embodiments, it also comprises another gas including but not limited to nitrogen, argon, oxygen and hydrogen. In step 208, electrons emitted from electron source device 110 are released into ionization chamber 101 as described in FIGS. 1A and 1B. Dopant molecules are ionized by the electrons to form plasma comprising dopant ions. The dopant ions are extracted by an extraction apparatus connected with ionization chamber 101. An ion beam comprising dopant ions is formed. The ion beam is used in a process of ion implantation to dope a semiconductor wafer. The disclosure provides an ion generation apparatus having an electron beam source device comprising a field emission array, a related ion implantation equipment system, and an ion generation method to increase the ion beam current during a process of ion implantation. In some embodiments, an apparatus comprises an ionization chamber, and an electron beam source device inside the ionization chamber. The electron beam source device comprises a field emission array having a plurality of emitters for generating electrons in vacuum under an electric field. In some embodiments, the field emission array has a cross-section shape or a top surface selected from a group consisting of a circle, a square and a regular polygon. In some embodiments, each of the plurality of emitters in the field emission array is a device based on a nanogap of at least one metal. The nanogap device is disposed on a dielectric film. In some other embodiments, each emitter in the field emission array is a carbon nanotube based device. An emitter comprises at least one carbon nanotube disposed in a void on a dielectric film. In some embodiments, each of the plurality of emitters in the field emission array is a Spindt array structure. The Spindt array structure comprises a plurality of metal cones, each of which is disposed in a respective cylindrical void on a dielectric film. In some embodiments, the electron source device inside the ionization chamber further comprises a cathode. The cathode is so configured that the electrons from the field emission array collide with the cathode, and the cathode is configured to emit secondary electrons. In some embodiments, the ionization chamber is adapted to receive at least one dopant gas. The ionization chamber is so configured that the electrons emitted from the field emission array and the electrons emitted from the cathode collide with the at least one dopant gas to ionize the dopant molecules to generate plasma. The plasma comprises dopant ions. In some embodiments, the ion source apparatus further comprises an extraction apparatus for extracting the ionized dopants and forming an ion beam for ion implantation. The extraction apparatus is connected with the ionization chamber. In some embodiments, an ion implantation equipment system comprises an ion source apparatus for generating an ion beam during a process of ion implantation. Such ion source apparatus comprises an ionization chamber configured to receive at least one dopant gas, and an electron beam source device inside the ionization chamber. The electron beam source device comprises a field emission array having a plurality of emitters for generating electrons in vacuum under an electric field. In some embodiments, the field emission array in an ion implantation equipment system has a cross-section shape or a top surface selected from a group consisting of a circle, a square and a regular polygon. In some embodiments, each of the plurality of emitters in the field emission array in an ion implantation equipment system is a nanogap of at least one metal disposed on a dielectric film. In some other embodiments, each of the plurality of emitters in the field emission array in an ion implantation equipment system comprises at least one carbon nanotube disposed in a void on a dielectric film. In some other embodiments, each of the plurality of emitters in the field emission array an ion implantation equipment system is a Spindt array structure. Such Spindt array structure comprises a metal cone disposed in a cylindrical void on a dielectric film. In some embodiments, an ion implantation equipment system further comprises a cathode inside the electron beam source device. The cathode is configured so that during use the electrons from the field emission array collide with the cathode, and the cathode emits secondary electrons. In some embodiments, the field emission array and cathode in an ion implantation equipment system are configured so that during use electrons emitted from the field emission array and the secondary electrons emitted from the cathode collide with the at least one dopant gas for generating plasma comprising ionized dopants. In some embodiments, the ion implantation equipment system further comprises an extraction apparatus for extracting the ionized dopants and forming an ion beam for ion implantation. The extraction apparatus is connected with the ionization chamber. In some embodiments, an ion generation method in a process of ion implantation, comprises generating electrons from an electron beam source device comprising a field emission array having a plurality of emitters in vacuum under an electric field. In such method, at least one dopant gas is fed into an ionization chamber. Electrons collide with and ionize the dopant molecules to generate plasma comprising dopant ions. In some embodiments, the ion generation method further comprises generating secondary electrons from a cathode in the electron beam source device. The electrons emitted from the field emission array are directed toward the cathode. Secondary electrons are emitted from the cathode. The electrons from the cathode collide with and ionize the dopant molecules. Plasma comprising dopant ions are generated. Such dopant ions are extracted to form an ion beam. Although the subject matter has been described in terms of exemplary embodiments, it is not limited thereto. Rather, the appended claims should be construed broadly, to include other variants and embodiments, which may be made by those skilled in the art.
claims
1. A core spray sparger T-box clamp assembly for a sparger T-box in a nuclear reactor, the clamp assembly comprising:an anchor plate shaped to substantially align with a cover plate of the T-box;a clamp including a plurality of latches mated with the anchor plate, the clamp configured to connect and secure the anchor plate to the T-box via the latches the latches being directly connected to the t-box;at least one sparger pipe support configured to attach to sparger pipe adjoining the T-box, the sparger pipe rigidly connected to the anchor plate in at least one dimension; anda bearing plate extendably attached to the anchor plate, the bearing plate configured to seat against the cover plate of the T-box. 2. The clamp assembly of claim 1, wherein the clamp further includes a rotatable extension on a front face of the clamp assembly, the rotatable extension configured to tighten the clamp against the T-box via the latches when the rotatable extension is rotated. 3. The clamp assembly of claim 2, wherein the rotatable extension includes a ratchet nut, a ratchet nut lock, a slider wedge, and a central post. 4. The clamp assembly of claim 3, wherein the central post extends concentrically through the anchor plate, the ratchet nut, the ratchet nut lock, and the slider wedge, and wherein the slider wedge is nested within the ratchet nut and the ratchet nut is nested within the ratchet nut lock. 5. The clamp assembly of claim 3, wherein the ratchet nut lock is rigidly connected to the slider wedge, and wherein the ratchet nut lock engages the ratchet nut so as to permit rotation of the ratchet nut only in a single direction. 6. The clamp assembly of claim 1, wherein the latches engage opposite sides of the T-box and center the clamp and anchor plate between the opposite sides. 7. The clamp assembly of claim 1, wherein the anchor plate and the sparger pipe support are rigidly connected in only two perpendicular directions. 8. The clamp assembly of claim 7, wherein the anchor plate and the sparger pipe support are connected by a dovetail joint. 9. The clamp assembly of claim 1, wherein the sparger pipe support includes at least one T-bolt, at least one T-bolt nut, and at least one sealing collar. 10. The clamp assembly of claim 9, wherein the sparger pipe support is connected to the sparger pipe by the T-bolt, the T-bolt nut, and the sealing collar, the T-bolt extending through the sparger pipe support and into the sparger pipe. 11. The clamp assembly of claim 10, wherein the T-bolt nut is configured to be tightened from a front face of the clamp assembly. 12. A core spray sparger T-box clamp assembly for a sparger T-box in a nuclear reactor, the clamp assembly comprising:an anchor plate shaped to substantially align with a cover plate of the T-box;at least one sparger pipe support attached to sparger pipe adjoining the T-box, the sparger pipe rigidly connected to the anchor plate in at least one dimension;a bearing plate extendably attached to the anchor plate, the bearing plate, the plurality of latches directly connected to the t-box and configured to slide radially inward toward the anchor plate; anda clamp including a plurality of latches extending around the anchor plate and the bearing plate, the plurality of latches configured to slide radially inward toward the anchor plate as the clamp is tightened. 13. The clamp assembly of claim 12, wherein the anchor plate, the sparger pipe support, and the bearing plate are configured to be attached to or removed from one of the sparger T-box and the sparger pipes through a single face of the clamp assembly. 14. A core spray sparger T-box clamp assembly for a sparger T-box in a nuclear reactor, the clamp assembly comprising:an anchor plate;at least one sparger pipe support configured to attach to a sparger pipe adjoining the T-box, the sparger pipe support connected to the anchor plate;a bearing plate extendably attached to the anchor plate; anda clamp including a plurality of latches configured to engage directly with the T-box, the clamp urging the bearing plate against a cover plate of the T-box, the clamp being secured to the T-box by the latches so as to provide the urging. 15. The clamp assembly of claim 14, wherein the clamp includes a rotatable extension configured to tighten the clamp and further urge the bearing plate against the T-box. 16. The clamp assembly of claim 15, wherein the rotatable extension includes a ratchet nut, a ratchet nut lock, a slider wedge, and a central post. 17. The clamp assembly of claim 16, wherein the central post extends concentrically through the anchor plate, the ratchet nut, the ratchet nut lock, and the slider wedge, and wherein the slider wedge is nested within the ratchet nut and the ratchet nut is nested within the ratchet nut lock. 18. The clamp assembly of claim 16, wherein the ratchet nut lock is rigidly connected to the slider wedge, and wherein the ratchet nut lock engages the ratchet nut so as to permit rotation of the ratchet nut only in a single direction. 19. The clamp assembly of claim 14, wherein the latches extend around an outer edge of the anchor plate at equal and opposite intervals so as to engage opposite sides of the T-box. 20. The clamp assembly of claim 14, wherein the anchor plate and the sparger pipe support are rigidly connected in only two perpendicular directions.
description
The capillary discharge source of the present invention will be illustrated as an electric discharge source that is particularly suited for generating EUV and soft x-rays for photolithography, however, it is understood that the invention can be employed to generated shaped emissions of radiation at other wavelengths as well. FIG. 1A schematically depicts an apparatus for EUV lithography that comprises a radiation source 11 that has a shaped emission and that emits soft x-rays 12 into condenser 13 which in turn emits beam 14 that illuminates a portion of reflective reticle or mask 15. Suitable condensers are described for example in U.S. Pat. Nos. 6,118,577 and 6,210,865, which are incorporated herein by reference. The emerging patterned beam is introduced into the imaging optics 16 which projects an image of mask 15, shown mounted on mask stage 17, onto wafer 18 which is mounted on stage 19. Element 20, an x-y scanner, scans mask 15 and wafer 18 in such direction and at such relative speed as to accommodate the desired mask-to-image reduction. The imaging optics 16 can comprise a ringfield camera which is described for example in U.S. Pat. Nos. 6,072,852, 6,183,095 and 6,188,513, which are incorporated herein by reference. One critical feature of the present invention is that the radiation emanating from the radiation source, e.g., the cross section of soft x-rays 12 in FIG. 1A, has a predetermined or desired non-circular shape such as, for example, a cross-section that is shaped as an arc or slit. The preferred embodiment of the invention will be illustrated with an electric discharge source that has a novel capillary design wherein the capillary bore is arc-shaped. As is apparent, it is not necessary for the entire length of the capillary bore to have the non-circular, e.g., arc, shape. Rather, it is only necessary that the bore at the capillary exit exhibit a non-circular configuration sufficient to cause the emitted radiation to have a matching non-circular cross section. Thus, the term xe2x80x9cborexe2x80x9d when used in reference to the non-circular cross-section refers to (i) the bore exit or (ii) the bore exit and at least portions of the capillary bore along its length. By xe2x80x9carcxe2x80x9d is meant a continuous portion (as of a circle or ellipse) of a curved segment and by xe2x80x9cslitxe2x80x9d is meant an elongated, usually a long, narrow rectangular opening. The shape of the arc is defined by its width, length, and radius of curvature and the slit is defined by the width and length. As is apparent, a xe2x80x9cslitxe2x80x9d can be viewed as an arc with an infinite radius of curvature. Preferably when the capillary discharge source is used in an EUV lithography system where the camera images arc or slit shaped images, the capillary discharge source has a bore that has a length to width ratio that substantially matches the length to width ratio of the arc or slit shaped mask area that is imaged by the camera. In this fashion, the condenser can be more readily design with simpler optics, i.e., fewer mirrors, because of the magnification parallel and perpendicular to the arc or slit can be approximately equal. In the case where the bore of the discharge source has a slit configuration, i.e., the bore exit is a narrow elongated aperture, so that the discharge emissions have a matching cross section, a suitably designed condenser can be employed to process or modify the rectangular contour of the discharge emissions to produce an illumination that has a contour that substantially matches the ringfield camera""s arcuate slit field. In particular, the condenser can be designed so that as it maps the arc or slit shaped discharges, the ratio of (i) the radius of curvature of the arc or slit to (ii) the arc or slit""s length is modified by the condenser optics to match a desired ratio at the camera""s slit, that is, to substantially match the same measured ratio of the mask image (or an intensity profile) or area of illumination at the mask plane. For example, when the bore has a slit cross section, the condenser can image the radiation onto the desired arcuate field at the camera""s mask plane by an appropriately designed condenser. The radiation source is preferably an electric discharge source. Conventional electric discharge sources can be modified with the novel capillary design. For example, the axisymmetric capillary of a conventional source, which is typically has a 1-1.5 mm diameter circular bore is replaced with the novel capillary. A preferred embodiment is illustrated in FIG. 2A which shows the cross-section of an electric capillary discharge source 110 which preferably comprises an insulating disk 112 that has a capillary bore 114. The disk 112 is mounted between two electrodes 120 and 130 which are in proximity to the front and back surfaces of the disk, respectively. The disk is made of any suitable ceramic material, such as diamond or boron nitride, and more preferably of pyrolytic boron nitride, compression annealed pyrolytic boron nitride, or cubic boron nitride. FIG. 2B is the cross section of capillary bore 114 showing the arc-shaped opening formed within the dielectric medium. Front electrode 120 is typically grounded and has an aperture 122 having a center that is aligned with the center of the capillary bore 114. Rear electrode 130 has a channel 132 with an inlet and an outlet 134. The outlet 134 is connected to the capillary bore at the back end of disk 112 while the inlet is connected to a gas source 170. Rear electrode 130 is also connected to a source of electric potential 160 which includes a switch mechanism 162 to generate electric pulses. To facilitate the removal of heat, front and rear electrodes and capillaries are preferably encased in a thermally conductive housing 150 which in turn can be surrounded by coils 152 through which a coolant, e.g., water, is circulated. Flange 140 is secured to an outer edge of the conductive housing 150. Front and rear electrodes are made of any suitable electrically conductive and erosion resistant material such as refractory metals, e.g., tantalum or tungsten. The electric capillary discharge source 110 can employ a pulsed electric discharge in a low-pressure gas to excite a plasma confined within a capillary bore region. A high-voltage, high-current pulse is employed to initiate the discharge thereby creating a plasma, e.g., 2-60 eV, that radiates radiation in the EUV region. The source of gas 170 contains any suitable gas that can be ionized to generate a plasma from which radiation of the desired wavelength occurs. For generating extreme ultraviolet radiation and soft x-rays, xenon is preferred. The capillary discharge source is typically employed so that at least the front electrode is positioned within a housing that is maintained at a sub-atmospheric pressure, typically, at a pressure of approximately 1xc3x9710xe2x88x923 Torr or less. The rear electrode can be coupled to a high-voltage source such as a pulser capable of producing sufficient discharge current for a duration that ranges, for example, from about 0.5 to 4 xcexcsec. Because of the arc-shaped cross-section of the capillary bore in electric discharge source, the radiation beam emanating from the electric capillary discharge source will have a cross-section matching that of the arc-shaped cross-section of the capillary bore. As a result, condenser 13 as depicted in FIG. 1 can be modified to require fewer reflective surfaces to focus an arc image to the reflective reticle or mask. It is expected that the number of reflective elements in the condenser can be as few as two although three or four mirrors may be more practical when the inventive radiation source is employed. A modified condenser using only 3 mirrors (or 3 sets of mirrors) suitable for use with the radiation source of FIG. 2A that has an arc-shaped bore shown in FIG. 2B is illustrated in FIG. 3A. The radiation is collected from the source 80 by a mirror 82 which reflects the arc-shaped image from the radiation source to mirrors 84 and 86 and onto mask 88. Mirrors 82 and 84 are illustrated as off-axis conic sections. Mirror 88 is preferably a toroidal mirror. FIG. 3B shows the shape of the imaged area on the surface of mask 88 (FIG. 3A). The use of critical illumination places tight tolerances on the intensity uniformity of the shaped capillary source emission since an image of the source intensity distribution is projected directly on the reticle. It may be possible to make the effective scan-averaged intensity distribution more uniform by modulating the capillary arc width along its length, for example, by adding serifs near the ends of the arc. Another possibility for improving source uniformity is to use guiding magnetic fields to tailor the plasma current density along the capillary arc. A scheme that would smooth out small bright or dark spots in the radiation source is to defocus the source image at the mask. This would require that the source be somewhat oversized, so it would waste some power. The defocus could be introduced in one direction (astigmatism) or in both directions. There are a number of other possible advantages of the inventive shaped, extended capillary source. First, it is likely that its EUV emission pulse energy can be made larger than that from the conventional axisymmetric capillary due to the significant increase in its source emission area. To achieve increased EUV pulse energy and to approximately maintain the present axisymmetric capillary source brightness, it is very likely that the peak current necessary to drive the discharge would have to be increased to conserve peak current density within the extended capillary region. This will place additional demands on the high-voltage pulsed power supply and also on capillary cooling requirements (if the pulse duration is not shortened). Alternatively, it may be better to keep the peak current at its present level and take advantage of the increased area of the extended capillary to reduce the incident power density on the inner wall surface of the capillary. This will reduce the transient temperature rise at the plasma/capillary interface and will also result in more efficient heat extraction from the capillary body, allowing the repetition rate to be increased. It is understood that the inventive radiation source can comprise any suitable device that generates radiation, e.g., x-rays or EUV; the only requirement is that it includes means for shaping the light beam that enters the condenser. Any conventional capillary discharge source can be modified by employing the novel capillary design described above. Conventional radiation sources that can be employed with the appropriate novel channel or modified with the novel capillary include, for example, a synchrotron and laser-generated plasma sources. Suitable radiation sources are further described, for example., in Kubiak et al xe2x80x9cHigh-power extreme ultraviolet source based on gas jet,xe2x80x9d Proceedings of SPIE 3331, 81-89 (1998), Klosner and Silfvast xe2x80x9cIntense xenon capillary discharge extreme-ultraviolet source in the 10-16-nm-wavelength region.xe2x80x9d Optical Letters 23, 20 1609-1611 (1998), Kubiak et al U.S. Pat. No. 5,577,092 xe2x80x9cCluster Beam Targets for Laser Plasma Extreme ultraviolet and Soft X-Ray Sourcexe2x80x9d, Silfvast U.S. Pat. No. 5,499,282 xe2x80x9cEfficient Narrow Spectral Width Soft-X-Ray Discharge Sources, and Silfvast et al. U.S. Pat. No. 5,963,616 xe2x80x9cConfiguration, Materials, and Wavelengths for EUV Lithium Plasma Discharge Lampsxe2x80x9d, and Silfvast et al. U.S. Pat. No. 6,031,241 xe2x80x9cCapillary Discharge Extreme Ultraviolet Lamp Source for EUV Microlithography and Other Related Applications,xe2x80x9d which are all incorporated herein by reference. Although only preferred embodiments of the invention are specifically disclosed and described above, it will be appreciated that many modifications and variations of the present invention are possible in light of the above teachings and within the purview of the appended claims without departing from the spirit and intended scope of the invention.
059498382
description
MODES FOR CARRYING OUT THE INVENTION The standard specimen geometry used in this test series is depicted in FIG. 1. The pipe wall (10) consists of one of the materials described in Table 1. Each pipe is filled with a pellet composed of a mixture of Al.sub.2 O.sub.3 /B.sub.4 C that acts as an expansion mandrel when subjected to a neutron flux. The ratio of this Al.sub.2 O.sub.3 /B.sub.4 C mixture is chosen depending on the amount of expansion desired. Samples are exposed to a neutron flux ranging between 1.33 and 2.5.times.10.sup.21 n.multidot.cm.sup.-2 which also results in different diameter changes that relatively increase up to 1.7. If the pipes withstand these expansions without damage, particularly without any stress corrosion cracks, then they have passed the test. If, however, damage occurs they are classified based on the maximum tolerated expansion at which no damage was observed. In order to manufacture these pipes, melts are produced from materials which are classified as highly pure materials or which only have a minimal amount of scrap. It is advantages if these metals are remelted under vacuum, particularly when they have a higher scrap content, so that they may obtain the lowest possible content of silicon, phosphorous or sulfur. The cooled billet from the melt is shaped into unfinished pipes with a 19 cm inner diameter and a 22 cm outer diameter in a resistance oven. From this rough pipe form a refined pipe form is shaped as illustrated in FIG. 1, after being annealed several times. Intermediate annealing takes place with induction heating in an argon atmosphere at controlled annealing temperatures. Sample cross sections of materials manufactured in this manner, were examined using customary optical and electron microscope methods, both before and after corrosion tests. Each material was tested for chemical composition, range of grain size, and inclusions content. The chemical compositions of different test materials are listed in Table 1 and are identified by alloy numbers. Alloys bearing the numbers 460, 463, 480, 964, 965 and 966 correspond to Steel 1.4550 or AISI type 348, while Alloy Number 491 corresponds to Steel 1.4306 or AISI type 304, Each of these test alloys has a different niobium content. The samples formed from these alloys were shaped into hallow pipe. Different annealing times and processing temperature were used, identified by capital letters in Table 2. The first line lists the resulting grain size obtained under a low temperature process ("LTP"), with the test alloys arranged in the order of decreasing niobium content. The LTP material underwent three to five intermediate annealings at 850.degree. C. for a total of 240 minutes, and a final 60 minute annealing at 850.degree. C. The next line in Table 2 lists several specimens that were exposed to intermediate annealings at varying temperatures which lie within the indicated temperature ranges. The annealing duration (2 minutes for intermediate annealings) is also listed. The temperature for the final annealing (between 1075.degree. C. and 1079.degree. C.) and the duration (2 or 3 minutes) are also listed. All of these specimens lie within the standard annealing process ("STP") whose temperatures are barely above the customary annealing temperature of 1050.degree. C. Specimen Q which is listed as part of the next group, represents a transition to a high temperature process. The process involves four intermediate annealings at temperatures between 1068.degree. C. and 1100 .degree. C., lasting 2 minutes, as well as a final annealing period of 2 minutes at 1100.degree. C. Specimen H is subjected to a high-temperature process, 2 minute intermediate annealings at temperatures between 1138 and 1189.degree. C., and a final steady annealing which takes place at 748.degree. C. for 100 hours. In the following description of how temperature and niobium content effects the structure and corrosion resistance of these test alloys, it is suspected that a coarser grained structure with its reduced grain boundary surface is formed as temperature and homogeneity increase. Damaging impurities, with regard to SCC, Si, P and S are concentrated at the reduced grain boundary surfaces and aid selective corrosion there, despite the low level of these impurities in the test alloys. Something similar to this is true for carbon which can lead to the formation of chromium carbide and a corresponding reduction in corrosion inhibiting chromium at grain boundaries. Niobium carbide, particularly in a fine dispersed distribution, can act as collecting point for these impurities (i.e., the remaining base substance can largely be considered as highly pure and homogeneous) and hinder grain growth, i.e., the remainder of these damaging impurities are distributed over a larger surface and once dispersed have a difficult time to become concentrated. This invention gives rise to a material of high-purity and unexpectedly small grains whose boundaries are less susceptible to local corrosion. The mean grain diameter values which were obtained through optical readings and by counting the intercept lengths of a representative grain population, are listed in Table 2, next to the capital letters which are used to identify the specimens. Reliable data is missing for specimens D, C and E since the grain sizes were determined using methods which are customary for suppliers of semi-finished products, said methods, however, not being consistent with the reliable diameter readings which are obtained increases from top left to bottom right, i.e., grain growth is less hindered by the decreasing niobium content and necessarily increases with annealing temnperatures. Alloy number 964, i.e., specimens F, G and H, are examined next. The grain structure of these specimen is illustrated in FIGS. 2 and 4 which are also shown on a scale of 200:1, as FIGS. 7 and 8. The grain diameters in specimen F (FIG. 2) were produced using a standard process and show a distribution around an average value of 7 .mu.m. Specimen G (FIG. 4), which was produced with a low temperature process, also shows approximately the same average values. The grain sizes, particularly for longer annealing periods, have a relatively small scatter range. Specimen H (FIG. 3) clearly shows enlarged grains, whose mean diameter lies in the 26 .mu.m range, produced using a high temperature process. While enlarged grain size generally causes the grain surface of each individual grain to increase, the number of grains and the total grain surface of all grains actually decreased. FIG. 5 shows the correlation between grain diameter in .mu.m and the grain boundary's overall surface or the corresponding ASTM Number which is contained in one cubic centimeter of the specimen. FIG. 6 shows the influence of grain size that comes about because of the niobium content when produced under the same temperature processes, on the ability of the alloy to deform in the reactor expansion tests. The dotted line R shows that customary steel qualities, which have not been purged of Si, P and S, show a susceptibility to IASCC for relatively low diameter changes, dD, of approximate 0.2%. This means that those materials cannot be used. The specimens shown in FIG. 6 are arranged by grain size diameter where the symbol "o" represents a sample that withstood the applied expansion without damage, while the symbol "(x)" points to light defects and the symbol "x" to considerable defects which renders the material useless. The combination of FIG. 6 and Table 2 shows that specimens produced in accordance with this invention have a grain diameter of approximately 20 .mu.m and can withstand relative expansions of up to 1.5%. The influence that niobium content has on grain sizes (Table 2) is shown in FIG. 4 (Specimen G), FIG. 7 (Specimen J) and FIG. 8 (Specimen L). Cross sectional photographs (scale of 1000:1) taken of specimen treated using these low temperature processes are shown in FIG. 9 (Specimen G), FIG. 10 (Specimen J) and FIG. 11 (Specimen L). In addition to occasionally occurring non-metallic inclusions which are to be considered as production errors (e.g., oxide and sulfide), and islands of isolated iron arranged in the form of lines of delta ferrite, there is a distribution of niobium containing precipitates whose density decreases as the alloy's niobium content decreases. FIG. 12 (Specimen F), FIG. 13 (Specimen H) and FIG. 14 (Specimen G), which are reproduced in a scale of 15,000:1, illustrate the relationship between these precipitates and temperature treatments for alloys with a high niobium content. A non-uniform distribution of precipitates, caused by standard annealing temperatures, is indicated for Specimen F, whose maximum diameter lies between approximately 40 and 560 nm and are chemically alike. Besides traces of iron, chromium and nickel these precipitates have a niobium content of 90%. The niobium is actually in the form of niobium carbide. Almost no precipitates could be found that were an intermetallic between niobium and iron, or chromium, or nickel. Finely dispersed precipitates consisting primarily of niobium (and chromium poor) metal carbides, are typical for material with these chemical compositions. Still higher intermediate annealings temperatures (high temperature process) partially promotes coarser carbide precipitates whereby the corresponding carbide precipitates take on a spherical shaped structure with particle diameters between 20 and 50 nm. In Specimen H (FIG. 13) there are numerous needle-like precipitations with maximum diameters of 20 to 750 nm. Their composition consists of about 95% niobium, with residual amounts of iron, chromium and nickel, indicating niobium carbide. Specimen G (FIG. 14) has a greater portion of the niobium rich precipitates in area 1 in relationship to the finely dispersed niobium carbide precipitates in area 2, which can more than likely be traced to formations which bind themselves to the excess niobium while the material is being manufactured, and which were not able to be transferred into the finely dispersed carbide during the low temperature process. These precipitates have a varying-type metal content which fluctuate between Nb.sub.2 Fe.sub.3 and Nb.sub.2 Fe.sub.6, whereby there are also small traces of Cr and Ni instead of iron, which points to an intermetallic phase. They are formed irregularly and have sizes between 0.25 and 1.5 .mu.m (up to 3 .mu.m), while the maximum diameter of fine dispersed carbide is only between 20 and 250 nm. Different temperature treatments yield different results for expansion tests conducted under irradiation. FIG. 15 repeats the results of FIG. 6 with additional results for materials which are within the scope of temperature treatments contained in the present invention. These are plotted to the left of X line, while to the right of X line are listed the comparison statistics of other materials. The chemical processes and conditions of the coolant in pressurized water reactors and boiling water reactors differ from one another. While no differentiation was made between these reactor types in FIGS. 6 and 15, FIG. 16 does show a summary of results for a pressurized water reactor. Expansion results for materials produced as per the invention are indicated with the symbol "o", while the symbol "x" is used to indicate relative diameter changes which resulted in damage to similar materials. The symbols "." and "+" represent undamaged and damaged diameter change, respectively, which occurred in commercial steel bearing German material No. 1.4981 which was also used in the comparison studies. Other materials used which are listed in Table 3 were also prepared as per the invention and were subjected to practical reactor tests which yielded the same results. To withstand irradiation assisted stress crack corrosion, the chemical composition of a material, particularly its high-purity with regards to Si, P and S (largely independent of other impurities such as, e.g., N) as well as its structure which is formed during the temperature treatment, is essential. TABLE 1 ______________________________________ Content (10.sup.-3 Wt. %) Content (Wt. %) Alloy Number Si P S C N Cr Ni Mn Nb ______________________________________ 460 20 <5 4 7 12 17 10 1.7 0.17 463 20 <5 5 11 31 18 10 1.7 0.19 480 10 <5 4 15 70 18 10 1.5 0.1 491 <10 <5 4 5 10 19 11 1.7 <0.01 964 60 <5 44 44 16 18 10 1.6 0.81 965 50 <5 30 30 9 18 11 1.8 0.43 966 40 <5 30 30 15 18 10 1.6 0.26 ______________________________________ TABLE 2 __________________________________________________________________________ Alloy Number (Nb Content) Heat 964 965 966 463 460 480 491 Treatment (0.81%) (0.43%) (0.26%) (0.19%) (0.17%) (0.16%) (--) __________________________________________________________________________ "LTP" G(7 .mu.m)* J(15 .mu.m) L(6 .mu.m) O(7 .mu.m) N(9 .mu.m) P(14 .mu.m) T(18 .mu.m) "STP" F(7 .mu.m) I(15 .mu.m) K(21 .mu.m) D C E S(29 .mu.m) 1077-1113.degree. C. 1028-1140.degree. C. 1057-1113.degree. C. M(23 .mu.m) (2 min.) (2 min.) (2 min.) 1080-1126.degree. C. 1077.degree. C.(3 min.) 1075.degree. C.(3 min.) 1077.degree. C.(3 min.) (2 min.) 1079.degree. C.(3 min.) "HTP" H(26 .mu.m) Q(37 .mu.m) __________________________________________________________________________ *Alloy letter identification (grain size). TABLE 3 ______________________________________ Content (Wt. - %) Si P S C N Cr Ni Mn Nb ______________________________________ 0.02 0.002 0.007 0.410 0.008 17.7 11.0 1.56 0.70 0.08 0.003 0.006 0.42 0.016 18.2 10.6 1.75 0.81 ______________________________________
description
This invention was made with Government support under Contract No. DE-AC05-76RL01830 awarded by the U.S. Department of Energy. The Government has certain rights in the invention. The present disclosure relates to nuclear reactors and target assemblies as well as methods for modifying material within those target assemblies. In pertinent embodiments, the disclosure relates to nuclear reactor target assemblies, nuclear reactor configurations, and methods for producing isotopes, modifying materials within target material, and/or characterizing material within a target material. At the time of the filing of this application for patent, there is a significant unmet need for some isotopes. One such isotope is molybdenum-99 (99Mo). Radioisotopes have a significant utility for a wide range of medical applications (see, e.g., U.S. Pat. No. 8,126,104) and commercial quantities of radioisotopes such as molybdenum-99 have been produced in nuclear reactors over the years through the uranium fission process (see, e.g., U.S. Pat. No. 3,799,883). It is at least one objective of the present disclosure to provide a radioisotope production target assembly and methods for its use which can overcome one or more of the disadvantages of using a uranium material target. At least one advantage of embodiments of the present disclosure is the substantial amount of isotope that can be produced. Embodiments of the disclosure can eliminate significant amounts of unwanted fission products from the product radioisotope and what may accompany same as a large array of concomitant undesirable infrastructure, health, security, and waste issues and/or associated costs required for this separation. Another advantage of embodiments of the disclosure is the substantial amount of isotope such as 99Mo that can be produced. Target assemblies are provided that can include a uranium-comprising annulus, with the annulus defining an outer diameter and an inner diameter, and the inner diameter defining a volume within the annulus. The assemblies can include target material within the volume of the annulus, with the target material consisting essentially of non-uranium material. Reactors are disclosed that can include one or more discrete zones configured to receive target material. At least one uranium-comprising annulus can be individually within one or more of the zones. The annulus can define an outer diameter and an inner diameter, the inner diameter defining a volume within the annulus, the volume configured to receive the target material within an entirety of the volume in at least one cross section. Methods for producing isotopes within target material are also disclosed, with the methods including providing neutrons to target material within a uranium-comprising annulus; and the target material consisting essentially of non-uranium material. By using the annulus of the target assembly described herein, isotopes can be prepared at a desired activity level using a lower neutron flux than would be needed for the same target material without the uranium-comprising annulus. Methods for modifying materials within target material are disclosed as well. The methods can include providing neutrons to target material within a uranium-comprising annulus, with the target material consisting essentially of non-uranium material. Methods for characterizing material within a target material are further provided, with the methods including providing filtered neutrons to the target material within a uranium-comprising annulus to activate the material for neutron activation analysis. The methods can utilize target material consisting essentially of non-uranium material. Methods for producing isotopes within a target material are also disclosed, with the methods including providing a neutron flux within a target assembly housing an annulus encompassing target material. The neutron flux can be lower than that necessary to produce substantial amounts of isotope in another target assembly that does not house an annulus. This disclosure is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8). The assemblies, reactors and/or methods of the present disclosure will be described with reference to FIGS. 1-15. Referring to FIGS. 1A and 1B, an example target assembly 10 is shown. Target assembly 10 can include a uranium-comprising annulus 14. The annulus can define an outer diameter 15 and an inner diameter 17. The inner diameter can define a volume 19 within annulus 14. According to example implementations, annulus 14 can comprise less than about 20% enrichment of 235U. In accordance with other embodiments, annulus 14 can include an alloy of uranium and erbium, for example. According to another embodiment, annulus 14 can comprise uranium-zirconium alloys (UZr) and/or uranium-zirconium-hydride (UZrHx). In accordance with example implementations shown in FIGS. 1A, 1B, and in FIGS. 2A and 2B, the annulus may have at least one cross section. The distance between inner diameter 17 and outer diameter 15 may range from about 100 μm to about 1 cm in one cross section. In accordance with example implementations, annulus 14 can define a length extending between opposing openings to volume 19. This length can range from 0.5 to 50 cm. In accordance with example embodiments, the length can be greater than 1 cm and/or less than 38 cm, for example. Assembly 10 can also include a target material 12 that may be received within volume 19 of annulus 14. Target material 12 can consist essentially of non-uranium-comprising material. Material 12 can “consist essentially of” non-uranium-comprising material when, the material contains uranium, if at all, it is contained in such insubstantial amounts that the uranium does not require removal from the target material, and/or does not provide decay products that require removal from the target material. Non-uranium-comprising material may also be material requiring no special uranium related radiological or health physics protocols for handling or for transporting the material; such as safety and/or disposal procedures. Accordingly, material 12 may contain inconsequential amounts of uranium and/or consist of non-uranium-comprising material. In accordance with example implementations, material 12 can include at least one of Mo, P, S Ir, Au, Re, and/or Cr. Material 12 can have a diameter less than about 10 cm or more specifically a diameter from about 500 micron to about 5 cm; Material 12 can also have a length of about 3 cm; and multiple discrete target materials can be engaged within volume 19 of annulus 14. For example, annulus 14 may have a length of about 38 cm and material and/or materials 12 may occupy all or a portion of volume 19 of that length. In accordance with example implementations, material 12 may occupy a terminal 12.7 to 15 cm of the length of annulus 14; accordingly this can include the bottom 12.7 to 15 cm of the length of annulus 14, for example. One or both of target material 12 and/or annulus 14 can include cladding 16 extending entirely or at least partially over any or all surfaces. The cladding can include Zr, zircalloy and/or stainless steel, for example. Material 12 can be configured to be removeably coupled to annulus 14. Referring to FIGS. 2A and 2B, target assembly 20 is shown in accordance with another embodiment. In accordance with example implementations, assembly 20 can include target material 12 as well as annulus 14. However, in between target material 12 and annulus 14 can be a liner 22. This liner can be associated with the inner diameter of annulus 14, for example. The liner can comprise boron, boron carbide, boron nitride, and/or cadmium, for example. Liner 22 can be commensurate in length with the length of target material 12 and/or may be commensurate in length with the length of the inner diameter of annulus 14, for example. An example thickness of liner 22 comprised of cadmium can be 390 micro-meters thick but may be as thick as about 5 centimeter. In accordance with example implementations, target material 12, liner 22 and/or annulus 14 may be configured to slidably engage one another to form a portion or all of target assembly 20. Referring next to FIGS. 3A and 3B, a target assembly 30 is shown that includes target material 12, liner 22, annulus 14 and reflector 32. Reflector 32 can include beryllium or lead. In accordance with example implementations, reflector 32 may also include a mixture of beryllium and/or lead with other compositions such as graphite, for example. Reflector 32 may have a cross section that defines a thickness less than about 5 cm, for example, and it may be configured as one or more components that are arranged along a perimeter of the target material and/or annulus. In accordance with example implementations, reflector 32 may have a 0.16 cm thickness with an inner radius of 1.74 cm in one cross section. Referring to FIGS. 4 and 4A, target assembly 42 is shown in accordance with another embodiment. FIG. 4 represents at least one cross section of target assembly 42 and FIG. 4A represents a transverse cross section of target assembly 42 as well. Accordingly, a volume within annulus 14 is defined in at least one cross section. Target material 12 can occupy an entirety of the volume defined in this cross section. Assembly 42 can include additional components, such as cladding, liners, and/or reflectors. In accordance with example implementations, material 12 can occupy an entirety of the volume defined in the cross section inclusive of these additional materials. For example, where assembly 42 includes liner 22, a volume is defined in the one cross section and material 12 can occupy an entirety of this volume. In accordance with example implementations, target assembly 42 can be configured as a can. As such, target assembly 42 can include a can wall 44 that may include aluminum, for example, and adjacent can wall 44 can be reflector 32. Cladding 16 can be over annulus 14 having liner 22 between annulus 14 and target material 12. As can be seen in FIG. 4, assembly 42 can include multiple discrete target materials 12. Referring next to FIGS. 5 and 5A, another target assembly 52 is shown that includes at least one cross section shown in FIG. 5 and a transverse cross section shown in FIG. 5A. In accordance with example implementations, can wall 44 can encompass reflector material 32 which can be associated with cladding 16 of annulus 14 having liner 22 between annulus 14 and target material 12. In accordance with example implementations, target assembly 52 can include one or more caps 54; and disposed adjacent caps 54 can be additional reflector material 32. The mass of the entire target assembly (as shown in FIGS. 1, 2, 3, 4 and 5) can be from about 10 grams to about 5000 grams. In accordance with example implementations, the mass can be from about 50 grams to 3000 grams. The diameter of the entire target assembly can be from about 1 centimeter to about 20 centimeters. In accordance with example implementations, the diameter can be from about 3 to 6 centimeters. Referring to FIG. 6, a transfer cask assembly 62 is shown for use with target assemblies such as target assembly 52 in the form of a can configuration. Referring to FIG. 7, a reactor core pool can have a perimeter of core 72 and a discrete zone 74 configured to receive a can assembly such as target assembly 52. Prior to reaction, target material 12 can include grams of 99.999% pure molybdenum metal powder, for example. According to example implementations, discrete zone 74 can be at a position such as D8 (described later with reference to reactors as 126 in FIG. 12). Assembly 52 can be removed and transferred to a transfer cask assembly 62 and eventually transferred to a reactor laydown area 76. Referring to FIGS. 8 and 8A, target assembly 82 is shown according to another embodiment of the disclosure. Target assembly 82 is shown in one cross section in FIG. 8 and a transverse cross section in FIG. 8A. In accordance with example implementations, target assembly 82 may also be considered a fuel element arrangement. Target assembly 82 can include a cladding 16 encompassing additional cladding over annulus 14 having liner 22 in between annulus 14 and target material 12. In accordance with example implementations, target assembly 82 can include fixtures 88. Fixtures 88 can be configured to be received by portions of a cluster assembly to allow for the transfer of assembly 82. Fixture 88 can be used to grasp assembly 82 for movement into and out of the irradiation position within a reactor, for example. Assembly 82 may also include liner material 22 associated with target material 12. Liner material 22 can be placed in between target material 12 and reflector material 84 as well, and reflector material 84 can be an upper and/or lower reflector. Reflector material 84 can be a mixture of graphite and beryllium, for example. Reflector material 84 may also function as a packing material in some implementations. Material 86 is an upper cap/fixture that can provide a method for attaching fixture 88 to the assembly 82. Referring to FIG. 9, a cluster assembly 92 is shown that includes one or more elements 82 coupled to base 96 and handle 94. Cross sections of cluster assembly 9 are shown in FIG. 9A-9D. Referring to FIG. 9A, a recess 97 is shown within base 96 that also includes sockets 98 that are configured to receive fixtures 88, for example. Additionally, referring to FIG. 9B, socket 98 is shown according to another cross section, and referring to FIG. 9D, socket 99 is shown and configured to receive another fixture 88, for example. In accordance with example implementations, base 96 may be configured as shown in FIG. 9C. Referring to FIG. 10, an arrangement 102 is shown that shows a cross section of different base arrangements 96 having assemblies 82 therein. In accordance with another example embodiment and with reference to FIG. 10A, arrangement 104 can include clusters having a handle 106 with a cutout 108 to provide clearance for the removal of an annulus without requiring removal of the entire fuel assembly to retrieve the target. In accordance with example implementations and with reference to FIG. 11, at least one example of a flow diagram for reacting target material as configured in the present disclosure is provided. In accordance with one example, a molybdenum target can be inserted into a position with an annular uranium element. The target can be irradiated. The irradiated molybdenum can be retrieved and cooled. Cooling can allow for short half life trace materials such as 41Ar to decay away providing a 99Mo radioisotope. Referring to FIG. 12, an example reactor 122 is shown. Reactor 122 can have one or more discrete zones configured to receive target material; a core position 124, for example, as well as a perimeter position 126, sometimes referred to as the D8 position, can be considered to be one or more of these zones. The target material can consist essentially of non-uranium material as described herein. Reactor 122 can also include at least one uranium-comprising annulus individually with the one or more discrete zones, the annulus being as described herein with the volume of the annulus configured to receive an entirety of the target material in at least one cross section. In accordance with example implementations, the annulus can be coupled to the reactor and/or may also be removable from the reactor. Neutrons can be provided by the reactor to the target material as a neutron flux which is increased within the annulus as a result of the concentrating effects of the annulus, for example. Discrete zones may also include one or more reflector components arranged along the perimeter of the target material and/or annulus. Methods also include reflecting of the neutrons by, e.g., the reflector components, to create a flux trap within the annulus. The discrete zone may also include one or more liners associated with the inner diameter of the annulus. The methods can also include filtering the neutrons as they are provided to the target material. In accordance with example implementations, liners 22 comprised of materials such as cadmium or boron compounds can be selected that absorb the thermal neutrons produced in the reactor core, allowing the epithermal and fast neutrons to selectively pass to the target material. For purposes of illustration only, the thermal neutron spectrum can include energy levels less than 1 electron-volt (eV). The epithermal or resonance neutron spectrum can include energy levels greater than 1 eV but less than about 0.5 MeV, while the fast neutron spectrum can include energy levels greater than about 0.5 MeV. In accordance with additional embodiments, the production of the high energy neutrons using the target assembly may be used to treat or to modify materials such as gemstones. Gemologists treat gems such as topaz with epithermal and fast neutrons, for example. The target material as described above can consist essentially of non-uranium-comprising material, such as P, S, Ir, Au, Re, Cr and Mo. The neutrons that interact with the target material can produce one or more of 32P, 35S, 192Ir, 198Au, 186Re, 51Cr, and 99Mo. Accordingly, methods are also provided for modifying materials within target material. The methods can include providing neutrons to target material with a uranium-comprising annulus. Methods are also provided for characterizing material within a target material. The method can include providing filtered neutrons to the target material within a uranium-comprising annulus to activate the material for neutron activation analysis. In accordance with example characterization implementations, the target material can be placed in the target assembly with a liner composed of cadmium or boron carbide, and radiation provided to create a reasonably fast neutron spectrum, inside the target material so that the effects of fast neutrons can be used to characterize the target material. In a method for producing radioisotopes, using one or more of these assemblies, reactors, and/or methods when the target material is molybdenum can give a molybdenum-99 activity of at least 1 Ci/g, but it can also range from 1-25 Ci/g. Other activity can include 0.2-50 Ci/g. In order to provide target assemblies to reactors and remove from same, rabbit or shuttle systems can be utilized. Rabbit systems can include pneumatic systems to automatically transport the target material to and from the reactor core. For example, the target material can be positioned in a transport capsule, and the transport capsule can be positioned into the sending station of the pneumatic tube. The target material in the capsule can then be pneumatically transported to the reactor core. At the completion of the designated irradiation period, the target material in the capsule can then be pneumatically transported to the receiving station of the pneumatic tube. By way of example and for purposes of example only, FIGS. 13-15 depict data acquired from different target configurations in the core of research test reactors using uranium zirconium hydride (UZrHx) fuel. Modeling the performance of unique target assemblies under a variety of conditions can be performed consistent with MCNPX 2.6.0 Extensions, by Hendricks et. al., 2008). The data can be based on the core design and fuel configuration of the research reactor located at Washington State University (WSU) in Pullman, Wash. The research reactor at WSU is a 1-MegaWatt with Training, Research, Isotopes, General Atomics (TRIGA) fuel and a thermal neutron flux of about 2e1012 neutron/centimeter2-sec outside the core. In this case “outside the core” refers to position 126 on FIG. 12. The profile of the predicted neutron flux is depicted in FIG. 15, where “Water D8 position refers to 126 and “Center” refers to 124 of FIG. 12. Example target materials included pressed molybdenum with a bulk density of 8 g/cc. The target configurations could include 1) molybdenum cylinders, 2) molybdenum cylinders and annuli surrounded by beryllium, and 3) molybdenum cylinders surrounded by UZrH fuel with and without beryllium or lead reflectors/absorbers. The configurations are further described below in relation to the discrete zone in which the target assembly may be placed within the reactor: Water Hole D8—calculations for very small target only for reference information. (Core position D8 refers to the perimeter of the core surrounded by the core pool as shown as 126 in FIG. 12.) Water Hole D5—11 slugs/target material 3 cm tall, 2 cm diameter stacked from core center. (Core position D5 refers to a location at the core center as shown as 124 in FIG. 12.) Beryllium Shield—Same configuration as water hole above except shielded with beryllium shield. Be Shield—11 slugs/target material 3 cm tall, 2 cm diameter stacked from core center with a 0.25-cm beryllium reflector surrounding the molybdenum. Be Cylinder—11 annuli 3 cm tall, 0.25 cm thick, inner diameter 2 cm with a beryllium cylinder 2 cm in diameter at the center. Fuel Annulus—1 molybdenum slug/target material 38 cm tall, 2 cm diameter inside of a fuel annulus 0.7 cm thick, inner radius 1.05 cm. Fuel Annulus+Be—same as above but surrounded by a beryllium reflector 0.16 cm thick and an inner radius of 1.74 cm. In practice the outer radius of the fuel annulus would be 1.75 cm, so the inner radius of the beryllium reflector would be slightly larger. Fuel Annulus+Pb—same as above, but replace beryllium with lead (Pb). Fuel Annulus+Be-Hydrogen—same as “Fuel Annulus+Be” except the hydrogen inside the zirconium hydride fuel can be removed from the material description. Fuel Annulus+Pb-Hydrogen—same as “Fuel Annulus+Pb” but hydrogen has been omitted from the fuel material description. Each of the test conditions described above is based on 144 hours of irradiation. FIG. 13 shows the production of 99Mo in a specimen target located out-of-core from 12 to 144 hours. After 72 hours, the production of 99Mo is 3.8 curie. After 144 hours, the production of 99Mo is only 5.6 Ci, or only 1.8 Ci more than produced during the first 72 hours. The two target positions for the purpose of modeling calculations are graphically shown in FIG. 12 as positions 124 and 126. Position 126 (D8) is outside of the core, and position 124 (D5) is inside the core where the higher flux is produced. Each core position (D5) may have four fuel elements configured as shown in FIGS. 9 and 10, for example. A molybdenum target can replace one of the fuel elements in the assembly. The modeling results are shown in Table 1 below. The data can indicate that it is possible to produce about 1000 Ci @ 1.0 Ci/g in a single fuel annulus and a beryllium reflector (1 target position at peak flux in D5). Alternatively, about 1000 Ci @ 0.4 Ci/g may be produced with a larger molybdenum target cylinder in just water. The data further indicates that a four-fold increase in the total curie values can be achieved with four targets replacing four fuel locations. A four-fold improvement in production and in specific activity can be achieved by replacing the natural molybdenum target (24% 98Mo) with an enriched 98Mo target (96% 98Mo). TABLE 1Summary of Data-144-Hr Irradiation of In-Core Mo MetalPowder; 8 g/cc, 2-cm Diameter99MoActivityActivityCellGeometry(Ci)(Ci/gm)Mass (g)Water Hole D8 Out of core5.570.07475.39(a)Water Hole31.780.421675.39(a)Beryllium Reflector31.650.419975.39(a)Beryllium Center33.700.447175.39(a) (b)Fuel Annulus852.00.8921955.04Fuel Annulus + Beryllium960.71.0059955.04ReflectorFuel Annulus + Lead Reflector941.10.9854955.04Fuel Annulus − Hydrogen728.10.7624955.04Fuel Annulus − Hydrogen +962.71.0080955.04Beryllium ReflectorFuel Annulus − Hydrogen +830.20.8693955.04Lead Reflector(a) These values are for the center of the core for a 3-cm-tall target; all other cases correctly average over the entire core height of 38 cm.(b) The molybdenum annulus was 0.25 cm thick. Referring to FIGS. 14 and 15, example data demonstrating neutron flux is shown. Accordingly, FIG. 14 demonstrates a Log-Log plot superimposing the neutron flux of position D8 in water with the cross section for 98Mo(n, gamma)99Mo. The group average shows the integrated flux over the discrete 95 energy bins. FIG. 15 demonstrates a Log-Log plot superimposing the neutron flux of position D8 in water (out-of-core) with center of the core (position D5) in the research reactor. In accordance with example implementations, the irradiated target material can be processed utilizing the materials and/or methods described in US patent publication US2012/0106691 to Toth et al. entitled “Method and System for Radioisotope Generation”, published May 3, 2012; the entirety of which is incorporated by reference herein. In compliance with the statute, embodiments of the invention have been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the entire invention is not limited to the specific features and/or embodiments shown and/or described, since the disclosed embodiments comprise forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.
054917310
summary
Field of the Invention The present invention relates generally to a method and system for maintaining pressure in a nuclear power plant primary loop having a pressurizer vessel operatively attached to it for maintaining pressure in the primary loop and, more particularly, to such a method and system which automatically inject nitrogen into and vent nitrogen from the pressurizer vessel for maintaining the pressure in the primary loop during startup and shutdown which, in turn, eliminates temperature gradients between the pressurizer vessel and the primary loop or laborious methods caused by presently known and utilized methods and apparatuses. Description of the Related Art A typical nuclear power facility includes a nuclear reactor wherein a controlled nuclear reaction, which generates heat, is occurring. Typically, borated water is contained in the reactor for controlling the nuclear reaction process and for passing the generated heat away from the reactor. A primary loop communicating with the reactor functions to pass the borated water (i.e., the heat) away from the reactor and to transfer the heat to a secondary loop. A reactor coolant pump is attached to the primary loop for pumping the borated water through the primary loop. The primary loop then returns the borated water back into the reactor where the above described process is repeated. The secondary loop is isolated from the primary loop and generates steam from the heat passed from the primary loop. The steam of the secondary loop is used to produce electricity as is well known in the art. A pressurizer vessel is connected to the primary loop for maintaining a constant pressure in the primary loop. The pressurizer vessel includes a protective shell forming an interior portion for containing any water and steam therein. The protective shell includes a cylindrical shaped side terminating at a hemispherical shaped head at both its top and bottom end, with the bottom end attached to a cylindrical support skirt. A flange extends radially from the skirt bottom for attaching it to its support structure, typically a floor. A nozzle at the bottom of the lower hemisphere connects to piping which attaches to the primary loop for allowing the primary loop and the pressurizer vessel to pass the borated water therebetween which, in turn, functions to maintain proper pressurization of the primary loop. A heater support plate is located in a lower portion of the shell interior for receiving a plurality of electrical heaters which, during plant operation, are turned on to further heat the water or to maintain the water at a constant temperature. A spray nozzle is positioned at an upper portion of the shell interior for spraying water in the shell interior which condenses the steam back to water. During operation of the power plant, a transient event that could decrease system pressure, for example, is counteracted by increasing the water temperature via the electrical heaters which, in turn, causes a portion of the water to flash to steam. An increasing pressure transient is limited by spraying cooler water from the primary loop via the spray nozzle into the shell interior which, in turn, causes a portion of the steam to condense to water. During startup and shutdown of the nuclear power generating process, there are presently two known and utilized methods and systems for maintaining the pressure in the primary loop, which is necessary to allow the reactor coolant pumps to properly operate. Using the first method and system during startup, the heaters are energized for heating the borated water in the pressurizer which, in turn, creates the necessary pressure in the primary loop for allowing the reactor coolant pumps to be operated. The reactor coolant pumps are started in conjunction with the heat production process of the heaters for thoroughly mixing the borated water contained in the reactor vessel and the primary loop. Obviously, mixing is necessary for maintaining all of the borated water at a constant temperature for eliminating temperature gradients. Once the primary loop is pressurized, the reactor vessel begins its heat producing process for initiating the power production process, as described hereinabove. The reactor vessel then brings the borated water up to its operating temperature, and the normal operational procedure is started. Using first method and system during shutdown, the reverse procedure for startup is used. Obviously, the normal operating procedure is in process, and to initiate shutdown of power generating process, the reactor vessel gradually ceases its heat production process. However, to dissipate the heat of the borated water, the primary loop continues to circulate through the primary loop and, more particularly, to the steam generator for passing and dissipating the heat of the primary coolant to the secondary loop. This circulation requires the reactor coolant pumps to be in operation which, in turn, requires pressurization of the primary loop. This pressurization is maintained by energizing the pressurizer heaters for heating the borated water therein. After the primary heat of the primary loop is substantially dissipated, the reactor coolant pumps are turned off which, in turn, obviates the need for pressurization of the primary loop. Although the presently known and utilized method and device for starting up and shutting down the power generating process are satisfactory, they are not without drawbacks. One drawback is that a temperature gradient exists between the borated water in the pressurizer (i.e., created by the pressurizer heaters for pressurization of the primary loop) and the borated water in the primary loop. This, in turn, causes the pressurizer and the primary loop to have a temperature gradient between them, which causes thermal loads and stresses on these components and their interconnections. To eliminate this temperature gradient, a second known and utilized device eliminates the need for pressurization via the pressurizer heaters and, instead, creates pressurization of the primary loop by inserting and withdrawing nitrogen from the pressurizer vessel. This method and system, however, is performed by manually manipulating valves and the like. Although this manual method and system is satisfactory, it is not without drawbacks. Manual operation of the valves and the like requires that maintenance personnel be within the containment building which exposes them to low levels of radiation. Consequently, a need exists for an improved method and system for maintaining pressurization of the primary loop during startup and shutdown. SUMMARY OF THE INVENTION The present invention provides an improvement designed to satisfy the aforementioned needs. Particularly, the present invention is directed to an automated method for maintaining pressure within a nuclear power plant primary loop. The method comprises the steps of: (a) partially filling a portion of a pressurizer vessel, in fluid communication with the primary loop, with a liquid for maintaining pressure in the primary loop; (b) circulating a primary coolant through the primary loop; (c) automatically inserting an inert gas by a first automated device, operatively connected to the pressurizer, into the pressurizer vessel when the pressure in the pressurizer vessel is less than a first predetermined pressure; and (d) automatically venting the gas by a second automated device, operatively connected to the pressurizer, from the pressurizer vessel when the pressure in the pressurizer vessel is greater than a second predetermined pressure. In another broad forms the invention resides in an automated system for maintaining pressure within a nuclear power plant primary loop. The apparatus comprises (a) a pressurizer vessel operatively connected to the primary loop for maintaining pressure in the primary loop; (b) a first valve in pneumatic communication with said pressurizer for sensing the pressure in said pressurizer and for supplying an inert gas into said pressurizer vessel when the pressure sensed by said first valve is less than a predetermined pressure; and (c) a second valve in pneumatic communication with said pressurizer for sensing the pressure in the pressurizer and for venting the inert gas from said pressurizer vessel when the pressure sensed by said second valve is greater than a predetermined pressure. It is an object of the present invention to provide an improved method and device for maintaining pressure in a nuclear power plant primary loop during startup or shutdown. This and other objects will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described illustrative embodiments of the invention.
description
The present application claims priority to U.S. Application Ser. No. 62/013,678, filed Jun. 18, 2014, the disclosure of which is incorporated by reference in its entirety. The invention relates to a process for production of At-211-labelled molecules or radiopharmaceuticals comprising dry-distilling At-211, obtained from an irradiated bismuth metal target, in a quarts furnace, and introducing At-211 into a reaction vial and the subsequent chemical steps, including synthesis and purification, for a final astatinated product. The invention also relates to a system for controlling a process for production of At-211-labelled molecules. The alpha-emitting radionuclide At-211 is one of few alpha-emitting radionuclides that have suitable properties for nuclear medicine applications, and particularly for treatment of undetectable microscopic cancer. Several preclinical studies utilising At-211 for therapy of micro metastasis have been conducted, including the free halide (i.e. astatide), and At-211-labeled tumour specific carrier vectors e.g. proteins or peptides. Many of these studies include tumor specific monoclonal antibodies, as they can be produced with binding properties to tumor-associated antigens. Promising preclinical results have been obtained with astatinated antibodies and two phase I studies have emerged from these studies. Astatine-211 is one of the rarest nuclides on earth and has to be synthesised artificially in a cyclotron, limiting its availability. Although the availability of the nuclide is sparse it has the potential to be produced in large quantities for prospective nuclear medicine applications. The general route for producing the nuclide is by irradiating stable bismuth with accelerated 28 MeV alpha-particles via the Bi-209(alpha,2n)At-211 nuclear reaction. There is no limitation in availability of Bi-209 for producing At-211, however, there are few medium energy cyclotrons around the world that presently have the means and the capacity for producing At-211 in amounts required for clinical applications. In addition to the current low availability of the nuclide the chemistry of astatine also presents challenges. After the irradiation, i.e. the cyclotron production, At-211 has to be converted to a chemically useful form. This can be done either by wet extraction or by dry distillation of the irradiated target material. Once in an appropriate chemical form, the At-211 can be subjected to chemical coupling reactions and further used as a component of radiopharmaceuticals. The generally employed route of synthesis of astatinated bio-molecules such as proteins, antibodies and peptides is conducted in two steps; labeling of a reagent and conjugation of the labeled reagent to the bio-molecule. However, when using this strategy problems with yields and the final quality are frequently occurring and have been recognised being due to radiolytic effects within the reacting solvents. These problems are pronounced at high activity concentration reaction conditions where the alpha-decay of astatine during labeling may result in a significant absorbed dose to the reaction solvent. The high absorbed dose to the reaction mixture can affect the chemistry by oxidation of astatine, decomposition of the precursor and/or alteration of the structural and biological integrity of the bio-molecule. This can ultimately lead to e.g. the elimination of a carrier antibody's binding properties to its target. It has been reported that antibodies can be subjected to a maximum absorbed dose of approximately 1000 Gy without affecting its biological properties. In order to overcome the problems with radiolysis a new route for synthesizing At-211 labeled bio-molecules such as proteins, antibodies and peptides has been developed. This route is similar to that of chelate chemistry, producing conjugate with the labeling reagent and the bio-molecule in advance to the radiolabeling. In this way only one radiochemical step is involved in the synthesis. This enables fast reaction kinetics, low dependence on concentration, improving specific radioactivity and radiochemical yields while maintaining structural and biological integrity of the bio-molecule. Using this strategy it is possible to manually produce the amount of activity required for clinical applications with At-211 labeled antibodies (Lindegren S, Frost S, Bäck T, Haglund E, Elgqvist J, Jensen H. (2008) Direct Procedure for the Production of 211At-Labeled Antibodies With an ε-dysyl-3-(trimethylstannyl)benzamide Immunoconjugate. J Nucl Med 49: 1537-1545). Methods of related art also describes the manual production of astatine (Lindegren S., Bäck T. and Jensen H. J. (2001) Dry-distillation of Astatine-211 from Irradiated Bismuth Targets: A Time-saving procedure with High Recovery Yields. Appl. Radiat. Isot. 55), including synthesis of labeled intermediate compounds (WO 91/09626). WO 91/09626 describes a process for radiolabeling and isolation of a small precursor with At-211. At-211 is dry-distilled in an oven and then from the oven directly introduced in a reaction vial, which comprises a precursor of a molecule adapted to bind At-211. In this process vaporized At-211 is passed through a reaction vial containing a cooled liquid with a precursor. The reaction is performed in a sequence of traps. A disadvantage of this method is low efficiency in the gas liquid solvation and that intense manual purification is needed of the labeled precursor after the reaction. The reaction vial needs to be separated from the process unit to perform the purification steps. This decreases radiation safety and is time consuming. Furthermore, no scraping of At-211 from the irradiated bismuth target is done. Thus, the At-211 is not dry-distilled as a powder-like material. This prolongs the time needed for dry-distillation, increases impurities in the vaporized At-211 that is introduced in the reaction vial and requires a large distillation system due to the size of the heated target. The process also produce an astatine labeled precursor that needs to be put through further manual synthesis steps in order to obtain a final At-211 labeled radiopharmaceutical product. This in turn means that additional manual purification of the product has to be performed. For continued advancement of methods the field requires further development as the prior art suffers from method related deficiencies and drawbacks. The main drawback of the prior art is that it includes a series of different manual steps where the final result will depend on hands-on skills of the laboratory personnel. Although the manual synthetic route of synthesizing At-211 radiopharmaceuticals can be efficient, future progress of preclinical research and clinical advancement with At-211 is reliant on further improvements and developments of the radiochemistry. Particularly the method would benefit from being transferred from a step-wise manual method into a fully automatic procedure. The invention describes a process for the radiosynthesis of astatinated radiopharmaceuticals e.g. proteins and peptides including converting At-211 from solid form in irradiated bismuth target material into a chemically useful form. In this way, the invention overcomes major hurdles in the prior art by providing a complete process for production of any At-211 labeled molecule or any At-211-radiopharmaceutical. The invention enables automatic, reproducible, fast, high yield production of clinically relevant amounts of At-211 and At-211-radiopharmaceuticals of clinical grade. It is an objective of the invention to provide a process and an automation method for automatic production of At-211 labeled molecules. The objective is achieved by a process for production of At-211-labeled precursor molecules comprising dry-distillation of At-211 from irradiated Bi-213 target material in a furnace system (100, 101) and a synthesis unit The process is characterized in that the process comprises the steps of, A) condensing the dry-distilled At-211 by cooling in a cooling unit (106) to obtain At-211 as a dry residue. B) eluting the At-211 with a transfer liquid that solvate the dry residue of At-211, a) an organic solvent b) a solvent containing an oxidizing agent, or c) a solvent containing a reducing agent C) introducing At-211 for further chemical processing into said reaction vial D) activating At-211 for further chemical processing a) At-211 in an organic solvent in to an empty vial for further chemical steps, or b) oxidized At-211 in a vial containing a precursor molecule for reaction with At-211, and further chemical steps, or c) oxidized At-211 into an empty vial for further chemical steps, or d) reduced At-211 into an empty vial for further chemical steps The new process of isolating and converting At-211 into a chemically useful form is more efficient and effective compared to known processes. The preparation time is reduced using the new process. Further, less by-products are obtained and thus, less time for purification is needed. The yield of the product in this process is increased. The process provides for an automatic synthesis from isolation of the At-211 nuclide from irradiated Bi-209 target material to the full synthesis product enabling automatic production of purified astatinated radiopharmaceuticals. The system is versatile and can be adapted in different embodiments to meet a specific aim in the automatic production of At-211, and At-211 labeled compounds. No manual interruption is needed in the process. Thereby, the safety and the reproducibility is also improved. In one embodiment, the At-211 is obtained by scraping an irradiated bismuth target to an At-211 powder target material and whereby in the scraping is performed using a scraping unit (120). An advantage of scraping is that an At-211 containing powder-like material, said At-211 powder (125) is obtained. The surface area of this particulate material is larger compared to the surface area of layers of sandwiched bismuth and aluminum. This decreases the time needed to vaporize At-211 in the furnace and thus improves the efficient and effective of the process. The volume of this metal particle material is also smaller than that of sandwiched bismuth and aluminum. This may reduce the size of the distillation equipment needed, which is beneficial. In another embodiment, a transfer liquid is used to elute At-211. The transfer liquid is an organic solvent. The same transfer liquid may not always be suitable as a solvent in a reaction with a precursor molecule. In some cases, it may be necessary or preferred to exchange the transfer liquid with another solvent. In one embodiment the transfer liquid is an adaptive solvent oxidizing At-211. In another embodiment the organic solvent is evaporated leaving a dry residue of At-211. This embodiment increases the flexibility of the process through the ability to vaporize the transfer or elution liquid. The solvent used in the reaction vial can thus be varied and adapted to the precursor molecule and its solvent. In a further embodiment, an inert gas is used to transport dry-distilled At-211 from the furnace system to the cooling unit and transfer liquids and solvents through the system. In one embodiment, the inert gas is selected from the group comprising nitrogen, argon, helium, and mixtures thereof. A vacuum pump is used to provide an underpressure through the capillary system used to perform the process. The underpressure causes the inert gas to be contained within and flow through the platform or system at which the process is performed. Containing the inert gas and hence the volatilized astatine in the system minimize activity loss and increase radiation safety. In another embodiment, a prompt reduced pressure is applied to confine At-211 in the system. The final reduced pressure is achieved within 10-30 seconds to increase the rate of the dry-distillation process of the system. In this way the dry-distillation can be terminated after 10-30 seconds. This much shorter distillation time compared to previous processes minimizes the activity loss caused by radioactive decay of At-211. In one embodiment the transfer liquid and the dry distilled At-211 is passed through the same three way valve, in different settings, placed before the cooling unit. This feature of the system is beneficial as it opens the possibility for using any eluting solvent for transferring At-211 for further automatic chemical processing. The introduction of a three way valve in this position also allows for high distillation yields without any manual interference. In one embodiment, the cooling unit is a cryotrap that indirectly cools the astatine condensation capillary with a cooling media, such as, but not limited to, liquid nitrogen. In this way vaporized At-211 can be effectively isolated from the bismuth/aluminum particulate target material, said At-211 powder, and captured as a dry residue. In another embodiment a heat transfer insertion, around which the astatine condensation capillary is coiled, made of a heat conducting material such as aluminum is introduced into the cryotrap. Efficient cooling of the astatine condensation capillary as with the use of a cryotrap with heat transfer insertion and especially the use of one single capillary without connections from the three way valve to the reaction vial greatly reduce astatine loss. In a further embodiment, cooling temperature in the cooling unit is between −20 and −60° C. The temperature range given prevents loss of volatilized astatine from the cooling unit, increasing yields and radiation safety while maintaining the possibility to use multiple solvents for astatine transfer without causing freezing, blocking the capillary system. In a further embodiment, the transfer liquid is an organic solvent. The transfer liquid is preferably a liquid in which At-211 dissolves. The transfer liquid is preferably compatible with the precursor molecule and the solvent. In one embodiment, the transfer liquid is selected from the group comprising chloroform, acetic acid, sodium hydroxide, methanol, ethanol, N-iodosuccinimide, N-bromosuccinimide, N-chlorosuccinimide, and mixtures thereof. The versatility of transfer liquids allows the production of a range of astatinated radiopharmaceuticals with the same process platform. In one embodiment, At-211 is in one or more redox forms selected from the group comprising At-211° (ground state), At-211n− (reduced form) and At-211m+ (oxidized form). This may or may not be as an interhalogen compound e.g. [211At]AtX or [211At]AtX2−, X═Cl or I. In another embodiment the process can be used for the production of astatine labeled bio-molecules by activating At-211 for further chemical processing according to, E) reacting activated At-211 with a precursor molecule F) purifying astatinated molecules from unreacted species in the reaction mixture and optionally, G) sterile filtering the purified product In one embodiment, the precursor molecule is selected from the group comprising inorganic molecules, organic molecules such as non-proteins, proteins, peptides, antibodies or fragments thereof, and modifications and mixtures thereof. In one embodiment the reaction of a precursor molecule, is completed within 0.5-5 minutes. The short reaction times for At-211 labeling in this system result in low absorbed dose to the reaction mixture. This means that the precursor molecules will not be exposed to detrimental or harmful radioactive doses. In another embodiment the system is clinically validated, the system being approved for producing radiopharmaceuticals ready for use in humans. The new process can thus be used to provide products that can be used for diagnostic or therapeutic treatments in humans. In one embodiment, the process is employed for producing clinical grade At-211 radiopharmaceuticals e.g. At-211-labeled antibodies or At-211-labeled peptides with high chemical and radiochemical purity (>98%). In one embodiment, steps A to G are performed at room temperature. In another embodiment, one or more steps are performed at room temperature. Although the temperatures at which the different steps are performed can easily be varied, it is economically advantageous to perform most of the steps of the process at room temperature. This also makes upscaling of the process more feasible. In a further embodiment, the purification step is performed using liquid chromatography or high pressure liquid chromatography. This allows for removal of free At-211 and/or unreacted reagents from the product mixture. In another embodiment a liquid chromatography column in the purification step comprising either a) a size exclusion column (SEC), or b) an adsorption chromatography column, or c) a partition chromatography column, or d) an ion exchange chromatography column, or e) an affinity chromatography column. This enables the production of a pure final product regardless of which precursor molecule that is introduced into the system In one embodiment, the process is controlled by a control unit (140), including a computer with software (130) In one embodiment the complete synthesis route, from distillation of the irradiated Bi-209 target material to a purified At-211 labeled product, is performed in a fully automated production system. This fully automatic system provides better reproducibility as it reduces the risk for human error, reduces the need for specialist competence in the operation and increases radiation safety compared to a system with manual handling. At least 85% (non-decay corrected) of initial At-211 radioactivity input into the distillation unit is routinely recovered in the distillation process and an overall radiochemical yield of 50% (non-decay corrected) of initial At-211 radioactivity input into the distillation unit is routinely recovered in the complete synthesis process. In one embodiment the product produced by the fully automated system is either At-211 or an astatinated inorganic molecule or organic molecule such as non-proteins, proteins, peptides, antibodies or fragments thereof a) organic molecule b) peptide c) protein d) radiopharmaceutical of a) to c). The versatility of the product of the automatic system allows it to be used both in preclinical research as well as in the production of different astatinated radiopharmaceuticals for clinical use, which would require several different manual systems and competences. In one embodiment the process is monitored by radiation detectors in conjunction with the control unit. Monitoring the radioactivity allows for following the At-211 through the system as well as the At-211 labeled molecule, from distillation to labeling and finally purification. This gives an increased control of the process. In another embodiment the radioactivity is quantified using calibrated radioactivity detectors. This enables direct estimation and evaluation of distillation-, labeling- and overall process yields. In another embodiment of the automatic system the process is completed after automatic distillation, condensation and elution of At-211, the product being a) At-211 in an organic solvent, or b) At-211 of dry residue after automatic evaporation of the solvent In another embodiment of the automatic system the process is completed after purification and optionally sterile filtration of an At-211 labeled molecule. In one embodiment the process platform is, except from the system control unit, including computer with software, assembled in a glovebox. By isolating the automatic system, At-211 radioactivity is confined throughout the complete process from distillation to purified At-211 compound or radiopharmaceutical. In this way the automatic process will increase safety reducing the exposure of At-211 radioactivity of the personnel. The objective is also achieved by a system for automatically controlling a process for production of At-211-labeled molecules. The system comprises: a quartz glass receptacle (100) for receiving a powder of At-211, a furnace (101) for vaporization of the At-211 powder by heating the receptacle, a cooling unit (106) for condensing the vaporized AT-211 to obtain At-211 as a dry residue, a transfer liquid container (107) containing a transfer liquid for eluting the At-211, a reaction vial (109) for further chemical processing of the At-211, a capillary system including a plurality of capillaries (104, 108) for transportation of the vaporized At-211 to the cooling unit (106) and further to the reaction vial (109), and for transportation of the transfer liquid from the liquid container (107) to the cooling unit, a vacuum pump (201) arranged to reduce the pressure in the capillary system (104) to achieve an underpressure in the capillary system for transportation of the At-211 and the transfer liquid, a gas flow device (208) arranged to introduce a gas flow into the capillary system for assisting in transportation of the At-211 and the transfer liquid, one or more radioactive detectors (400, 401, 402) for measuring the radioactivity at one or more locations in the system, and a control unit (140) configured to control the transportation of the At-211 and the transfer liquid in the capillary system by generating control commands to the vacuum pump and the gas flow device based on input data from the radioactive detectors corresponding to measured radioactivity at said one or more locations in the system. The system makes it possible to automatically control a process for production of At-211-labeled molecules. By measuring the radioactivity in one or more locations in the system it is possible to estimate the present amount of At-211 in a certain part of the system. The control unit can then decide whether the amount of At-211 in the certain part of the system is acceptable or not. If the amount of At-211 in the certain part of the system is not acceptable, the control unit may increase or decrease the transportation of the At-211 and transfer liquid in the capillary system by adjusting the gas flow and the pressure in the capillary system. If the amount of At-211 in the certain part of the system is acceptable, the gas flow and the pressure in the capillary system is maintained. Thus, the gas flow and the pressure in the capillary system is adjusted based on input data from the radioactive detectors so that the process for production of At-211-labeled molecules is maintained. The system is suitable for controlling the process according to claim 1. The control unit comprises hardware as well as software for controlling the process. The control unit comprise input and output means, processing means, for example, a central processing unit (CPU), a FPGA or similar hardware, and memory means, such as ROM and RAM, and software modules for generating control commands to the to the vacuum pump and the gas flow device. The control unit is configured to receive data, such as measuring values, from the radioactive detectors. The control unit may include a computer. In one embodiment, the control unit is configured to generate the control commands to the vacuum pump (201) and the gas flow device (208) based on the input data from the radioactive detectors (400, 401, 402) and predefined limit values for the radioactivity at said locations in the system. The limit values can, for example, be determined based on experiments and/or empirical data. The limit values may vary over time during the process. Thus, the limit value may represent a desired amount of At-211 at a certain location at a certain point in time. In one embodiment, a first radioactivity detector (401) is disposed in the vicinity of the cooling unit (106), and a second radioactivity detectors (400) is disposed in the vicinity of the reaction vial (109). Thus, the input data from the first radioactive detector corresponds to the measured radioactivity in the vicinity of the cooling unit, and accordingly to the amount of At-211 present in the vicinity of the cooling unit, and the input data from the second radioactive detector corresponds to the measured radioactivity in the vicinity of the reaction vial, and accordingly to the amount of At-211 present in the vicinity of the reaction vial. In another embodiment, a third radioactivity detector (402) is disposed in the vicinity of the product vial (118). Thus, the input data from the third radioactive detector corresponds to the measured radioactivity in the vicinity of the product vial, and accordingly to the amount of At-211 present in the vicinity of the product vial. In another embodiment, one of the radioactivity detectors (404) is disposed in the vicinity of the of the quartz glass receptacle (100), or more particularly in the vicinity of the inlet to the receptacle (102). Thus, it is possible to estimate the amount of At-211 present at the inlet of the system. This activity detector would also allow for an automatic start of the process without manual input, upon insertion of the At-211 containing target material into the furnace system (100, 101). In another embodiment, another radioactivity detector (403) is disposed in the vicinity of the purification column (113). Thus, the input data from this radioactive detector corresponds to the measured radioactivity in the vicinity of the purification column, and accordingly the amount of At-211 present in the vicinity of the purification column. This makes it possible to estimate the At-211 labeling yield of the precursor molecule. In one embodiment, the system further comprises at least one pressure sensor for sensing the pressure in the capillary system, and the control unit (130, 140) is configured to generate the control commands to the vacuum pump (201) based on input data from the radioactive detectors and input data from the at least one pressure sensor. The control unit may decide a desired pressure for controlling the process based on input data from the radioactive detectors, and to determine how to control the vacuum pump to achieve the desired pressure based on the measured pressure, i.e. whether the pressure in the capillary system is to be increased, decreased or maintained. In one embodiment, whereby in the system further comprises at least one flow sensor (302) for sensing the flow in the capillary system and the control unit (140) is configured to generate the control commands to the gas flow device based on input data from the radioactivity detectors and input data from at least one flow sensor. In another embodiment, the system further comprises reagent container (111) containing a reagent, and the capillary system further comprises a capillary (112) for transportation of reagent from the reagent container (111) to the reaction vial (109), and the control unit (140) is configured to control the transportation reagent in the capillary system by generating control commands to the vacuum pump and the gas flow device based on input data from the radioactive detectors. It should be appreciated that the invention may be embodied in different forms and should not be construed as limited to the embodiments set forth herein. The terminology used in the description of the invention herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used in the description of the embodiments of the invention, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Also, as used herein, “and/or” refers to and encompasses any and all possible combinations of one or more of the associated listed items. Furthermore, the term “about,” as used herein when referring to a measurable value such as an amount of a compound, dose, time, temperature, and the like, is meant to encompass variations of 20%, 10%, 5%, 1%, 0.5%, or even 0.1% of the specified amount. When a range is employed (e.g., a range from x to y) it is it meant that the measurable value is a range from about x to about y, or any range therein, such as about x1 to about y1, etc. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Unless otherwise defined, all terms, including technical and scientific terms used in the description, have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. All patents, patent applications and publications referred to herein are incorporated by reference in their entirety. In the event of conflicting terminology, the present specification is controlling. Further, the embodiments described in one aspect of the present invention are not limited to the aspect described. The embodiments may also be applied to a different aspect of the invention as long as the embodiments do not prevent these aspects of the invention from operating for its intended purpose. As shown in the flow chart of FIG. 5 the process comprises the following steps; irradiate bismuth metal to obtain At-211, scraping the obtained At-211 from the irradiated Bi-209 target, introducing the At-211 powder-like material in a quartz glass receptacle heating the At-211 with a furnace in order to vaporize the At-211, transfer the vaporized At-211 to a cooling unit, condense the At-211 by cooling to obtain a dry residue of At-211 add a solution, organic solvent (5B) or solvent with oxidizing or reducing agent (5A), and elute At-211 to a reaction vial 5B only, evaporate organic solvent 5B only, activate/oxidise the isolated astatine, react the activated astatine with the precursor molecule, and transport the obtained product to a purification unit, purify the product in the purification unit, transport the purified product to a filtration unit, sterile filtrate the purified product, and transport the pure, sterile product to a product vial. FIG. 1 shows a platform for performing the process of the invention. From a scraping unit (120, FIG. 1A), the irradiated At-211 is inserted into the quartz glass receptacle 100, heated by tube furnace 101, via inlet 102. After heating the vaporized At-211 leaves the oven through outlet 103 into condensation capillary 104 via three way valve 105 (in valve position 121, FIG. 2A). Astatine is condensed in condensation capillary 104 by the cooling unit 106. After condensation, a transfer liquid 107 is introduced to capillary 104, through a transfer liquid capillary 108, via a three way valve 105 (valve position 122, FIG. 2A). The eluted At-211 is transported to a reaction vial 109 through the condensation capillary 104 by the means of reduced pressure (from vacuum pump 201, FIG. 2) and inert gas flow (from gas flow device 208, FIG. 2). The precursor molecule is added to the reaction vial, preferably prior to the addition of At-211, depending on the nature of the transfer liquid, from a reagent container 111 via reagent capillary 112. After reacting, the obtained product is transported to a purification unit 113 via a purification capillary 114. After purification, the purified product is optionally transported to a filter unit 115 and filtration capillary 116 before reaching the final product vial 118. The whole process can be automated using control unit 140 including a computer (130) with software. The process steps may be performed at one or more temperatures. The process steps (outside the oven and after the cooling unit) may be performed at room temperature. Elution of the condensation capillary 104 is performed using a transfer liquid, preferably an organic solvent, which allows for astatine capture in a reaction vial 109 in a chemically useful form. A chemically useful form may be defined as an interhalogenic compound with astatine e.g. [211At]AtX or [211At]AtX2−, X═Cl or I or At-211n− (reduced form) and At-211m+ (oxidized form). The transfer liquid is transported from a transfer liquid container 107 through capillary 108 via a three way valve 105 to the condensation capillary 104, in the cooling unit. Examples of transfer liquids, with or without added oxidant, may be chloroform, acetic acid, sodium hydroxide, methanol, ethanol or methanol or ethanol with N-bromo-, N-chloro- or N-iodo-succinimide, or mixtures thereof. In one embodiment, the transfer liquid is chloroform or methanol with N-iodo-succinimide. Reagents for labeling, stored in reagent container(s) 111, including conjugated or non-conjugated precursor molecules as described below are added sequentially into the reaction vial. Conjugated molecules (including peptides or proteins, antibodies or similar as non-limiting examples) are precursor molecule's for automatic labeling with At-211. The conjugated precursor molecule is synthesized prior to being processed in the automatic platform. The conjugate is preferably synthesized from a reaction with a targeting molecule and an intermediate bifunctional reagent. The bifunctional reagent preferably has a good leaving group for substitution with At-211 such as, but not limited to, organic tin, silane, or boron cage derivatives and a functional group e.g. succinimide or maleimide for binding to targeting molecule entities (for example protein, peptide, antibody or the like) such as amino or sulfhydryl groups. Although the At-211 labeling reaction is efficient, the product must be separated from unreacted At-211. This feature of the platform is integrated in the radiopharmaceutical process, where the reagent mixture may be purified, preferably on a suitable chromatography column using a suitable buffer solution 117 for the purification. Different chromatography methods such as size exclusion chromatography, affinity chromatography, ion exchange chromatography or high pressure liquid chromatography (HPLC) may be used for purification. In this step the product is also purified from possible unreacted reagents. The purified product of the automatic process may be sterile filtrated 115 which filtration step is integrated as a final step. The radioactive At-211 is produced in a cyclotron by the Bi-209(alpha,2n)At-211 nuclear reaction. The Bi-209 target for cyclotron production of At-211 is supported by a backing of e.g. aluminium or cupper. The irradiated target material may be a sandwich wherein a layer of bismuth is sandwiched between two layers of aluminium. The target material to be used can be put in the quartz glass receptacle, heated by a furnace to vaporize the At-211. Preferable, the target material is scraped from a backing i.e. the top layer of the sandwiched target prior to entering the target material into the quartz glass receptacle. The target scraping is described in FIG. 1 A, and is performed in an automatic scraping unit 120. The target 121 is mounted and fixed in a target holder 122 of the scraping unit. The target is directed for scraping the target towards the irradiated area. When the target is fixed, a chisel 123 is set to scrape the top-layer of the target, the sandwich of irradiated Bi-209 layer and the thin top-layer of aluminium. The chisel is motor driven by an electric motor 124. The scraping, a powder-like target material, At-211 powder 125, is in one embodiment directed into a quartz glass container (220, FIG. 2A) and in another embodiment into a quart glass container which is joined with the inlet joint of the distillation glass (220 A, FIG. 2A:1). The chisel is started with a starting button and the chisel then slowly scrape the powder-like material into the quartz glass container. The scraping is preferably performed in direct conjunction to the distillation system. FIG. 2 to FIG. 4 show detailed aspects of the platform described above. The overall distillation process is described in FIG. 2. After scraping, the powder-like material in the target material container insert is assembled with the quarts glass receptacle 100, through the oven inlet 102. A gas flow capillary 200 is provided in the oven for inlet of carrier gas. The oven outlet 103 is connected to a three way valve 105 in flow through position 221, which is connected to a condensation capillary 104 for transport and condensation of At-211. Condensation is enabled by the cooling unit 106. The quartz glass receptacle may be heated by the furnace 101 at a temperature between 400 and 900° C., or between 600 and 800° C. The vaporized astatine is transferred through the distillation system from the heated quartz glass receptacle 100 to the condensation capillary 104, cooled by cooling unit 106, using reduced pressure, measured by pressure sensor 207, created by a software controlled vacuum pump 201 and an inert carrier gas, such as, but not limited to, nitrogen, argon or helium. A controlled flow rate, from the gas flow unit 208, may be between 0.5 and 400 ml/min, preferably between 1 and 200 ml/min. The carrier gas is preferably dried before entering the system utilizing e.g. scrubbing though a moisture absorption media 202. The condensation capillary 104 is connected to a reaction vial 109 for collection of eluted astatine and synthesis. Several astatine traps 203 such as volume expansion and/or sulfite gas scrub can be employed to capture potentially over-distilled gaseous astatine prior to reaching the vacuum pump 201, connected via underpressure capillary 204. The system also encompasses n number of software controlled three way valves 205 for liquid and gas transport. FIG. 2A and FIG. 2A:1 illustrates in detail the distillation glassware of the process platform. Astatine-211 is inserted at the quartz glass receptacle inlet 102 in target material container 220 that can be an open ended quartz glass tube, via back end joint 223. In another embodiment, the inlet joint 223 is fused with the target material container 220 rendering target material container 220 A with cut-out open end joined with a flow through quartz glass cone joint, as shown in FIG. 2A:1. This two-way functional joint reduces the handling of target material and reduces time needed between target material insertion in the pre-heated quartz glass oven and start of distillation. In FIG. 2A:1 an assembly of target material container 220 A and quartz glass receptacle 100 is also shown in 220 B (outside) and 220 C (cross section). A ratio between an outer diameter (OD) of the target material container 220 or 220 A and an inside diameter (ID) is preferably more than 1.8 to 1. The different positions of three-way valve 105 (FIG. 2A) is 221, allowing for astatine distillation i.e. passage of evaporated astatine through the valve into the condensation capillary by the means of reduced pressure and carrier gas flow and 222, allowing for and the safe introduction of astatine transfer liquid for rinsing the valve and condensation capillary in close proximity to the heated quartz glass receptacle. The valve prevents the transfer liquid entering into the heated receptacle, thereby increasing safety and minimizing activity loss. In a preferred embodiment, the valve is motor driven and software controlled. Details in FIG. 2A also illustrates the gas tight glassware-capillary connection 224 between the back end of cone joint 223 or similarly the back end of target material container 220 A with a capillary for carrier gas flow. The two back ends of 223 and 220 A are equipped with a glass tube, having an OD (outside diameter) that is equal to an ID (inside diameter) of the glassware-capillary connection 224. Said connection is sealed with a chemically inert packing, such as Teflon, as a non-limiting example 225. In a preferred embodiment, OD and ID are the same and between 6 and 10 mm, or between 7 and 9 mm, or about 8 mm. The capillary is inserted using a finger tight screw joint 226. The connection can be adapted for capillaries having an OD between 1.5-3.2 mm or 1/16″-⅛″. In a preferred embodiment, the OD is 3.18 mm. The connection is made of a mechanically stable material. The material use for the heating in the furnace is preferably quartz glass. The material used for capillary joints are preferably heat resistant and non-conducting material, such as PEEK (Polyether Ether Ketone), as a non-limiting example. The evaporated astatine is condensed using a software controlled cooling unit 106. A cryotrap is an example of a cooling unit. The At-211 is condensed as a dry residue in a chemically inert and flexible capillary 104. Examples of material that can be used for such capillaries may be FEP (Fluorinated Ethylene Propylene) and PFA (Per Fluoro Alkoxy). The capillary 108 may have an OD between 1.5 and 1.7 mm, or about 1/16″ and an ID between 0.5 and 1 mm. The cooling unit 106 has the ability for cooling and heating at temperatures between −60° C. and heat +80° C., or between −40° C. and heat +60° C. Electricity may be used for heating. A natural cooling liquid 206, such as liquid nitrogen (transported using vapor pressure) may be used for cooling. Cooling may also be performed using electrical refrigeration of a recirculating coolant. FIG. 2B shows an embodiment of a solid heat transfer insertion 230 for the cryotrap made of a heat conducting material, preferably with thermal conductivity >200 W·m−1·K−1, such as aluminum or copper as non-limiting examples for cooling/heating a 1.5 to 1.7 mm or about 1/16″ OD capillary. The condensation capillary 104 is threaded through the insertion from the top part 231 to the bottom part 232 and then coiled around the outer surface 233 before going through the top part 231 again. For efficient indirect cooling/heating, a ratio of a heat transfer insertion OD 234, including the condensation capillary 104, to an ID of the cooling unit is preferably more than 1.9:1. The process and platform for performing the process allows fast and repeatable remote controlled astatine distillation with short target material heating times, preferably shorter than 1 minute, followed by pressure equalization, preferably within less than 5 minutes, enabling fast delivery of astatine in a chemically useful form (ready for labeling synthesis), preferably within 8 minutes, from insertion of the target material into the oven. FIG. 3 shows detail from FIG. 1 describing one embodiment of the astatine labeling chemistry part of the automatic process. In the reaction vial 109, condensed astatine is collected via elution through the condensation capillary 104. Elution is performed with an appropriate organic astatine transfer liquid stored in a container 107. The transfer media is introduced to the distillation three way valve 105 (position 222 in FIG. 2A) and the condensation capillary 104 via a chemically inert capillary 108 using a software controlled inert carrier gas flow such as nitrogen, argon or helium with possible flow rates of 3-50 ml/min. To the reaction vial an underpressure capillary 204 is also connected to allow for the creation of underpressure in the system using a vacuum pump 201 (FIG. 2) as well as a reagent capillary 112 for introduction of labeling reagents stored in n sealed containers 111 Vtot=0.1-5 ml). In the preferred embodiment those of the containers 111 that allow for introduction of liquid volumes down to 0.1 ml with high surface tension (>70 mN·m−1) should preferably render liquid losses below 15 volume % realized by <4 cm of ¼″ FEP capillary, as a non-limiting example. Labeling reagents can be introduced to the reaction vial using software controlled carrier gas flow from gas flow device 208 (shown in figure), underpressure via underpressure capillary 204 or syringe dispenser 300. Labeling reagent flow can be measured via flow sensor 302. The software controlled syringe dispenser should in the preferred embodiment have 2-10 inlet/outlet gates. To the reaction vial another capillary 114 is connected allowing for product transport to purification column 113 using either syringe dispenser 300 (shown in figure), carrier gas flow or underpressure. The purification column would be chosen to fit the produced product such as e.g. gel filtration for proteins and larger peptides and be possible to operate continuously with preferable flow rates between 0.5-10 ml/min. In conjunction to the column there are n containers for purification buffers 117 and reagents introduced on column using either dispenser 300 (shown in figure), carrier gas flow or underpressure. For column discard liquids there is also a waste container 301. Between the column 113 and the final product vial 118 there is an option for sterile filtration 115 of the product, which can be introduced into the product vial using syringe dispenser 300 (shown in figure), software controlled carrier gas flow or underpressure. All liquids and gases in the system are transported via n number of software controlled three way valves 205. At-211 activity levels during operation of the automatic process platform can optionally be monitored on-line during the distillation process as well as during the labeling and purification process. The measurement can be performed by radioactivity detectors connected to the control unit 140. The activity detectors may be silicon PIN diodes and can be used to regulate both distillation and synthesis part of the automatic process platform through the setting of boundary conditions, such as, but not limited to, maximum or minimum activity, in the software. In FIG. 4 one embodiment is shown where four radioactivity detectors 400, 401, 402 and 403 are used to monitor the distillation process described in FIG. 2 as well as the synthesis and purification process described in FIG. 3. The radioactivity detectors are placed a) 400 close to the reaction vial 109, b) 401 on the condensation capillary 104 close to the cooling unit 106, c) 402 close to the product vial and d) 403 close to the purification column 113. FIG. 4 also show the placement of one radioactivity detector 404 at the inlet of the furnace system 102 which can be used to start the entire distillation process when the At-211 powder is entered into the quartz glass receptacle 100 and the detector hence measures the radioactivity of the At-211 powder. Several features used in the process of the invention are commercially available items. Some examples are listed below. Tube furnace: Carbolite® model MTF 10/25/130 Synthesis module: Hot Box III, Scintomics. Including (software controlled): 20 three way valves liquid nitrogen cooling gas flow control Vacuum pump: N810FT Laboport, KNF (controlled by synthesis module software) Automation Method The following examples are provided to illustrate certain embodiments of the invention, and are not to be construed as limiting the scope of the invention disclosed herein. One example of an embodiment of the invention is automatic, software controlled astatine dry distillation from target material removed from backing and delivery of astatine in a chemically useful form for further processing in an automatic labeling synthesis or manual handling. Target material typically containing around 550 MBq At-211 produced from alpha particle irradiation (28 MeV) of Bi-209 was removed from the target backing. The quartz glass oven was heated in the tube furnace 101 to 700° C. with the three way valve 105 on outlet in flow through position (detail 221, FIG. 2A), sealing the inlet from the astatine transfer media capillary 108, and inlet glass plug in place allowing for gentle nitrogen flow (20 ml/min) to heat external parts of the quartz glass receptacle 100. Simultaneously the cryotrap was cooled to −50° C. using liquid nitrogen. Liquid and gaseous nitrogen flows were software controlled. Upon removal and refitting of the quartz glass oven inlet plug and insertion of the open-ended quartz glass container with target material in between, the automatic astatine dry distillation and condensation was started. The software starts the vacuum pump reducing pressure in the system while nitrogen flow was increased to 50 ml/min. Also activity monitoring using software controlled activity detectors are started (see FIG. 4—diagram for example). Distillation times were kept short <60 s (typically 25-35 s) and the vacuum pump was turned off resulting in a final reduced pressure between −0.3 and −0.4 Bar. Nitrogen flow was maintained at 20-50 ml/min for 4-5 minutes in order to equalize pressure in the system before eluting the cryotrap condensation capillary. Upon pressure equalization the three way valve was set to elution position (detail 222, FIG. 2A) and the astatine transfer liquid (Vtot=120 μl, stored in closed container 107) is introduced, via a module valve 205, to the condensation capillary using a gentle nitrogen flow (5-10 ml/min) and collected in the reaction vial 109. The transfer liquids used were chloroform (CHCl3) and a methanol solution with 0.4% acetic acid and 8 μg/ml N-Iodo succinimide (MeOH/NIS). See Table 1. TABLE 1Automatic dry distillation of At-211 and deliveryof astatine in a chemically useful form using theautomatic process platform herein describedTarget material activity (MBq)Elution mediaDistillation Yield (%)626MeOH/NIS87594CHCl385572MeOH/NIS88593CHCl390442MeOH/NIS92623CHCl391 One example of an embodiment of the invention is automatic, software controlled astatine labeling of ATE-modified antibodies (antibodies with attached N-succinimidyl-3-(trimethylstannyl)benzoate molecules on lysine residues of the antibody to allow for astatodestannylation reactions) according to FIG. 3 using astatine in a chemically useful form produced in the automatic distillation part of the process platform according to FIG. 2. Depending on the astatine transfer media used the synthesis can be performed in different ways. Case 1: With an astatine transfer media (Vtot=120 μl) consisting of a methanol solution with 0.4% acetic acid and 8 μg/ml N-Iodo succinimide (NIS) as oxidant the astatine can be eluted directly into a solution of the conjugated precursor molecule, in this case ATE-modified antibody Trastuzumab or MX35 (Vtot=520 μl, 1 mg/ml, previously automatically introduced into the reaction vial) immediately starting the labeling reaction. After a reaction time of 1 min where agitation was facilitated using nitrogen gas bubbling the reagents needed to remove residual tin groups and quenching the reaction was introduced with a 1 minute reaction time for tin removal using NIS in citrate buffer (pH 5.5) with 3% methanol (with 1% acetic acid) (Vtot=110 μl) and 30 s for quenching using sodium ascorbate (6 mg/ml) (Vtot=110 μl). Case 2: If the astatine transfer media used is chloroform, the chloroform has to be evaporated before starting the reaction. This can be done by the automatic process platform within 10 minutes for a 300 μl chloroform residue using reduced pressure or heat and nitrogen gas flow resulting in activity losses <10%. In this case the oxidizing methanol solution with 0.4% acetic acid and 8 μg/ml NIS (Vtot=120 ul) has to be added to the dry astatine residue in the reaction vial (reaction time 30 s) prior to introduction of the conjugated precursor molecule, in this case ATE-modified antibody Trastuzumab (Vtot=520 ul, 1 mg/ml). The following reactions are the same as in Case 1. Reagent introductions were in both cases facilitated using a gentle nitrogen flow of 5-15 ml/min from sealed reagent containers (107, 111) via three way valves 205. The product was then either manually purified using a NAP10 (Sephadex G25, GE Healtcare) column or using the automatic setup with a HiTrap Desalting (Sephadex G25, GE Healtcare) flow through column or a PD10 gravity column. TABLE 2Astatine labeling using automatic process platform of already prepared ATE-conjugated antibody TrastuzumabInitial activityElutionConjugatedPurificationLabelling yieldRadiochemical PuritySpecific activity(MBq)mediumAntibodyMethod(%)(%)(MBq/mg)509MeOH/NISTrastuzumabManual5597560Nap10456MeOH/NISTrastuzumabAutomatic4899430HiTrap400MeOH/NISMX35Automatic5695446PD10219CHCl3 *TrastuzumabManual6496280Nap10215CHCl3 *TrastuzumabAutomatic5598230HiTrap* CHCl3 evaporated using process platform before start of synthesis FeatureReference numberQuartz glass receptacle100Tube furnace101Quartz glass receptacle inlet102Quartz glass receptacle outlet103Condensation capillary104Three way valve105Cooling unit106Transfer liquid container107Transfer liquid capillary108Reaction vial109Reagent container(s)111Reagent capillary112Purification unit113Purification capillary114Sterile filter115Filtration capillary116Purification buffer117Product vial118Scraping unit120Target121Target holder122Chisel123Motor124At-211 powder125Computer130Control Unit140Gas flow capillary200Vacuum Pump201Moisture absorption media202Astatine traps203Underpressure capillary204Software controlled valves205Cooling media206Pressure sensor207Gas flow device208Target material container220Target material container with back end joint220 AAssembly of 220 A and 100 (outside)220 BAssembly of 220 A and 100 (cross section)220 CThree way valve distillation position221Three way valve elution position222Back end joint for target material container223Glassware-capillary connection224Packing - detail of 124225Screw joint - detail of 224226Heat transfer insertion230Top of heat transfer insertion231Bottom of Heat transfer insertion232Outer surface of Heat transfer insertion233OD of Heat transfer insertion plus 104234Syringe dispenser300Waste container301Flow sensor302Radioactivity detector 1400Radioactivity detector 2401Radioactivity detector 3402Radioactivity detector 4403Radioactivity detector 5404
044434030
abstract
Apparatus for loading and unloading fuel assemblies, for fast neutron nuclear reactors, and in particular for groups of fast neutron nuclear reactors cooled by liquid sodium located on one and the same site. The apparatus is removable as a unit and comprises a lock chamber (25) in the form of a removable and transportable vessel. The vessel (25) contains a pivoting ramp (35) allowing transfer of assemblies between the primary ramp (28) and the secondary ramp (29) of the nuclear reactor. Apertures (26) and (27) corresponding to the ramps (28) and (29) respectively are provided in the wall of the vessel (25) and connecting and isolating apparatuses (30) and (31) allow the lock chamber to be placed in communication with the ramps of the reactor, or conversely to be separated from the reactor and isolated.
summary
summary
063273233
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates a nuclear power plant having a single containment building 10 enclosing more than one reactor vessel 12. Each vessel 12 includes a plurality of fuel bundles 14, the bundles including fuel rods (not shown) encased in a fuel cladding 16. Water flows upwardly past fuel bundles 14, where it is heated, and then flows through an outlet nozzle. Containment building 10 is generally cylindrical with a hemispherical or shallow domed roof. In FIG. 2, a second single containment building 10' is illustrated which is generally spherical. Containment building 10 houses the entire primary system of a nuclear reactor including reactor vessel 12, the reactor coolant or steam supply system with steam lines and water or other coolant, pumps, heat exchanges and steam generators. The associated control systems for reactor vessels 12 and both the coolant system and steam Generators are also enclosed within containment building 10. The various systems are customized for a particular reactor and require extraordinary levels of redundancy and backup. Therefore, they are extremely costly to implement at each physical plant location. Containment building 10 is a key barrier associated with nuclear reactor safety. First, containment building 10 must be able to prevent any radioactivity that escapes from a reactor vessel 12 from being released to the outside environment even if its internal pressure is substantially above that of the surrounding ambient air and even if a reactor vessel literally explodes and propels debris toward the walls of the containment building. Second, containment building 10 must protect the rest of the nuclear reactor against outside calamities such as earthquakes, floods, tornadoes, explosions, fires, and even aircraft crashes. Not surprisingly, with such rigid requirements and the associated customization required for each physical location, containment building 10 is one of the most expensive structures of a nuclear power plant. To help defray cost, the conventional wisdom has been to maximize the power associated with a single reactor vessel 12 such that the total power generated by the plant makes the up-front construction and safety costs more economical. However, current nuclear power plants are reaching a practical limit on the amount of energy which can be generated in view of additional added expense and core stability issues. The use of two or more conventional reactor vessels 12 within a single containment building 10 provides significant advantages over the prior art use of a single reactor vessel 12. For example, duplication of construction costs required by having completely separate containment buildings are minimized. As illustrated in FIG. 2, the phantom line 18 represents a typical diameter of approximately 200 feet required for a spherical containment building. The total volume of such a spherical containment building is approximately 4.2 million cubic feet. If the diameter is in creased by only approximately 52 additional feet, the total volume is doubled to approximately 8.4 million cubic feet. Thus, the revised diameter of a building adapted to hold two reactor vessels 12 is less than 1.5 times the original building diameter and preferably only between 1.2 and 1.3 times the original diameter. Further, certain control systems may be shared between each of the reactor vessels 12. Even if the primary systems are maintained completely separate for each of the reactor vessels, certain backup systems and the like may be shared between the vessels, providing a further level of economization. In addition, the use of a multiple number of reactor vessels in various different configurations provides a significantly improved level of control and customization depending on the current needs of the plant. A first embodiment of the present invention, nuclear power plant 20, is illustrated in FIG. 3. Plant 20 includes containment building 10, two reactor vessels 12, a plurality of steam generators 22, and two control systems 24. In the illustrated embodiment, each of the reactor vessels 12 and resulting generated electrical power is controlled completely independently of the other reactor vessel. As a result, energy generated by each reactor vessel 12 is converted to electrical power using coolant or steam supply systems 26 associated only with that reactor vessel as well known in the art. However, the two nuclear reactors advantageously share a single containment building, reducing cost. Further, having two such reactors within a single structure makes further redundant control or safety systems more cost effectively shared between each of the reactors. A second embodiment of the present invention, nuclear power plant 28, is illustrated in FIG. 4. Plant 28 is an example of a partial joint control philosophy involving two or more reactor vessels 12 within a single containment building 10. Each of the reactor vessels 12 has its own reactor vessel control system 30 and its own coolant or steam supply system 31. However, the two reactor vessels share a common feedwater heat exchange system with header 32. A plurality of steam generators 22 are associated with header 32. A steam generator control system 25 may also be provided. As a result, power generated from either one of the reactor vessels may be used to supply steam to the same steam generator by means of the common header 32. Thus, power may be most efficiently generated using a combination of one or more of the reactor vessels. FIG. 5 is an example of third embodiment of a nuclear power plant 34 having an integrated control philosophy. A single control system 36 is used to operate two reactor vessels 12 within a single containment building 10 sharing a common coolant system 38. Steam generators 22 are integrally connected to common coolant or steam supply system 38 to provide electrical energy. More precise control of the entire plant is possible while reducing the expense of having redundant primary systems performing the same function for only one reactor vessel at a time. Finally, FIG. 6 is an example of how power may be generated using two steam generator turbines in parallel. Thermal power in the form of steam is generated at location 40 which then passes through heat exchanger 42 having a feedwater coolant system. The heat turns the water in the feedwater coolant system to steam which is then routed through turbines 44 by means of lines 46 where it is used to generate electricity. The remnant energy within the steam passes through condenser 48 by means of lines 50 with the feedwater returned back to heat exchanger 42 by means of line 52. If necessary, turbines 44 may be partially or completely bypassed using steam bypass line 54 which represents a reactor power cut back such that all or part of the thermal energy generated at location 40 is immediately released through condenser 48. Typically, a small amount of steam is extracted from turbines 44 or from lines 46 to pre-heat feedwater flowing through line 52. Preferred embodiments of the present invention have been disclosed. A person of ordinary skill in the art will realize, however, that certain modifications and alternative forms will come within the teachings of this invention. Therefore, the following claims should be studied to determine the true scope and content of the invention.
description
This application claims priority to U.S. Provisional Application Ser. No. 60/988,382, filed on Nov. 15, 2007, the specification of which is herein incorporated by reference in its entirety. In nuclear reactors designed with passive operating systems, the laws of physics are employed to ensure that safe operation of the nuclear reactor is maintained during normal operation or even in an emergency condition without operator intervention or supervision, at least for some predefined period of time. A Multi-Application Small Light Water Reactor project conducted with the assistance of the Idaho National Engineering and Environmental Laboratory, NEXANT and the Nuclear Engineering Department of Oregon State University sought to develop a safe and economical natural light water reactor. FIG. 1 illustrates a nuclear reactor design 5 that resulted from this project. The nuclear reactor design 5 includes a reactor core 6 surrounded by a reactor vessel 2. Water 10 in the reactor vessel 2 surrounds the reactor core 6. The reactor core 6 is further located in a shroud 22 which surround the reactor core 6 about its sides. When the water 10 is heated by the reactor core 6 as a result of fission events, the water 10 is directed from the shroud 22 and out of a riser 24. This results in further water 10 being drawn into and heated by the reactor core 6 which draws yet more water 10 into the shroud 22. The water 10 that emerges from the riser 24 is cooled down and directed towards the annulus 23 and then returns to the bottom of the reactor vessel 2 through natural circulation. Pressurized steam 11 is produced in the reactor vessel 2 as the water 10 is heated. A heat exchanger 35 circulates feedwater and steam in a secondary cooling system 30 in order to generate electricity with a turbine 32 and generator 34. The feedwater passes through the heat exchanger 35 and becomes super heated steam. The secondary cooling system 30 includes a condenser 36 and feedwater pump 38. The steam and feedwater in the secondary cooling system 30 are isolated from the water 10 in the reactor vessel 2, such that they are not allowed to mix or come into direct contact with each other. The reactor vessel 2 is surrounded by a containment vessel 4. The containment vessel 4 is placed in a pool of water 16. The pool of water 16 and the containment vessel 4 are below ground 9 in a reactor bay 7. The containment vessel 4 is designed so that water or steam from, the reactor vessel 2 is not allowed to escape into the pool of water 16 or the surrounding environment. In an emergency situation, steam 11 is vented from the reactor vessel 2 through a steam valve 8 into an upper half 14 of the containment vessel 4, and water 10 flashes as it is released through a submerged blowdown valve 18 which is located in a suppression pool 12. The suppression pool 12 includes sub-cooled water. The nuclear physics and thermal hydraulics of a natural circulation nuclear power reactor are tightly coupled. The reactor core 6 generates the heat that creates the buoyancy needed to drive the flow through the loop. The flowing water in the reactor vessel 2 serves both as the reactor core coolant and as the fluid moderator that slows down the neutrons produced by the fission process in the reactor core 6. The fluid moderator temperature strongly affects the nuclear fission process that generates the heat in the reactor core 6. In turn, the fluid moderator temperature is governed by the reactor core power and fluid flow rate. The tight coupling between the nuclear physics and the thermal hydraulics makes startup of a natural circulation nuclear reactor potentially unstable when the control rods are withdrawn to achieve core criticality to the point of adding heat to the fluid. The present invention addresses these and other problems. A stable startup system is herein disclosed as including a reactor core housed in a reactor vessel, and a heat sink configured to remove heat from the reactor vessel. The stable startup system further includes an electrically powered heater configured to add heat to the reactor vessel prior to an initialization of the reactor core. A nuclear reactor module is herein disclosed as including a reactor vessel containing coolant, a reactor core submerged in the coolant, and a heat exchanger configured to remove heat from the coolant. The nuclear reactor module further includes one or more heaters configured to add heat to the coolant during a startup operation and prior to the reactor core going critical. A method of startup for a nuclear reactor is herein disclosed, wherein the method includes activating a heating system to increase a temperature of a primary coolant. The method also includes removing heat from the primary coolant, wherein a difference in liquid density results in natural circulation of the primary coolant through a reactor core. The method further includes deactivating the heating system and initializing the reactor core to achieve criticality. Passive reactor systems, including those that rely on natural circulation, have a reduced number of mechanical moving devices, motors, pumps and connections compared to conventional systems that might require servicing or continual maintenance during the life of the reactor. A certain level of maintenance of the reactor may be acceptable when the fuel is changed, or during a mid-point of the reactor life. However, by reducing or eliminating the number of maintenance periods the reactor may be made operational for longer durations thereby increasing efficiency and effectively reducing the cost of the energy that it produces. FIG. 2 illustrates a novel power module assembly 25 including a stable startup system 20. The stable startup system 20 may generate heat to provide initial fluid flow and establish operating temperature and pressure conditions for the power module assembly 25. In one embodiment, the operating temperature may be about 289 degrees Celsius. The power module assembly 25 may rely on natural circulation for normal cooling of its reactor core 6. Natural circulation of the coolant within the power module assembly 25 occurs due to the differences in temperature of the coolant 45 as it is being simultaneously heated by the reactor core 6 and cooled by a heat sink 26 during operation. In one embodiment, the heat sink 26 comprises a heat exchanger. Experiments conducted at Oregon State University demonstrated that startup of a natural circulation nuclear reactor may cause a large slug of cold water to enter the reactor core 6 while at critical conditions. The rapid temperature reduction in the fluid moderator, or coolant 45, may result in a rapid increase in reactor core power or a power excursion when control rods are initially removed from the reactor core 6. If the power excursion is too great the control rods may be lowered, decreasing the amount of heat generated by the reactor core 6. A cyclical removal and insertion of the control rods increases the complexity and time required to reach operating temperatures, and ultimately leads to a longer startup period as well as additional supervision during operation of the power module assembly 25. Prior to startup of the power module assembly 25, the reactor core 6 may be in a cold shutdown condition with control rods inserted. A pressurizer system 55 may be provided to increase system pressure by promoting local boiling of fluid in the upper head space 65 of the power module assembly 25. The increased system pressure permits the coolant 45 flowing through the reactor core 6 to reach operation temperature without bulk boiling in the flow path. The pressurizer system 55 may include one or more heaters and sprays. The heaters may be covered with fluid, such as water, to promote the generation of steam. In one embodiment, the pressurizer system 55 does not include a spray. Lower operating pressures of the reactor system and higher pressure limits of the reactor vessel 2 may allow the power module assembly 25 to moderate the pressure level without a spray. The stable startup system 20 may be activated or energized to add heat to the coolant 45. In one embodiment the coolant 45 comprises water. The coolant 45 that flows up through the riser 24 is warmed by the stable startup system 20. The one or more heat sinks 26 are configured to remove heat from the coolant 45. By locating the stable startup system 20 at an elevation below the one or more heat sinks 26, a buoyancy force is created that drives warm coolant TH UP through the shroud 22 and riser 24. The coolant 45 that flows through the one or more heat sinks 26 is relatively cold compared to the warm coolant TH. The cold coolant TC flows down through the annulus 23 into the lower plenum 28 of the reactor vessel 2. This creates a density difference between the warm coolant TH in the riser 24 and the cold coolant TC in the annulus 23, further creating a fluid flow 40 through the reactor core 6. The rate of heat removal by the heat sink 26 versus the rate of heat addition by the stable startup system 20 may be used to control the coolant temperature in the reactor core 6 during startup of the power module assembly 25. The stable startup system 20 may be configured to generate fluid flow through the reactor core 6 without withdrawing control rods, thereby avoiding a nuclear power excursion during reactor startup. The stable startup system 20 may include a set of heaters, for example in the pressurizer system 55, that are isolated from the main flow path 40, to provide pressure control for reactor startup. Depending on the start-up system configuration, the heaters can also serve to increase coolant temperature. The stable startup system 20 can also include sets of heaters located in the riser 24 or shroud 22, and at various elevations below the heat sink 26. The heat sink 26 may be located outside of the riser 24 or shroud 22 regions. The density difference created by the stable startup system 20 and the heat sink 26, coupled with the elevation difference LTH, provides a buoyancy force that drives a natural circulation flow 40 through the reactor core 6. Evaluation of Cold Water Injection Transient During Startup The stable startup system 20 of FIG. 2 provides heating power for the initiation of natural circulation in the reactor primary coolant system. The effect of an instantaneous change in the temperature of the coolant inlet of the power module assembly 6 may be modeled at a variety of startup power ranges. Analyzing the range between 1% power and 20% power, the data for multiple simulations is listed in the tables below. TABLE 1Initial conditions for cold water insertion transients.Power DensityTfTmTm0% Power(MW/m3)(C.)(C.)(C.)11.8930.517.9216.9123.7845.620.4118.3947.5675.925.4721.44611.34106.30.6724.62815.12137.36.0527.991018.89168.41.6031.531222.67199.47.3735.281426.45230.53.3539.241630.23261.59.5943.471834.01293.66.1247.982037.79325.72.9652.81 The energy conservation equation and the integrated momentum equation may be used to determine the flow rates and heat-up rates that can be achieved. Initial conditions may be selected such that the reactor core 6 is in a steady-state or critical operating condition. A six-group delayed neutron treatment was used in the simulations described herein, assuming data solely for the fissile isotope 235U. Values of reactivity coefficients may be chosen to be representative of standard light water reactor fuel. Estimating Startup Flow Rates Changes in the natural circulation flow rate may occur over a slow time scale. Therefore, the steady-state solution of the momentum equation integrated along the loop axis is suitable for this analysis. It basically provides a balance between friction force and buoyancy force due to density variations in the heating/cooling loop. The resulting fluid velocity in the reactor core can be expressed as: u co = [ β ⁢ ⁢ q i ⁢ ⁢ n ⁢ L th ⁢ g ρ ⁢ ⁢ a c ⁢ CpR F ] 1 / 3 where:uco coolant velocity in the reactor core 6β thermal expansion coefficient of coolant 45qin heating rateLth center distance between the heater and the coolerg gravitational constantp liquid densityac liquid flow area in the reactor coreCp heat capacity of fluidRf dimensionless loop resistance Table 2 demonstrates example numerical results for the mass flow rate and coolant velocity as functions of the heating power. It may be determined that 19% of the nominal core flow rate can be established using a stable startup system including 1 MW heaters. TABLE 2Heating power versus coolant flow rate and velocityqin(MW)uco (m/s)Mass Flow Rate (kg/s)50.166136.464.80.164134.614.60.162132.724.40.159130.764.20.157128.7540.154126.673.80.152124.533.60.150122.303.40.146119.993.20.143117.5930.140115.092.80.137112.472.60.133109.732.40.130106.842.20.126103.7920.122100.541.80.11897.071.60.11493.331.40.10989.271.20.10384.8010.09779.800.80.09074.080.60.08267.310.40.07258.800.20.05746.67000.00 FIGS. 3A and 3B illustrate a rate of change of operating conditions for a first example power transient of 1%. FIGS. 4A and 4B illustrate a rate of change of operating conditions for a second example power transient of 10%. FIGS. 5A and 5B illustrate a rate of change of operating conditions for a third example power transient of 20%. For the different example power transients of FIGS. 3-5, curves for power density P and reactivity R of the reactor are shown in FIGS. 3A, 4A and 5A, and curves for moderator temperature TM, inlet temperature T1 and fuel temperature TF are shown in FIGS. 3B, 4B and 5B. Table 3 illustrates a stable startup system heat up transient over a 24 hour period of time. TABLE 3Startup system heat up transientt (hrs)T - Degrees C.020.0131.0241.9352.9463.9574.9685.8796.88107.89118.710129.711140.712151.713162.614173.615184.616195.617206.518217.519228.520239.421250.422261.423272.424283.3 The example simulations illustrated in FIGS. 3-5 clearly show that a slug of cold water introduced into the reactor core 6 causes a reactivity increase (due to a negative moderator temperature coefficient), which then initiates a damped power excursion. For low initial powers, no significant oscillations are observed, and the reactor core 6 undergoes a smooth and relatively small (˜factor of 2) increase in power. For larger initial powers and relatively cooler water slugs, the power density P and reactivity R changes are relatively large, and the transient occurs over a much shorter time scale. In the 5% power case, the cold water insertion causes power density P to increase by a factor of 14, and reactivity R reaches 85% of prompt supercritical. In this case, the fuel temperature TF also increases by nearly a factor of 2 in approximately 5 seconds. Gradually heating up the coolant 45 before pulling control rods will minimize the effect of this type of transient. FIG. 6 illustrates a further embodiment of a stable startup system 60. The operation of the system is similar to the embodiment described with respect to FIG. 2, but the stable startup system 60 is located under the reactor core 6. Locating the stable startup system 60 below the reactor core 6 prevents cold coolant TC from entering the reactor core 6 which might otherwise result in reactivity insertion. Also, by locating the stable startup system 60 below the reactor core 6, more space may be made available for operation of the control rods that occupy a portion of the riser 24. A stronger circulation results due to the maximized elevation difference LTH between the stable startup system 60 and the heat sink 26. The stable startup system 60 may include one or more heaters positioned below the reactor core 6. The heaters may be electric heaters. Prior to startup of the power module assembly 25, the reactor core 6 may be in a cold shutdown condition with control rods inserted. The one or more heat sinks 26 are configured to remove heat from the coolant 45. The pressurizer system 55 may be configured to increase a system pressure in the reactor vessel 2 by local boiling of fluid (e.g. water) in the upper head space 65 of the reactor vessel 2. The increased pressure permits the coolant 45 in the reactor vessel 2 to reach operation temperature without bulk boiling in the flow path 40. The stable startup system 60 may be initiated to create a density difference between the coolant in the riser 24 and the coolant in the annulus 23. By locating the heaters of the stable startup system 60 at an elevation below the heat sink 26, a buoyancy force may be created that drives warm coolant TH up through the shroud 22 and riser 24 and cold coolant TC down through the annulus 23 into the lower plenum 28. This creates a natural circulation flow through the reactor core 6. The rate of heat removal by the heat sink 26 versus the rate of heat addition by the stable startup system 60 may be used to control the coolant temperature in the reactor core 6. The differential in heat addition to heat removal increases the fluid temperature to operating conditions. FIG. 7 illustrates yet another embodiment of a stable startup system 70 wherein a circulation pump 75 is employed. The circulation loop 85 may include the existing Chemical and Volume Control System (CVCS) and the pressurizer system 55. The circulation pump 75 and an extraction line 90 partially draw hot fluid from the pressurizer system 55 located at the upper head space 65 of the reactor vessel 2. The stable startup system 70 may also include valves V1, V2, V3 and one or more nozzles 80 to control the flow of coolant in the circulation loop 85 and deliver the hot coolant TH to the annulus 23. The nozzles 80 may be injection or inductor nozzles, for example. Heating up the primary coolant system may be done by the heaters 100 in the pressurizer system 55. In one embodiment, the circulation pump 75 and circulation loop 85 are located external to the reactor vessel 2. In another embodiment, one or both of the circulation pump 75 and circulation loop 85 are located within the reactor vessel 2. The circulation pump 75 may increase the rate of coolant flow within the reactor vessel 2 to be greater than that provided by natural circulation alone. In one embodiment, a pressurizer system is located in the lower plenum 28 of the reactor vessel 2, and steam is piped to the upper head space 65. Prior to startup of the power module assembly 25, the reactor core 6 may be in a cold shutdown condition with its control rods inserted. The one or more heat sinks 26 may be configured to remove heat from the coolant 45. The pressurizer system 55 may be configured to increase a system pressure in the reactor vessel 2 by local boiling of fluid (e.g. water) in the upper head space 65 of the reactor vessel 2. The increased pressure permits the coolant 45 in the reactor vessel 2 to reach operation temperature without bulk boiling in the flow path 40. The circulation pump 75 initiates an internal circulation within the reactor vessel 2 by pumping coolant 45 out of one or both of the pressurizer system 55 and the riser 24 via extraction lines 90, 95 and then injects the coolant 45 back in the annulus 23 through the one or more nozzles 80. The one or more nozzles 80 may be configured to expel the hot coolant TH at an elevation below the heat sink 26. In one embodiment, the circulation loop 85 utilizes existing CVCS distribution lines or pipes. In one embodiment, the circulation pump 75 is a CVCS pump. Hot fluid in the pressurizer system 55 joins the circulation loop 85, heating up the coolant 45 in the primary coolant system to the nominal or operating temperature. The rate of heat removal by the heat sink 26 versus the rate of heat addition by the stable startup system 70 may be used to control the coolant temperature in the reactor core 6. When the coolant 45 in the power module assembly 25 reaches operating pressure and temperature, control rods start to withdraw from the reactor module 6. The increasing heat removal rate from the heat sink 26 balances the power production rate, leading to the full power condition when the flow of coolant 45 through the circulation loop 85 can be steadily terminated. FIG. 8 illustrates a method of operation of a stable startup system, such as the example stable startup systems 20, 60, 70 described with respect to FIGS. 2, 6 and 7. At operation 110, the heating system is activated to increase a temperature of a primary coolant such as coolant 45 of FIG. 2. In one embodiment, the primary coolant is heated by a heating system comprised of one or more electric heaters. The heating system may be located below the reactor core 6. In another embodiment, the heating system is located above the reactor core. At operation 120, heat is removed from the primary coolant, wherein a difference in liquid density results in natural circulation of the primary coolant through the reactor core. In one embodiment, the heat is removed from the primary coolant by a heat exchanger. At operation 130, the temperature of the primary coolant is monitored. The heating system is deactivated after the coolant has achieved an operating temperature. In one embodiment, the operating temperature identifies a coolant temperature associated with a low power steady state condition of the reactor core. At operation 140, the heating system is deactivated. Where the heating system includes electric heaters, the heating system may be deactivated by removing the flow of current to the heaters. At operation 150, the reactor core is initialized to achieve criticality. The reactor core may be initialized, or activated, by removing control rods to increase the rate of fission events. In one embodiment, the reactor core is initialized after the heater is deactivated. In another embodiment, the reactor core is initialized before the heater is deactivated. At operation 160, the heating system is reactivated to control an operating pressure of the nuclear reactor after the reactor core has achieved criticality. The heating system may be reactivated after the reactor has been operating at steady state for a period of time. The heating system may be reactivated to increase the pressure within the reactor vessel. The power module assembly 25 of FIGS. 2, 6 and 7 may be configured to operate in a containment vessel and in a submerged pool of water such as that illustrated in FIG. 1, however the principles described herein apply to other reactor designs as well. Although the embodiments provided herein have primarily described a pressurized light water reactor, it should be apparent to one skilled in the art that the embodiments may be applied to other types of nuclear power systems as described or with some obvious modification. For example, the embodiments or variations thereof may also be made operable with a boiling water reactor or a heavy water reactor. A boiling water reactor may require larger vessels to produce the same energy output. The amount of heat generated by the stable startup system, the rate of change of coolant temperature, and the rate of change of power density, as well as other rates and values described herein are provided by way of example only. Other rates and values may be determined through experimentation such as construction of full scale or scaled models of the nuclear reactor. Having described and illustrated the principles of the invention in a preferred embodiment thereof, it should be apparent that the invention may be modified in arrangement and detail without departing from such principles. We claim all modifications and variation coming within the spirit and scope of the following claims.
053348435
summary
BACKGROUND OF THE INVENTION This invention relates generally to X-ray diagnostic systems and relates, more particularly, to a scintillator screen used in such a system. During use of a diagnostic X-ray system, a scintillator screen is disposed in the path of an X-ray beam on the side of a patient opposite the X-ray source. The scintillator screen produces light in response to a pattern of X-rays impinging upon the screen, and the produced light can be 1) used to expose a film supported adjacent the screen, 2) produce photoelectrons which can then be accelerated in an electric field to strike a second scintillating screen, as in an X-ray image intensifier, or 3) be focused with a lens onto a CCD or other TV camera system for digital image recording. It would be desirable in some X-ray applications, such as intravenous coronary angiography using K-emission X-rays produced by high energy electron bombardment of high-Z anode materials, to provide a scintillator screen with a reduced sensitivity to X-rays within a preselected energy range. For example, in intravenous coronary angiography during which X-rays are directed through a patient and onto a scintillator screen, it would be desirable to control or limit the amount of light generated in the screen by the higher energy X-rays. Accordingly, it is an object of the present invention to provide a new and improved scintillator screen for an X-ray diagnostic system having a predetermined sensitivity to X-rays within a preselected energy range. Another object of the present invention is to provide such a screen which is well-suited for use in a dual-energy screen assembly with which X-rays within one energy range can be distinguished from X-rays within another energy range. This invention resides in a scintillator screen for an X-ray system comprised of a substrate of low-Z material and bodies associated with the substrate including a high-Z material. At least one of the materials of the bodies and substrate is adapted to scintillate upon exposure to photoelectrons produced by X-rays passing through the high-Z material of the bodies, and the bodies are of such size and are spacially separated from one another by the low-Z material of the substrate to provide the screen with a predetermined sensitivity to X-rays within a preselected energy range.
abstract
One object is to provide an electron beam sterilization apparatus including: an inner-surface sterilization chamber (5) including an inner-surface electron beam application device; and a blocking chamber (6) for receiving a preform product (P) from the inner-surface sterilization chamber (5) and blocking X-rays produced by application of the electron beam. The blocking chamber (6) includes an upstream opening (62) and a downstream opening (63) formed therein, the preform product (P) being received through the upstream opening (62) and transferred through the downstream opening (63). The blocking chamber (6) includes: a gripper (74) for gripping the preform product (P); a sterilized rotation table (71) for conveying the gripped preform product (P) in a circular path; and a blocking wall (81) not in contact with the sterilized rotation table (71) and configured to block the X-rays.
abstract
An article made by applying a burnable poison onto the cladding of a nuclear fuel rod, which involves providing a nuclear fuel rod and at least one application device, rotating the nuclear fuel rod, optionally removing one or more oxides and/or surface deposits on the outer surface of the nuclear fuel rod by spraying an abrasive material onto the nuclear fuel rod via the application device while adjusting the position of the application device in relation to the nuclear fuel rod, and applying burnable poison particles onto the outer surface of the nuclear fuel rod by spraying the burnable poison onto the nuclear fuel rod via the application device while adjusting the position of the application device in relation to the nuclear fuel rod, where the burnable poison particles are applied at a velocity sufficient to cause adhesion to the outer surface of the cladding.
043897304
summary
This invention relates to x-ray generating equipment and, more particularly, to collimators which operate to controllably vary the aperture through which the emerging x-rays pass. As is known in the art, collimators are adapted for mounting to the tube housing of an x-ray generator and are positioned to receive a generally expanding conical x-ray beam via an entrance shutter. The entrance shutter is typically positioned within a recess in the tube housing that includes a transparent window to the x-ray. X-rays are generated at a focal spot on an anode target of the tube in response to the impingement of electrons emanating from the tube cathode. Those x-rays passing through the window are referred to as "useful rays" while the remaining rays are absorbed by x-ray absorbing material, such as lead, which lines the housing. Collimators accordingly use x-ray absorbing shutter elements to controllably vary an exit aperture and thereby variably define the beam boundaries on both the x-ray film and the patient. By limiting the cross sectional impingement area of x-rays on the patient to the area being examined, the patient is protected from a needless over-exposure to x-rays. By limiting the film exposure to sharply defined area, a plurality of adjacent images may be formed on a single piece of film. The shutter elements are conventionally arranged as two orthogonally disposed pairs of opposingly moving plates. One pair of shutters, conveniently referred to as the "cross shutters" have aperture-defining edges which are parallel to the length of the x-ray table forming part of the overall x-ray system. The second pair of shutters, conveniently referred to as the "long shutters", have edges which are transverse to the length of the table. The "cross shutter" edges opposingly move across the table to adjust the cross-spaced image area boundaries. Similarly, the "long shutter" edges move opposingly in the longitudinal direction to adjust the longitudinally-spaced boundaries. One concern with collimator design relates to its weight; the collimator typically hangs from an x-ray tube housing which is supported from the ceiling by an overhead support; in addition, some applications require the collimator to be oriented in a way which results in its sideways projection from the tube housing. The consequential loading of the support bearings, which permit such orientation, is a source of concern. Since the x-ray absorbing material of the collimator is typically lead, the weight of the device increases rapidly with size. The size and weight of the collimator are also important with respect to its mobility. The inertia associated with a large collimator adversely affects the ability of the radiologist to precisely position the unit above the region to be imaged. This is particularly troublesome when compensatory movement by the patient is precluded because of pain or unconsciousness. Size is additionally important in terms of interchangeability, in that a compact collimator may fit on the tube housings of many manufacturers within the different spaces allotted. In addition to the foregoing design goals, cost and reliability dictate that the coupling mechanism between the shutters and the shutter-adjusting knobs or motors be as uncomplicated as possible. In an article entitled Diagnostic X-Ray Beam Collimation (Cathode Press; Vol. 23, No. 1, pgs 36-42 (1966)), the contents of which are hereby incorporated by reference, several types of shutter elements are shown and described. In providing a background description of the art, the author describes the shutter elements' length and width as being directly proportional to their distance from the focal spot of the x-ray tube. The reference teaches that, with the shutters moving laterally across the beam, the full open shutter position establishes the collimator housing size in the direction of movement as twice the shutter size; since the shutter size is essentially the width of the x-ray beam in the plane of movement, the housing size may alternatively be described as being twice the beam width. In order to reduce the size of the housing, the author of the foregoing reference illustrates and describes a number of alternative shutter element configurations, two of which are depicted in FIGS. 1A and 1B herewith. FIG. 1A illustrates a so-called louvered shutter mechanism including so-called "far" shutters D which are located as far from the focal spot as practical to enhance boundary definition, and intermediate shutters C. The intermediate shutters C, being located nearer to focal spot than shutters D, block the outer portion of useful radiation, thereby permitting the lateral dimension of the shutters B, D to be decreased as shown. Although reducing the size of the collimator housing, the louvered mechanism illustrated in FIG. 1A is shown to provide a non-linear aperture adjustment; that is to say, the distance of aperture change .DELTA.S for a given angular rotation, .DELTA..theta., will be decreased as the shutter elements D, move inward. A second type of shutter mechanism illustrated in the foregoing reference is shown in FIG. 1B herein. Referred to in the reference as a folding shutter, the mechanism comprises a pair of transversely and opposingly movable shutter elements F, G, each of which folds at approximately its center, as the elements move transversely outward. While the folding shutter configuration provides the linearity lacking in the louver mechanism of FIG. 1A, it is not clear from the reference how radiation would be prevented from escaping through the fold, which is presumably a hinge arrangement. Additionally, a similar shutter arrangement in the orthogonal direction (to define the remaining exit aperture boundaries) would interfere with the movement of shutters F, G unless its plane of movement transverse to the beam was located above the uppermost reach of the outward edges of shutter F, G. The foregoing shutter arrangement would have an adverse effect on boundary definition, however. As is known in the art, the sharpness of boundary definition increases with distance from the focal spot since the sharpest definition occurs when the blocking surface is closest to the imaged object. For this reason, and as stated in the reference, the exit shutter should be as far from the focal spot as possible. By placing the second shutter above the uppermost reach of shutters F, G boundary definition would be diminished. In addition to the negative impact on boundary definition, the foregoing arrangement would create dissimilar linearity of movement for each shutter mechanism; the relationship (.DELTA.S/.DELTA..theta.) would differ significantly for the two shutter mechanisms because of the difference in distance between the respective pivot axes and the edge-defining surfaces of each mechanism. Accordingly, the adjustment mechanism would need to take the linearity differences into account resulting in complicated linkages between the adjustment knob and/or motor and the shutters. SUMMARY OF THE INVENTION The collimator described herein overcomes the forementioned limitations by employing two different shutter mechanisms which co-operatively reduce the required size of the collimator housing while providing an essentially linear shutter control; that is to say, that the amount of aperture change per degree of adjustment remains essentially constant throughout the span of shutter movement. Additionally, the collimator described herein provides essentially the same linearity for both shutters and a simple adjustment mechanism with resultant savings in size as well as cost. The collimator described herein is: adapted for mounting to an x-ray source to adjustably define the longitudinal and transverse boundaries of radiation which emerges from the source in a direction generally orthogonal to both the longitudinal and transverse directions and has a housing having an inlet port and an outlet port aligned therewith; a first shutter assembly including a first pair of transversely narrow, longitudinally extending, x-ray absorbing shutter elements adjacent the outlet port and supported for opposing rotational movement about respective longitudinally extending axes adjacent the inlet port, the first shutter element pair having respective transversely inner, longitudinally extending edge portions which define the transverse radiation boundaries; a second shutter assembly including a second pair of transversely extending, x-ray absorbing shutter elements oppositely adjacent the first pair with respect to the outlet port and supported for opposing rotational movement about respective transversely-extending axes adjacent the inlet port, the second pair of shutter elements having respective, longitudinally inner, transversely extending inner edge portions which define the longitudinal radiation boundaries and further include respective x-ray blocking surfaces extending longitudinally outward from the edge portions towards longitudinally-outward ends thereof; guide means for opposingly rotating the outer ends of the blocking surfaces about the inner ends thereof as the second pair of shutter elements are rotated; first means for opposingly rotating the first pair of shutter elements and second means for opposingly rotating the second pair of shutter elements.
046879412
claims
1. Glove box with tubular lines for harmful fluids and with shutoff valves inserted in the tubular lines and, respectively, formed of an actuating member and a valve body, comprising an exterior wall forming part of the glove box, the shutoff valves being disposed adjacent one another on said wall, the tubular lines and the valve bodies of the shutoff valves being located on the inside of said exterior wall, and the actuating members of the valve bodies being located on the outside of said exterior wall; and drive means for driving the actuating members also located on the outside of said exterior wall and having a device for docking with the respective actuating members, a pair of parallel rectilinear guide rails mounted on the outside of said exterior wall, another rectilinear guide rail formed as a slider extending perpendicularly to and being slidable on and alongsaid pair of parallel guide rails, said drive means, in turn, being slidable on and along said other rectilinear guide, said drive means being thereby guidably displaceable in a plane parallel to said exterior wall to the respective actuating members for docking with the respective actuating members. 2. Glove box according to claim 1 wherein the tubular lines include rectilinear main tubes whereon the valve bodies are seated, said main tubes extending spaced from and parallel to one another on the inner surface of said exterior wall. 3. Glove box according to claim 1 wherein said drive means comprise a sensor for detecting the setting of a respective actuating member and for adjusting the setting of said docking device for docking with the actuating member at the respective setting. 4. Glove box according to claim 1 wherein said docking device comprises a sensor for detecting a proper docking of said drive means with the actuating member. 5. Glove box according to claim 1 wherein the tubular lines are tubes. 6. Glove box according to claim 1 wherein the tubular lines are hose lines.
abstract
The invention relates to a method and to a device for controlling a beam extraction irradiation device for heavy ions operating according to the raster scan technique, wherein the beam energy, beam focusing and beam intensity are adjusted for every accelerator cycle. By adjusting the beam extraction duration for every accelerator cycle, considerable savings of time are achieved.
summary
description
This application is a continuation of U.S. patent application Ser. No. 16/415,668, filed on May 17, 2019, which is incorporated herein in its entirety by reference. The present disclosure relates generally to methods and systems for processing pelletized-form light water reactor spent nuclear fuel into fluoride-based or chloride-based molten salt reactor fuel. Nuclear fuel that has been irradiated in a nuclear reactor is generally referred to as spent nuclear fuel. Such spent nuclear fuel is generally not reused or recycled but instead is most often stored onsite in specially-design pools in the vicinity of the nuclear reactor in which such nuclear fuel was used. Aged spent nuclear fuel, having undergone significant decay so that it doesn't produce significant heat, may also be stored in dry casks on pads at the reactor site in which it was produced, at decommissioned reactor sites, and/or at other approved sites pending disposal at a permanent disposal facility. According to the U.S. Energy Information Administration, as of 2013, there were more than 70,000 metric tons of spent nuclear fuel stored at sites within the United States (https://www.eia.gov/nuclear/spent_fuel/). Such spent nuclear fuel will be lethal to humans for thousands of years, requiring its storage meet stringent requirements and close monitoring. Further, while spent nuclear fuel storage has proven to be reasonably safe to date, the risk remains that a large fire, explosion, terrorist attack, plane crash, or accident could occur that damages a spent fuel pool and/or dry cask storage of such spent fuel. Therefore, it would be desirable to have a system, apparatus and/or method that takes into account at least some of the issues discussed above, as well as possibly other issues, and yields an improved solution. Exemplary implementations of the present disclosure are generally directed to methods and processes involving light water power reactor spent nuclear pellet-form fuel, extracted from fuel assemblies, or, rods containing such spent nuclear pellet-form fuel, separating fuel rod cladding from the pellets, retaining substantially all spent fuel pellets and fragments thereof, and processing to halide salt powder suitable for use, perhaps with enrichment, to fuel for a molten salt reactor. Exemplary implementations of the present disclosure are generally directed to methods and systems resulting in a substantially complete automated process for making new fuel for a molten salt reactor and which, consequently, reduce the inventory of spent fuel at commercial power reactor sites. In certain of such implementations, virtually none of the contents of the spent fuel are removed, virtually all of the spent fuel and contents are converted to molten salt fuel, and essentially all reprocessed/reprocessed fuel will be capable of generating power, substantially without the generation of additional waste, resulting in what could potentially be near-continuous reduction of currently-stored spent fuel inventory over time, with the consequent volumetric reduction of highly radioactive waste to stable or low-level forms. In exemplary implementations of the present disclosure, processes are discussed for converting uranium oxides and plutonium oxides (as used) to uranium and plutonium chlorides. Implementations of the present disclosure are generally directed to methods and systems for preparation of a fluoride-based salt fuel for a thermal molten salt reactor (TMSR). Further implementations of the present disclosure are generally directed to methods and systems for preparation of a chloride-based salt fuel for a fast molten salt reactor (FMSR). Implementations of the present disclosure are generally directed to methods and systems for retaining essentially all fuel materials in a spent fuel recycling process to substantially fully close the nuclear fuel cycle, thereby virtually eliminating a nuclear waste stream of rejected material. Highly radioactive fission waste and trans-uranium elements, e.g., Actinides in the spent fuel are retained in the process and new fuel product. Implementations of the present disclosure are generally directed to methods and systems for allowing fission waste products and actinides in spent nuclear fuel to be burned to stable forms and incorporate salt fuel for enrichment in order to overcome the negative power effects of the fission product waste. Certain implementations of the present disclosure are generally directed to methods and systems for reducing spent nuclear fuel and constituents to fluoride fuel salt, including calculated enrichment (U235 or Pu239). Uranium or plutonium is added to the spent fuel before or during fluoridation. The final product is a dry fuel salt powder. Other implementations of the present disclosure are generally directed to methods and systems for producing chloride fuel salt by molten chloride reduction of spent nuclear fuel and constituents, including calculated enrichment (U235 or Pu239). Uranium or plutonium is added during chlorination. The final product is a dry fuel salt powder. In a variation of this implementation, molten salt fuel product may be poured into canisters, stored, and later inductively heated to liquid and used in the molten salt reactor. Implementations of the present disclosure are generally directed to methods and systems that avoid chemical separation of spent fuel constituents into separate streams (which would generally result in more storage of highly radioactive waste) or additional chemical processes to rejoin spent fuel groups. According to one example implementation, a facility and process design of the present disclosure allows operators to process spent nuclear fuel stored at nuclear power plants. The process, once put into practice, essentially closes the nuclear fuel cycle, by reducing the amount of nuclear spent fuel stored at plant sites, and molten salt reactor fuel produced in accordance with this disclosure is intended to be used in advanced molten salt reactors to ultimately generate electricity, hot water, etc. According to another exemplary implementation, a process facility is provided that is a hardened, secure, limited-access facility, configured to accept and contain highly radioactive material spent nuclear fuel, and specifically designed to house apparatus machinery and attendant support systems for continuous spent fuel processes, including spent fuel container ingress and processing spent fuel to a final packaged product, and egress of such final product. This includes shielding against radiation, remote robotic operations and safe handling, and the exclusion of personnel from processing area. In another example implementation, a facility for conducting a process of the present disclosure is located on site within a secured perimeter, together with a spent nuclear fuel storage facility and a molten salt reactor. According to a further exemplary implementation of the present disclosure, processes are disclosed capable of utilizing virtually all water-reactor ceramic spent nuclear fuel consisting of uranium oxide, lanthanide series elements, fission product metals and non-metals, actinide series elements, i.e., substantially all of the material found in spent nuclear fuel, for the production of halide salt fuel. These processes avoid “wet” chemical separation, since element (nuclide) constituents are not chemically separated. Production of fluoride salt is by a dry process, whereas, the process for the production of chloride salt is a liquid emersion conversion to salt. There are effectively no left-over waste products or waste streams. According to one further exemplary implementation of the present disclosure, fuel assemblies containing an array of fuel tubes are aligned horizontally on a rod puller disassembly table, and spent fuel pellets are removed from tubes, or, “pins,” by laser slitting of the fuel tubes, opening the tubes, and mechanically removing any spent fuel pellets and fragments that remain adhered to tubes. Cleaned fuel tubes, channels, and assembly end pieces (non-fuel), are set aside for recycling. According to one further exemplary implementation of the present disclosure, spent fuel pellets and fuel pieces are processed for a specific molten salt reactor type, i.e., fluorinated salt for a “thermal” reactor and chlorinated salt for a “fast” reactor. Specifically, fuel for a thermal molten salt reactor is processed to a fluoride salt by ultimate reduction and fluorination of uranium and its associated fuel constituents, and fuel for a fast molten salt reactor is processed to a chloride salt by ultimate reduction and chlorination of uranium and its associated fuel constituents. According to one further exemplary implementation of the present disclosure, spent fuel pellet removal from fuel pins is performed in a closed atmosphere to prevent release of dust and to capture gases back into the process. In such implementations, fuel for a molten salt reactor proceeds to one of two parallel path, specifically: (a) a path wherein at least one rotating calciner is used in a fuel fluorination preparation process, which receives extracted spent fuel pellets directly (“Option A”), or (b) a path wherein chlorinated fuel preparation spent fuel pellets are ball-milled in an enclosed atmosphere to collect dust and gases, which are recycled back into the process, and spent fuel pellets are milled to coarse granular feed to the molten salt uranium/plutonium oxide reduction tank (“Option B”). According to a further exemplary implementation of the present disclosure, spent fuel pellets undergoing Option A, i.e., the fluorination process, may be enriched by adding U235 powder to the spent fuel before fluorination, to predetermined specifications, to provide sufficient fissionable material in the final product. This enrichment could be low enriched uranium including high assay-low enriched uranium (e.g., HA-LEU, <20% enrichment). In one implementation, spent fuel and constituents are reduced to fluoride salt powder in a rotary calciner, and the powder may be enriched as necessary with fluorinated uranium-235 or plutonium-239 in order to support molten salt reactor operation. According to yet a further exemplary implementation of the present disclosure, spent fuel undergoing Option B, a chlorination process, may be enriched with U235 or Pu239 powder added to spent fuel salt at a uranium/plutonium oxide reduction tank, to the desired specifications, to thereby provide enough fissionable material in the final product. This enrichment could be low enriched uranium including high assay-low enriched uranium (e.g., HA-LEU, <20% enrichment) or plutonium, or mixed oxide (MOX) fuel. In one implementation, spent fuel and constituents are reduced to chloride salt fuel by immersion in a molten chloride salt bath. Molten chloride fuel salt may then be enriched, in the event initial and subsequent enrichments will be required, with uranium-235, plutonium-239, or MOX (uranium and plutonium), added to the oxide reduction tanks, in order to support molten salt reactor operation. Proper sizing of tanks and equipment, including, without limitation, multiple oxide reduction tanks being in parallel, and multiple mixing and adjustment tanks being in parallel, allows for enrichment and chemical analysis, and required tank separation and reactivity monitoring. Proper sizing ensures ample volume for mole-fraction concentration specifications. Mixing tanks provide for sampling, adjustment, and content certification. Separation, and partitioning of both sets of tanks, a first group (oxide reduction tanks) and a second group (mixing and adjustment tanks), ensures sub-criticality during the entire process. Molten chloride salt fuel is solidified and milled to powder in the process. Additional chemical processes, such as fluidized beds or small chemical reactors, may be employed to process fuel dust or volatile constituents to stable form and to subsequently rejoin spent fuel process streams. Final-product molten salt fuels are provided in powder form, sample-tested, and certified. According to a further exemplary implementation of the present disclosure, in the case of chlorinated molten salt, initial calculations determine how much granulated spent fuel will be added to each oxide reduction tank of molten alkali or alkali earth chloride by mass and concentration of free chloride. The number and size of molten chloride reaction tanks necessary for continuous process operation to produce chlorinated salt-fuel will be determined, in part, by the rate of spent fuel pellet production and milling, spent fuel chlorination to salt, and safety considerations. According to a further exemplary implementation of the present disclosure, spent fuel gases evolved during the Option A fluorination and Option B chlorination processes, are collected by a fluidized bed, converted to fluorinated and chlorinated fuel salts, respectively, and returned to their separate fuel salts. According to yet another exemplary implementation of the present disclosure, chlorinated fuel salt is pumped from at least one reacting tank, by its own pump when it and its discharge isolation valve are selected. Fuel salt is pumped to a common header, with a selector valve for each to admit fuel salt to at least one cooling tray. Piping and valves from reacting tanks to cooling trays are maintained above salt melt temperature by redundant and remotely replaceable heating element jackets, to prevent salt fuel solidification. According to a still further exemplary implementation of the present disclosure, chlorinated fuel salt in the molten state is introduced into cooling trays, cooled by chilled water, designed with multiple parallel, but separate rows, each surrounded by cooling coils to remove heat from the molten salt and cause it to solidify. The cooling trays are in a stacked array, with adequate space between trays for addition of molten salt and removal of solidified. Actual configuration and groupings of a number of trays into one array, depends on the: (a) movement of ganged-arrays; (b) tray loading from the pump-out of the mixing and adjustment tanks; (c) stacked array movement to cooling stations; (d) stacked array movement to the ball mill feed table (not shown); (e) solidified salt fuel removal and deposit on the ball mill feed table; and (f) salt fuel milling. According to a yet further exemplary implementation of the present disclosure, solidified and cooled chlorinated fuel salt bars, or “sticks,” are removed from their molds, after solidification. These sticks are collected and fed to a ball mill and fine mill, which is enclosed to retain process dust, for processing to powder, and milled to specifications. The number of cooling trays will be sufficient to support continuous feed to the milling operation and chlorinated fuel salt powder system demand. According to a yet another exemplary implementation of the present disclosure, fuel salt product from Option A, is collected from the calciner and milled to powder product specifications, and fuel salt product from Option B is collected from fuel salt mold, ball-milled, and further milled to a fine powder. An alternate implementation of Option B method provides certified fuel salt directly to individual storage canisters, which are inductively heated to liquid for use in a molten salt reactor. According to a yet another exemplary implementation of the present disclosure, end products of the fluorination process (Option A), and end products of the chlorination process (Option B) include their respective salt fuels and are milled to specifications and then collected in containers on carts, sealed, and transported by cart for direct use or storage. Container geometry and amount of salt fuel product are sized to prevent criticality in stored arrays. Fission product nuclides and other neutron absorbing barriers help assure adequate margin to criticality in all potential concentrations. Another exemplary implementation of the present disclosure in the production of fuel for a thermal molten salt reactor by: providing spent fuel pellets; processing the spent fuel pellets and fuel pieces into a fluoride fuel salt by ultimate oxidation, reduction, and fluorination of uranium and its associated fuel constituents in a generally continuous progression, wherein the processing produces water vapor; and filtering, condensing, and removing the water vapor produced during the reduction and fluorination operations. Another exemplary implementation of the present disclosure provides a method for producing fuel for a thermal molten salt reactor including: (a) providing fuel assemblies containing an array of fuel tubes aligned horizontally on a rod puller disassembly table and removing fuel pellets from the tubes; (b) processing the spent fuel pellets and fuel pieces into a fluoride salt by ultimate oxidation, reduction, and fluorination of uranium and its associated fuel constituents; and (c) filtering (including condensing and removing) the water vapor formed during the reduction and fluorination operations. In an additional exemplary implementation, the method could further include enriching the granular spent fuel salt with U235, and if further desired, fluorinating the U235-enriched granular spent fuel salt or plutonium in a calciner rotary kiln or fluidized bed. In some exemplary implementations, a method for producing fuel for a fast molten salt reactor is provided which includes providing fuel assemblies removing fuel pellets containing uranium from the fuel assemblies and granulating the fuel pellets into granular spent fuel salt for processing feed in a semi-voided atmosphere using a ball mill, roller mill, or chopping mill, and processing the granular spent fuel salt into chloride salt by ultimate reduction and chlorination of the uranium and associated fuel constituents of the uranium. The method further includes enriching the granular spent fuel salt with U235, Pu239, or MOX, chlorinating the enriched granular spent fuel salt to yield molten chloride salt fuel using anhydrous HCl and halide salt reduction, and then analyzing, adjusting, and certifying the molten chloride salt fuel for end use in a molten salt reactor. Additionally, the method includes pumping the molten chloride salt fuel to stacked arrays of cooling trays or canisters and cooling the molten chloride salt fuel to yield solid salt fuel bars, sticks, or canister solid forms and milling the solidified molten chloride salt fuel to predetermined specifications for the fast molten salt reactor. A method for producing fuel for a fast molten salt reactor, the method including providing fuel assemblies and removing fuel pellets containing uranium and all spent fuel constituents, from the fuel assemblies and granulating the fuel pellets in a semi-voided atmosphere using a ball mill, roller mill, or chopping mill, for process feed to the chlorination process. The granular spent fuel salt is processed into chloride salt by ultimate reduction and chlorination of the uranium and associated fuel constituents chloride salt solution. Reduction may occur using a strong reducing agent, preferably a chloride-containing reducing agent, such as anhydrous hydrogen chloride (AHCl). The granular spent fuel salt is enriched with U235, Pu239, or MOX, and the enriched granular spent fuel salt is chlorinated to yield molten chloride salt fuel using AHCl halide salt reduction. The molten chloride salt fuel is analyzed, adjusted, and certified for end use in a molten salt reactor. This implementation also includes pumping the molten chloride salt fuel to stacked arrays of cooling trays or canisters and cooling the molten chloride salt fuel to yield solid salt fuel bars, sticks, or canister solid form, and milling the solidified molten chloride salt fuel to predetermined specifications for the fast molten salt reactor. Non-limiting example approximate temperatures, times, gas concentrations, materials used to construct the apparatus, and other parameters which are expected to be used are shown in the drawings. More specifically, implementations of the present contemplate a full-size facility enclosing the methods and processes for processing commercial light water reactor spent nuclear fuel to final product fuel salt product for a molten salt power reactor. Equipment and machinery in the facility receive spent fuel assemblies and deliver them to a rod pulling table, and disassemble them from their support elements, namely, into separated, individual cladding fuel rods containing raw spent fuel. The fuel rods are slit and/or sliced axially along substantially their entire length by laser. Care is taken to prevent the laser from cutting through the spent fuel, burning, or fusing pellets. In one implementation, the laser simultaneously cuts opposite sides of each rod into semi-cylindrical halves, thereby exposing fuel pellets when the two halves of the fuel rod are separated. Prior to the fuel rod cladding sections being removed from the process, mechanical brushes sweep the inside surfaces of such sections lengthwise in order to recover all of the spent fuel pellets and pieces thereof, given such fuel pellets and pieces may exhibit various forms, from being generally intact, i.e., cylindrical, to broken and deformed shapes, indicated by previous cycles of operating history and subsequent handling. Gaseous constituents of the spent fuel are collected during disassembly and the conversion processes discussed herein and are processed within a fluidized bed into halides for recovery. The features, functions and advantages discussed herein may be achieved independently in various exemplary implementations or may be combined in yet other exemplary implementations further details of which may be seen with reference to the following description and drawings. Some implementations of the present disclosure will now be described more fully hereinafter with reference to the accompanying drawings, in which some, but not all variations of the disclosure are shown. Indeed, variations of the disclosure may be embodied in many different forms and should not be construed as limited to the examples set forth herein; rather, these are provided so that this disclosure will be thorough and complete and will fully convey the scope of the disclosure to those skilled in the art. As used herein, “and/or” means any one or more of the items in the list joined by “and/or.” As an example, “x and/or” means an element of the three-element set, e.g., [(x), (y), (x, y)]. Additionally, as used herein, the terms “exemplary” and “example” mean in context as serving as a non-limiting example, instance, illustration, or circumstance. Moreover, as used herein, the term “for example,” or, “e.g.,” introduces a list of one or more non-limiting examples, instances, illustrations, or circumstances. Exemplary implementations in accordance with the present disclosure are described with reference to systems and/or methods, such as in the context of processing spent nuclear fuel. Further, for example, reference is made herein to values of or relationships between components, parameters, properties, variables or the like. These and other similar values or relationships are absolute or approximate to account for variations that may occur, such as those due to engineering tolerances or the like. Like reference numerals refer to like elements throughout. Of note, the disclosures set forth in Conversion of Oxide to Metal or Chloride, by Sakamura, et al., Organization Central Research Institute of the Electric Power Industry (CRIEPI), Japan, and Effect of Melt Composition on the Reaction of Uranium Dioxide with Hydrogen Chloride in Molten Alkali Chlorides, by Volkovich, et al., Ural State Technical University, Russia and the entirety of both of the foregoing documents are incorporated herein by reference. Further incorporated by reference in their entirety are the following documents: Processing of Used Nuclear Fuel, World Nuclear Association, (updated June 2018), https://world-nuclear.org/information-library/nuclear-fuel-cycle/fuel-recycling/processing-of-used-nuclear-fuel.aspx); Recycling Nuclear Fuel: The French Do It, Why Can't Oui?, Dec. 28, 2007, The Heritage Foundation (https://www.heritage.org/environment/commentary/recycling-nuclear-fuel-the-french-do-it-why-cant-oui; Recycling Process of Defective Aged Uranium Dioxide Pellets, Fatah Mernache, et al, published online Aug. 12, 2015, Journal of Nuclear Science and Technology, Vol 53, Issue 6; Engineering Design of a Voloxidizer with a Double Reactor for the Hull Separation of Spent Nuclear Fuel Rods, Young-Hwan Kim, et al Korea Atomic Energy Research Institute, Science and Technology of Nuclear Installations, Vol 2017, Article ID 985; Oxidation of UO2 Fuel Pellets in Air At 503 and 543 K Studied Using X Ray Photoelectron Spectroscopy and X Ray Diffraction, P. A. Tempest et al, Journal of Nuclear Materials February 1988; The High Burnup Structure in Nuclear Fuel, Vincenzo V. Rondinella et al, European Commission, Joint Research Centre, Institute for Transuranium Elements Germany, Materials Today, December 2010, Vol 13, No 12; Uranium Tetrafluoride, IBILABS International Bio-Analytical Industries, Inc. Aug. 7, 2016; Uranium Tetrafluoride, Wikipedia Ref Journal of the American Chemical Society, 1969; Hydrofluoric Acid Corrosion Study of High-Alloy Materials, P. E. Osborne et al, ORNL, UT Battelle, LLC for DOE, August 2002; and “Inconel 600”, Spec sheet FSA, Shanghai Fengqu Superalloy Co, Ltd. Mar. 13, 2019. Additionally, incorporated by reference in their entirety are the following patent documents: GB 803258; GB 1171257; GB 2536857; JP 11231091; KR 20060035917A; KR 20090089091A; KR 2009010 109237A; KR 20090109238A; KR 20110034347A; US 2013/0266112A1; WO 2017/158335A1; US 2011/0286570A1; U.S. Pat. Nos. 9,767,926; 4,062,923; and 6,251,310. Further incorporated by reference in its entirety are the documents: “Economic Analysis on Direct Use of Spent Pressurized Water Reactor Fuel in CANDU Reactors—I: DUPIC Fuel Fabrication Cost, by Hangbox Choi, Won Li Ko, and Myung Seung Yang, Korea Atomic Energy Research Institute, Nuclear Technology, Vol. 134, May 2001; Proceedings of the 16th International Conference on Nuclear Engineering ICONE 16, 2006/2008 “Second Generation Experimental Equipment Design to Support Veloxidation Testing At INL”; World Journal of Nuclear Science and Technology, 2015 “Reduction Kinetics of Uranium Trioxide to Uranium Dioxide Using Hydrogen.” Briefly, FIGS. 1 and 7 illustrate an exemplary implementation of a system including one or more processes for thermal molten salt reactor (TMSR) fuel-salt preparation, and FIG. 8 illustrates another exemplary implementation of the present disclosure, namely, a system including one or more processes for fast molten salt reactor (FMSR) fuel-salt preparation. Methods and Systems for Calciner Fluoride Fuel Salt Preparation (“Option A”) FIGS. 1 and 7 illustrate an exemplary implementation of a system, generally 100, according to one exemplary implementation of the present disclosure for calciner fluoride fuel salt preparation in the production of thermal molten salt reactor fuel salt. An externally heated and cooled calciner apparatus provides for a continuous process for converting spent fuel UO2 pellets (which have been previously removed from the fuel cladding) at elevated temperatures to UF4 crystals/powder using a rotating cylinder through which the pellets advance, and using a counter-flow of oxygen (via the center of an axial support tube of an integrated helical auger) for oxidizing, then reducing in a concurrent flow of hydrogen gas, then fluorinating in a concurrent flow of HF gas (the gasses being introduced via the central pipes contained in the axis of the calciner.) The calciner apparatus has sealing mechanisms at both ends to prevent any of the gases or particulates from entering the surrounding facility's atmosphere. The pellets are loaded through these sealing mechanisms at one end of the calciner apparatus, and the UF4 continuously exits through such sealing mechanisms at the other end of the calciner apparatus. In some aspects, for example, the system 100 process begins with spent fuel pellets being recovered from fuel rod cladding (not shown) and fed into a rotating calciner, generally, 106. In an exemplary implementation, two calciners, one for each of two lines, could be used. As shown in FIG. 1, an axial cross-section of a calciner 106 depicts the construction thereof and the gas flow therethrough, shown by arrows 112. The direction of process flow through the calciner, is from left to right as indicated by arrows, in the axial-cross section. Sealed entries and exits to and from the calciner prevent gases escaping outside the system, while allowing entry of spent fuel pellets and exit of fluoride salt. (See U.S. Pat. No. 7,824,640, to Pitts, incorporated herein in its entirety by reference). All gases used and recycled in the calciner will be filtered to exclude unwanted elements and particles from exiting with the product. (See U.S. Pat. No. 4,666,684, to Pitts, incorporated herein in its entirety by reference). Proceeding through the calciner 106, there are three process subdivisions designated by three radial cross-sections, or zones, generally 106A, 106B, and 106C, (FIG. 1) showing the particular gas flow of each section and desired product. Excess gases leave the calciner 106 by negative pressure to external filters (not shown). The externally-heated calciner rotates slowly, heating pellets to approximately 500° C. for a period of time, which in one non-limiting example could be approximately 1 to 3 hours. Section 106A includes a fixed integral helical auger, the direction of rotation being indicated, as viewed in the direction of gas flow from right to left in FIG. 1. Calciner 106 dimensions, in one non-limiting example, could be approximately 15 to 30 inches in outside diameter, and axial section A could be approximately 10 to 20 feet in length. Axial sections 106B and 106C, in one non-limiting example, could be approximately 5 to 10 feet in length each. Sensors, which in some non-limiting examples may be embedded or attached wireless micro-sensors, generally 114, are shown in the calciner casing 116 and serve to monitor process parameters such as temperatures, pressures, material and added constituents flow rates, radiation, gases, and/or other measurable process details. One center conduit, or pipe, 118 extends the entire length of the calciner 106, which has a plug in the mid length of the pipe to prevent the mixing of the oxygen and hydrogen gasses. Oxygen (an oxidizing agent) enters at the left (as shown in FIG. 1), as pellets enter the calciner 106, and the oxygen exits from the pipe 118 into the calciner interior 120, starting the oxidation of pellets. Curved arrows 112 on the axial section 106A indicate oxygen flow from the pipe 118 to the calciner interior 120. Spent fuel pellets, generally 124, are indicated in section 106A at the helical auger 126 as are also mixing vanes 128. During this part of the process in calciner 106, UO2 (uranium dioxide) spent fuel pellets are oxidized to various oxides of uranium, which causes the pellets to disintegrate because of expansion during oxidation. All other constituents of spent fuel are contained and oxidized in this section. A smaller center pipe 130 enters from the right end of calciner 106 and does not penetrate the full length of the calciner, but instead terminates at the start of axial section B. A baffle 131 will be used to reduce the mixing of the oxidizing gas and the reducing gas, at the appropriate spot axially, in the calciner, but will still allow advancement of the product through the calciner. (See U.S. Pat. No. 3,969,477, incorporated herein in its entirety by reference). One non-limiting exemplary location of baffle 131 is shown in FIG. 1. Baffle 131 is between the oxygen flow and the hydrogen gas flow in the calciner 106. The center pipe 130 supplies hydrogen gas for the second part of the process that takes place in the calciner 106, as shown by curved arrows 112 indicating outflow into the calciner main volume. The hydrogen gas is a reducing agent and flows from the center pipe 130 into the calciner interior 120, in section 106B. During this part of the process, various oxides of uranium are reduced to UO2, and the consistency of the spent fuel pellets has been changed from a generally pellet form to coarse powder, shown in section 106B at the helical auger 126 and mixing vanes 128. Virtually the only effluent is water vapor, which is condensed during filtration of the recirculating gasses. All other constituents of spent fuel are contained and reduced during this part of the process. The final process converts UO2 to UF4 (uranium tetrafluoride). Hydrogen gas continues to flow through the smaller center pipe exiting into the calciner section 106B, as described previously; then, HF (hydrogen fluoride) gas enters into the larger annular pipe 118 at the right end of the calciner 106 as shown in FIG. 1, and exits into the main interior, or, body, 120 of the calciner 106 at the beginning of axial section 106C, with arrows 132 indicating direction of flow. During this part of the process, uranium and virtually all other constituents in the spent fuel, fission products, rare earths, and actinides are all substantially fluoridated. The resultant coarse powder product is shown in cross-section 106C at the helical auger and mixing vanes 128. Design and construction of the calciner apparatus 106 may include any suitable manufacturing techniques, including without limitation, application of 3D printing in order to use heat and corrosion resistant materials to create a durable internal design of calciner 106. Calciner 106 includes instruments and sensors for the measurement of pressure, temperature, gas concentration, gas flow, and material flow, which can be accomplished by many, perhaps hundreds, of wireless imbedded micro sensors 114, which are monitored in real-time by computer systems and artificial intelligence applications to maintain safety of operation and to provide continuous improvement of the process. The sensors 114 may be built into the calciner apparatus 106 during the 3D printing process. The calciner apparatus 106 keeps radioactive particles contained to prevent contamination of the surrounding facility, and calciner apparatus 106 generally produces only relatively small volumes of condensed liquid waste water, which will require specialized disposal. Operation of calciner apparatus 106 is more easily automated for operation on a 24/7 basis and is potentially less-expensive to operate over its lifetime than other types of processing. The design of the process using calciner apparatus 106 is scalable for increased capacity, as well as lending itself to be standardized for replication, so that multiple units can be used for backup purposes and/or to increase facility capacity. The conversion gases used in calciner apparatus 106 are carried by inert gases such as helium or argon, which are recycled. Water vapor generated during processing is condensed and removed from the process on a continuous basis. Gases exit the calciner apparatus 106 at each of the sealed ends to the filtering and replenishment equipment. The recirculated gases are filtered to remove elements not desired in the end product. During the first stage of this process, as shown in section 106A of FIG. 1, the pellets 124 are exposed to a counter-flow of oxidizing gas, shown by arrow 125, such as oxygen, to covert the UO2 to various combinations of higher oxides of uranium, which increases the volume of the pellets up to 30%, potentially causing them to fracture. As shown in the section 106A, the motion of the rotating cylinder 138, integral helical auger 128 blades, with small shelves, or ledges, 140 to lift the pellets 124, provides friction between the pellets, and small impact forces experienced by the pellets hasten the oxidation process, which itself expands and fragments the pellets 124 further. This ultimately results in powdered oxides of uranium. The diameter of the calciner 106, in one exemplary implementation, could be in the range of approximately 15-30 inches. The second stage 106B of the calciner 106 process is to the right of a closure 127 in conduit 118 (FIG. 1) and exposes the oxide powders of uranium to a flow of reducing gas such as hydrogen, converting the various uranium oxide powders to UO2. FIG. 1 shows the designs of section 106B, helical auger 128, and the axial gas supply channel 130. The third stage 106C of the calciner 106 process shown in section 106C exposes the UO2 powder to fluoridizing gaseous HF, which produces UF4 in a crystalline/powder form for use in lithium fluoride molten salt-based reactors, for example. Section 106C shows the auger 128 and central path of the HF gas. Such H2 and HF gases then exit conduit 119 to filtering, which includes condensing and removing of the water vapor formed during the reduction and fluorination operations. The UF4 exits the process in a manner which prevents leaking of gases to the atmosphere. A mechanism will be provided to seal the end of the calciner, so that the gases generated will be contained, and the product will exit cooled and ready for the next operation. The product is sampled, tested, and certified for shipment. The UF4 is automatically placed in containers, which are automatically sealed and cooled, and then stored for delivery to the customer. (In order to provide more uniform particle sizes than can perhaps be produced in the calciner 106, as the product exits the calciner 106, a subsequent milling operation for milling to powder to desired specifications may be used.) The third stage of the process shown in section 106C exposes the UO2 powder to fluoridizing gaseous HF, which produces UF4 in a crystalline/powder form for use in lithium fluoride salt-based reactors, for example. Section 106C shows the auger 128 and central path of the HF gas. In an exemplary implementation of the present disclosure, a method is illustrated in FIG. 7 for producing fuel for a thermal molten salt reactor, the implementation of the method including: a. providing fuel assemblies containing an array of fuel tubes are aligned horizontally on the rod puller disassembly table 270 (FIG. 9), and fuel pellets are removed from tubes; b. processing the spent fuel pellets and fuel pieces into a fluoride salt by ultimate oxidation, reduction and fluorination of uranium and its associated fuel constituents; and c. filtering (including condensing and removing) the water vapor formed during the reduction and fluorination operations. Another exemplary implementation of such method could include, if desired and as shown in FIG. 7, fluorinating the U235-enriched granular spent fuel salt or plutonium in a calciner rotary kiln or fluidized bed, and if additionally desired, enriching the granular spent fuel salt with U235. In an exemplary implementation, because both the reduction of the oxides of uranium to uranium dioxide and the conversion of uranium dioxide to uranium tetrafluoride are exothermic, the calciner includes both external heating and cooling apparatus (not shown) over most of its length. In an exemplary implementation, the temperature of conversion of uranium dioxide to uranium tetrafluoride in HF gas is to be maintained above 400 deg C. during and after the conversion is completed, to prevent the undesired formation of volatile uranium hexafluoride, which will occur if it is cooled below 400 deg C. in the presence of HF gas. Therefore, the uranium tetrafluoride must exit through the sealed end of the calciner above 400 deg C. and then cooled to ambient temperature. This requires a counter flow of argon in the exit sealing mechanism of the calciner as cooling proceeds. Toward this end, the sealing and transfer mechanism for the fuel product is to be configured with sufficient cooling capacity. The calciner is configured to reduce the likelihood of the oxygen and hydrogen used in processing from being too close together in the oxidation and reduction steps in the calciner. Although at least one baffle 131 is used, it may be desirable to use multiple baffles, with the introduction of positive pressure inert gasses such as argon, between them, to prevent the mixing of oxygen and hydrogen during the process. Such inert gas can be introduced into the calciner through a pipe (not shown) placed axially in the auger 126, extending from the entrance end of the calciner to the baffle area. In exemplary implementation, Option A may include, if desired, the spent nuclear fuel being generally permanently stored, then processed into spent fuel salt, and the spent fuel salt used in a thermal molten salt reactor, all on a single site having a secured perimeter. Non-limiting example approximate temperatures, times, gas concentrations, materials used to construct the apparatus, and other parameters which are expected to be used are shown in the drawings. Methods and Systems for Chloride Fuel Salt Preparation (“Option B”) FIGS. 2-7 and 9 illustrate an exemplary implementation of a system, generally 200, or portions thereof, according to one example of the present disclosure for chloride fuel salt preparation in the production of fast molten salt reactor fuel salt. The process 200 begins after the spent fuel pellets 124 recovered from cladding in a manner as discussed above, being fed into a ball mill 202 (FIG. 9), and pulverized to a granular form. Gases are recovered from the initial disassembly, from the ball mill 202, and from one or more enclosed conveyors (not shown), routing granulated spent fuel to the (FIG. 2) oxide reduction tanks 210. The oxide reduction tanks are the first tanks in line of the process 200 to treat granular/pulverized spent nuclear fuel. Spent fuel is reduced using a strong reducing agent, preferably a chloride-containing reducing agent, such as anhydrous hydrogen chloride (AHCl) addition through a tank sparger 212 at the bottom of the tank 210. A small excess of chloride with molten chloride fuel salt ensures enough free chloride to produce chloride salt fuel. The process produces water vapor and hydrogen which are continuously removed by blower extraction and condensation, and glow plugs (not shown) ensure hydrogen gas is burned to water product. This process completes the goal of removing oxygen from all oxides in the salt fuel. Automated and dip sampling configuration, and density probes, while provided, are not shown. Gases are collected into a fluidized bed (not shown) for chlorination and recycling back into the main process. Raw granulated spent fuel is routed from the ball mills 202 by the enclosed conveyor to parallel oxide reduction tanks 210 containing molten salt. Granulated spent fuel is conveyed in a closed system, to the oxide reduction tank hopper 216. conveyor to parallel oxide reduction tanks 210 containing molten salt. Granulated spent fuel is conveyed in a closed system, to the oxide reduction tank hopper 216. A tank 220 containing molten chloride salt maintained, in one non-limiting example, at approximately (30-50) degrees C. (80-120 degrees F.) above the melting point of the halide salt (molten alkali or alkali earth chloride) melting point estimated to be 500° C. (930° F.). The melting point of the molten salt is variable with the amount and consistency of alkali and alkali-earth chlorides, and with the amount of spent fuel added to the mix. Nominal density of spent fuel salt chloride is expected to be 3.0 g/cc, depending on concentration (mol %). It is anticipated salt fuel for the fast molten salt reactor will require significant enrichment. This enrichment will be performed with addition of U235, Pu239, or MOX fuel. At an estimated beginning 30 mol % uranium chloride and plutonium-chloride, the balance being fission product chlorides and actinide chlorides (5-10) mol %, the remaining mix contains free molten salt at (60-65) mole %. FIG. 3 shows the fuel salt mixing and adjustment tank 220, second in line of an exemplary implementation of the process, receives fuel salt in a hopper 221 from the oxide reduction tank 210. Both tanks 210, 220 (FIGS. 2 and 3) have automated sampling, and pump recirculation distribution headers (not shown) internal to the tanks. Tanks 210, 220 (FIG. 3) are sized and configured to prevent a criticality (critical-safe) in the tank as pulverized spent fuel is added and enriched with U235, Pu239, or MOX fuel, to high assay low enriched uranium (HA-LEU) at less than approximately 20% enrichment. Both tanks 210, 220 have the capability to receive salt, spent fuel, or enrichments; however, tank 220 will normally receive only salt replenishment as needed. The enrichment is necessitated in fueling and operation of a fast molten salt reactor. Tanks 210 and 220, in one non-limiting example, have approximate estimated dimensions of 10 feet in height by 16 feet front to back and 10 inches wide and is capable of processing approximately 1000 gallons. Tanks 220, in one exemplary implementation, are constructed integrally with an outside tank (not shown) having leak detection between the inside and outside tanks. Outside tank dimensions allow for insulation, multiple electric heater access points, recessed instrument enclosures, and accesses to each. The tanks 210, 220 are instrumented with dip sample points (not shown) for automatic and/or manual sampling and analysis. This capability confirms independent on-line sampling that a receiving-mixing tank's contents are fully chlorinated to the extent possible (uranium, fission products, lanthanides, and actinides), i.e., substantially the entire inventory of spent nuclear fuel nuclides. A density probe 221 and manual liquid density measurement generated therefrom confirm whether the spent fuel salt density is at the expected density nominally (3.0-4.0) g/cm3 (kg/m3), molten alkali or alkali earth chloride density, no other content, is approximately (1.6 g/cm3). The contents of the oxide reduction tank 210 (FIG. 2), and mixing and adjustment tank 220 will be processed further when sample analyses are confirmed. It is estimated reaction processing time is in an exemplary implementation 8 hours, including enrichment and sample confirmation, for the oxide reduction tank 210, and 4 hours for the mixing and adjustment tank 220. Full range gamma and neutron nuclear instruments, generally 224, provide continuous monitoring, trending, and alarming (counts/second) and rate of change. In one implementation, oxide reduction tank 210 size and configuration require four equally spaced instruments over the height and depth of each tank. A blower and chiller 226 combination removes water from tank 210. An anhydrous hydrogen chloride cylinder and compressor, generally 228, supply sparger arrangement 212. Salt mixers (e.g., screw-type mixers) 222 set at different depths, and front to back of the tank, ensure sufficient mixing of each tank. Additionally, FIG. 2 is an exemplary implementation wherein tank screw pump 218 is shown. Tank screw pump 218 is connected to tank 210 via conduit 210A, and an inlet valve 218A is provided proximate the inlet of pump, and an outlet valve 218B is provided proximate the outlet of pump 218. A conduit 218C connects valve 218B to a discharge valve 219 and to a tank pump recirculation isolation valve 229 connected to molten fuel salt mixing tank 220. In an exemplary implementation shown in FIG. 3, a tank screw pump 218 is connected to tank 220 via conduit 220A, and an inlet valve 218A is provided proximate the inlet of pump, and an outlet valve 218B is provided proximate the outlet of pump 218. A conduit 218C connects valve 218B to a tank header valve 217 and to a tank pump recirculation isolation valve 225. In FIG. 4, a representation of tanks 220 containing molten salt spent fuel are shown in a plan view in a side-by-side relationship, and, as in the case of all the drawings herein, are not shown to scale. This view represents the general size and configuration for both the oxide reduction tanks 210 (side by side) and mixing and adjustment tanks 220 (side by side). More specifically, FIG. 4 shows an implementation wherein six tanks 220 are shown. Accompanying apparatus and equipment and configurations used in connection with the tanks 220, are not shown. In the basic process flow (FIG. 9), it could be considered that the first oxide reduction tank 210 would pump out to the first mixing and adjustment tank, the second oxide tank, the second mixing tank, and continue this sequence until all oxide reduction tanks have pumped out to their respective mixing and adjustment tank. The tanks, FIG. 4, also include the tank pump discharge header and nozzles, which are only located on the mixing and adjustment tank 220 pump out header. Spaced between tanks are encased boron slabs, or, dividers, or encasements, 230. Boron encasements 230 positioned between all fuel salt tanks 220 prevent nuclear criticality communication between the array of oxide reduction tanks in close proximity, and between the array of mixing and adjustment tanks in close proximity. Each group is considered herein as one subcritical assembly group, generally 266. Boron dividers 230 are backup defense in depth against possible criticality. In an exemplary implementation, equipment is selected for durability and reliability. Two channels of electric “jacketed heaters” (not shown) are fitted to piping, valves and pumps ensure salt fuel in piping and equipment is of a high enough temperature to remain liquid and will flow. The heater channels are monitored, alarmed, and component failure identified if such a failure occurs. If sections of piping are allowed to cool where molten salt is solidified, heaters can be activated to re-melt the fuel salt. Instrumentation and automated functions are fully alarmed and continuously communicated to a control center. Diagnostic protocols help operators identify system interruptions or points requiring repair. All components on tanks and transfer piping are preferably accessible and capable of remote repair after steps are taken to isolate failed components from the system. Multiple independent receiving-mixing tanks and transfer equipment ensures a continuous supply of fuel salt in operation, including in the event of a system failure. In an exemplary implementation, fuel salt preparation is begun with introduction of chloride salts of alkali metals or alkaline earth metals (e.g., NaCl, KCl, MgCl2, CaCl2), typically in crystalline form, and usually a mixture of two or more salts to a tank. Heaters (e.g., electrical heating elements) 231 are energized to melt the salt to molten state and maintain temperature well above melting point. Pulverized-granulated spent nuclear fuel is taken from the ball mill 202 and carried by enclosed conveyor to the tank hopper 223 and deposited via hopper isolation valve 227 into the oxide reduction tank, and open isolation valve 227 (FIG. 2). Spent fuel addition, regulated by limiting size and speed of the enclosed conveyor, ensures tank temperature is maintained within predetermined specifications and sufficient mixing and reaction of tank contents occurs. All mixers and the tank pump are turned on to initiate mixing, recirculation by pumping, and consistency of fuel salt in the tank and pump discharge lines. In an exemplary implementation, tank size and screw pump capacity are regulated to allow 4-6 hours for mixing and sampling, density recording and analysis before a tank is ready to be discharged. FIGS. 5 and 6 show an exemplary implementation in plan and elevational views of a molten fuel salt collection tray, generally 240, consisting of the tray cover 254, fuel salt collection molds 256 atop heating and cooling elements 242, in a generally checkerboard pattern of heating elements 234A and cooling elements 234B. Insulation between elements along the sides and bottom of the tray prevents solidification during pouring and minimizes cooling time after solidification. More specifically, FIG. 5 is a plan view of salt mold cooling tray 240 with the tray cover and cooling molds removed. In an exemplary implementation, salt mold cooling trays 240 (FIG. 9) are positioned and held in a stacked array of 8-10 trays, with spacing between the trays being sufficient to allow for removal of the cooling molds and cover as one assembly. Stacked arrays are tracked together by a revolving drive (not shown) which moves one stacked and cooled array group, to the ball mill feed table 250. At the table 250, the one-piece molds of each tray, in a particular group, are removed and upended or overturned to deposit solid “bars” of fuel salt. Each tray's mold is removed and returned to its position before the next mold is removed. After an entire array group has been emptied, it is returned in turn to be refilled with molten salt. Tray molds can be a non-stick surface, with salt fuel contraction during cooling, thereby facilitating solid salt fuel removal. The metal molds may be connected side-to-side and laterally supported to ensure tray strength and versatility. Solid salt “bars” are gathered to the side of the turning table and are generally organized lengthwise on a moving conveyor and fed into 2-3 coarse ball mills 202A (FIG. 9). Product fuel salt from the ball mill is further conveyed to a fine mill, such as a Fitzmill 252 for sizing, sampling, certification, and packaging for protection against environmental conditions. More specifically, FIG. 6 illustrates a salt mold cooling tray front view, including top cover 254, cooling molds 256, heating and cooling elements 234A, 234B, which, in one implementation, could be coils. In an alternate implementation, molten salt fuel may be stored as a contiguous solid in canisters and subcritical arrays. This process involves preparation of chloride fuel salt in the aforementioned receiving and mixing tanks 220, sampling and certification of tanks, and transfer by screw pump to a “critical safe” steel canister (not shown), set aside for cooling. Canisters are transported and stored, in “critical safe” arrays. Facilities using “solid salt” canisters are equipped to remotely handle and inductively heat each canister to form liquid fuel salt for addition to their molten salt reactors. In FIG. 4, molten salt spent fuel receiving and mixing tanks 220 are shown in a side-by-side representative top view, but not to scale. More specifically, FIG. 4 shows an implementation wherein six receiving-mixing tanks 220 are side-by-side, including a tank pump discharge header, generally 260, and encased boron encasements 230 (sized for inner tank side dimension area). Boron encasements 230 provide structural stability and protection from damage and are positioned between fuel salt tanks 220 to prevent nuclear criticality communication between tanks in a given array of tanks in close proximity, such array being considered herein as one subcritical assembly group, generally 266. Boron dividers 230 are backup defense, in depth, against possible criticality. As shown in an exemplary implementation in FIG. 2, the oxide reduction tank is the first tank in the process, wherein a system and process are illustrated which reduces uranium and plutonium oxides to chlorides. After this process, the contents of the reduction tank 210 are pumped over to the mixing and adjustment tank. In one implementation, an isolation valve is provided on the loading hopper. In an exemplary implementation wherein only chloride salts are desired, the salts are prepared in the oxide reduction tank, and, then pulverized, granulated spent fuel is added to the oxide reduction tank, the temperature increase is noted, and oxide reduction is begun by the sparging action of anhydrous hydrogen chloride. After an allowance of time necessary for mixing and water and hydrogen gas removal, toward complete reduction, the contents are pumped to the mixing and adjustment tank for final analysis, certification, and then pumped over to cooling trays. The teaching of the present disclosure includes reducing all oxides, removing oxygen entirely and preventing production of other oxides, ensures an authentic chloride salt fuel, when oxygen is removed from the fuel salt. The result is that substantially the only byproduct from this implementation of the present disclosure is water, which is collected for sampling and released. In an exemplary implementation of the present disclosure, a method is illustrated in FIG. 8 for producing fuel for a fast molten salt reactor, the implementation of the method including: a. providing fuel assemblies, removing fuel pellets containing uranium and all spent fuel constituents, from the fuel assemblies; b. granulating the fuel pellets in a semi-voided atmosphere using a ball mill, roller mill, or chopping mill, for process feed to the chlorination process; c. processing the granular spent fuel salt into chloride salt by ultimate reduction and chlorination of the uranium and associated fuel constituents chloride salt solution, by anhydrous hydrogen chloride (AHCl); d. enriching the granular spent fuel salt with U235, Pu239, or MOX; e. chlorinating the enriched granular spent fuel salt to yield molten chloride salt fuel using AHCl halide salt reduction; f. analyzing, adjusting, and certifying the molten chloride salt fuel for end use in a molten salt reactor; g. pumping the molten chloride salt fuel to stacked arrays of cooling trays or canisters and cooling the molten chloride salt fuel to yield solid salt fuel bars, sticks, or canister solid form; and h. milling the solidified molten chloride salt fuel to predetermined specifications for the fast molten salt reactor. In exemplary implementations, Option B may include, if desired, the spent nuclear fuel being generally permanently stored, then processed into spent fuel salt, and the spent fuel salt used in a fast molten salt reactor, all on a single site having a secured perimeter. Non-limiting example approximate temperatures, times, gas concentrations, materials used to construct the apparatus, and other parameters which are expected to be used are shown in the drawings. FIG. 10 schematically illustrates a site on which components of a system 1000 according to an example implementation of the present disclosure may be located. The system 1000 may include a site 1002 within a secured perimeter 1004, and a limited-access facility 1006 on the site 1002. As described above, the system 1000 may include a number of components 1008, and at least some of these components 1008 of the system 1000 may be located within the limited-access facility 1006. The system 1000 may also include a spent nuclear fuel storage facility 1010 located on the site, and a molten salt reactor 1012 located on the site 1002. Many modifications and other implementations of the disclosure set forth herein will come to mind to one skilled in the art to which this disclosure pertains having the benefit of the teachings presented in the foregoing descriptions and the associated drawings. Therefore, it is to be understood that the disclosure is not to be limited to the specific implementations disclosed and that modifications and other implementations are intended to be included within the scope of the appended claims. Moreover, although the foregoing descriptions and the associated drawings describe example implementations in the context of certain example combinations of elements and/or functions, it should be appreciated that different combinations of elements and/or functions may be provided by alternative implementations without departing from the scope of the appended claims. In this regard, for example, different combinations of elements and/or functions than those explicitly described above are also contemplated as may be set forth in some of the appended claims. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation.
description
This application claims the benefit of priority from U.S. provisional patent application Ser. No. 62/434,530 filed Dec. 15, 2016, the contents of which are hereby incorporated herein by reference. This invention was made with government support under Contract No. DE-NE0008222 awarded by the Department of Energy. The U.S. Government has certain rights in this invention. The present concept relates to methods for testing nuclear power facilities, and particularly to methods of obtaining real-time measurements of fuel and component behavior for meeting licensing requirements. Under current regulations, a nuclear facility must satisfy certain standards before a license to operate the facility or to make a change in a component or the fuel used in a reactor will be issued by the controlling government authority. For example, the current approach for obtaining a license to use a new kind of fuel requires fuel samples to be made and accepted for use in the reactor, a process that usually takes about twelve to eighteen months, and can take much longer when the new fuel to be tested is novel. Sample testing entails designing and manufacturing the test samples and performing the calculations required to allow the samples into a reactor. Testing the samples is carried out in either a test or commercial reactor for a period of time needed to reach the exposure time for the expected cycle length of the new fuel at its final use. If the samples are in a commercial reactor, the exposure time to match the typical cycle length during which fuel is used is at least eighteen and can be twenty-four months or more. However, if the tests are carried out in a test reactor, the exposure time span may be much longer since test reactors typically are not as readily available for use (usually about 50% of the time requested) compared to the availability of a commercial reactor (usually more than 90% of the time requested). There are, however, even greater risks than loss of time in running tests in a commercial reactor, especially for highly novel concepts, because a failed experiment could potentially affect the continued operation of the reactor causing loss of revenue as high as one million dollars (US) per day and endangering the reactor as a whole. After completion of the test cycle, the samples are removed from the reactor for examination. Before the test samples can be examined, a cooling time is required to allow the radioactivity to decay. This cooling period can be as little as 6 months or as long as 18 months depending on shipping requirements. A post irradiation examination has to be performed to obtain data such as thermal conductivity, fuel swelling, fission gas release, and fuel melting point. In order to get the data, the samples need to be taken apart, examined and tested, taking from 3 to 6 months for completion. After obtaining the data, the data is then correlated and used to generate empirical models that are submitted with the test data to the appropriate regulatory body. The Nuclear Regulatory Commission (NRC) in the United States, or its counterpart in other countries, reviews the submitted data and empirical models and either approves the new fuel or initiates a dialog requesting additional data. The data and models are used to demonstrate to the NRC that the operation of the fuel is understood and that there are no adverse consequences to the general population if a reactor uses the new fuel. In theory, an adequate number of samples have been initially installed in the reactor and the data from these multiple samples forms an empirical correlation that is statistically and logically acceptable to the NRC. However, this rarely happens and more often than not additional testing is required to verify data, and obtain new data to disqualify or explain data points that fall too far outside of the empirical correlation. If additional testing is required, the steps described above must be repeated, at a large additional cost and loss of time. The time associated with working with the NRC to demonstrate that a proposed new fuel is acceptable can range from a year to many years. Then, the data from multiple samples is correlated and written in a licensing report submitted to the NRC, which takes another three to six months. The current approach to licensing nuclear fuel or any other nuclear component requires considerable time and expense. There is a need to reduce the time required to obtain a license for a new nuclear fuel at the NRC, without sacrificing the ability to demonstrate efficacy and safety. The problem associated with the length of time it takes to test, gather data, review and approve a new nuclear fuel or other components used in a nuclear facility to obtain a license for its use is addressed by the testing system and methods described herein. A new approach to generating the data and in performing licensing is proposed. This approach utilizes atomistic modeling of nuclear components, such as nuclear fuel performance, to determine component (such as fuel) characteristics that predict in-reactor fuel performance combined with real-time data retrieval to provide real-time validation of the atomistic models. In various aspects, the process for use in securing a license for nuclear components may be characterized as preparing atomistic models to predict predetermined physical properties of at least a portion of a nuclear reactor component, and verifying the models with real-time data collected from samples undergoing testing in the reactor. In various aspects, the process for use in securing a license for nuclear components may be characterized as preparing atomistic models to predict predetermined physical properties of nuclear reactor fuel over at least a portion of the expected cycle length of the fuel, and verifying the models with real-time data collected from fuel samples undergoing testing in a reactor. The real-time data may be selected from the group consisting of pressure, temperature, change in component volume, stress and strain tension, energy, heat capacities, thermal conductivity, fission gas release, fuel swelling, fuel melting point, and combinations thereof. The process may further include comparing the real-time data to the physical property model and adjusting the physical property model to conform to the real-time data. The compared real-time data and the adjusted physical property model, if any, may be used to prepare and submit a final application for license of the fuel a regulatory body. In addition, or in the alternative, the process may further include comparing the real-time data to the physical property model and adjusting the fuel in response to the real-time data. The compared real-time data and the adjusted fuel, if any, may be used to prepare and submit a final application for license of the adjusted fuel a regulatory body. In various aspects, the process described herein may be useful for demonstrating parameters required for licensure of components for use in a nuclear reactor, and may include, generally, modeling at least one physical property of a component and component behavior under conditions of interest in the operation of a nuclear reactor, using the physical property and behavior modeling to prepare and submit a preliminary application for license of the component to a regulatory body responsible for approval of use of the component in a nuclear reactor, preparing component samples, placing the component samples in one or both of an experimental and a commercial nuclear reactor, placing sensors in the nuclear reactor to monitor parameters of interest during operation of the nuclear reactor, operating the nuclear reactor for a predetermined period of time, retrieving data from the sensors in the reactor in real time to measure the parameters of interest, comparing the real-time data to the physical property and component behavior models, optionally adjusting one or both of the physical property and the component behavior models to conform to the real-time data, and using the compared real-time data and the adjusted physical property and component behavior models, if any, to prepare and submit a final application for license of the component to the regulatory body. The regulatory body may be the NRC or a comparable government or civilian body authorized by a foreign country or region or by a consortium of countries and governments. The components, in various aspects, may be nuclear fuel or a safety related component in a nuclear power plant. The parameters of interest may be selected from the group consisting of pressure, temperature, change in component volume, stress and strain tension, energy, heat capacities, thermal conductivity, fission gas release, fuel swelling, fuel melting point, and combinations thereof. The conditions of interest in the operation of a nuclear reactor include normal and accident conditions. The sensors may be one or more of thermocouples, thermo-acoustic sensors, vacuum micro-electronic (VME) devices, spark gap transmitters, strain gauges, motion sensors, melting point sensors, neutron flux sensors, power sensors, and combinations thereof. By eliminating the cooling, post irradiation time and report time required in conventional licensing approaches, a savings of about twelve to eighteen months can be made. In addition, because the samples are generating data in real time and non-destructively, there would be a much larger data pool, tests could be stopped if there was an indication of a malfunction and the number of tests and samples could be dramatically reduced. Since the NRC licensing pursuit would be performed in parallel with the validation testing, the time to license would be further reduced. The approach to licensing described herein may be used for any component requiring NRC or foreign government licensing. In the case of fuel, by way of example, utilization of atomistic modeling of nuclear fuel performance, determining fuel characteristics to predict in-reactor fuel performance, and preliminary licensing with the NRC combined with real-time data retrieval to provide real time validation of the atomistic models is proposed as a new approach for licensing nuclear fuel. Similarly, in the case of a new component, the atomistic modeling looks to ways in which the new components would be expected to affect fuel performance and reactor operations. As used herein, the singular form of “a”, “an”, and “the” include the plural references unless the context clearly dictates otherwise. Thus, the articles “a” and “an” are used herein to refer to one or to more than one (i.e., to at least one) of the grammatical object of the article. By way of example, “an element” means one element or more than one element. Directional phrases used herein, such as, for example and without limitation, top, bottom, left, right, lower, upper, front, back, and variations thereof, shall relate to the orientation of the elements shown in the accompanying drawing and are not limiting upon the claims unless otherwise expressly stated. In the present application, including the claims, other than where otherwise indicated, all numbers expressing quantities, values or characteristics are to be understood as being modified in all instances by the term “about.” Thus, numbers may be read as if preceded by the word “about” even though the term “about” may not expressly appear with the number. Accordingly, unless indicated to the contrary, any numerical parameters set forth in the following description may vary depending on the desired properties one seeks to obtain in the compositions and methods according to the present disclosure. At the very least, and not as an attempt to limit the application of the doctrine of equivalents to the scope of the claims, each numerical parameter described in the present description should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any numerical range recited herein is intended to include all sub-ranges subsumed therein. For example, a range of “1 to 10” is intended to include any and all sub-ranges between (and including) the recited minimum value of 1 and the recited maximum value of 10, that is, having a minimum value equal to or greater than 1 and a maximum value of equal to or less than 10. The improved testing and data gathering method will be described herein with reference to testing a new fuel, as an exemplary component to be tested for licensure purposes. The method includes generally: 1. Generating models for the new system; 2. Making samples and getting them accepted for a reactor; and 3. Testing the samples in an experimental, test or commercial reactor until the exposure time is reached for the expected cycle length of the fuel at final use. To generate models for a new fuel system, atomic scale modeling of the fuel system using high power computing and software code that describes the behavior of fuel are employed. Suitable coding has recently become available. Suitable known high power computing systems may be used for testing nuclear fuels and other components in a nuclear reactor. In parallel with the experimental tests, the high power computing and suitable coding capabilities may be used to make preliminary license applications to the NRC to demonstrate that the use of the new fuel does not increase the risk of exposure to radioactivity to the general population over what is currently an acceptable level. The NRC looks at several parameters, such as pressure, temperature, fuel pellet swelling, and system concerns, such as the reactor and fuel rod response to changes. In parallel with the modeling and preliminary application tasks in the first step, test samples may be designed and manufactured and the calculations required to allow them into the reactor may be performed. For example, neutronic calculations and calculations to determine if centerline melt will occur in the fuel pellet during normal and off-normal operations and to determine if the cladding will be physically challenged due to expansion of the pellet, among others may be done. For test reactors, the owner of the reactor may review the calculations before allowing its reactor to be used to test the new component. For commercial reactors, the NRC would review the calculations before allowing the new component to be tested. These tasks can still take about twelve to eighteen months to complete under the best circumstances, and may require much longer time spans where the sample to be tested is novel. Those in the nuclear power industry are familiar with the regulatory requirements. For example, requirements for approval in the United States to test and to obtain a license for commercial use are set for in Title 10 of the Code of Federal Regulations and related administrative guidelines and decisions, and governing statutes, as any of the same may be amended from time to time. In atomistic modeling, the goal is to understand and model the behavior of each atom in the material. The collective behavior of the atoms provides an understanding of the way in which the material undergoes deformation, phase changes and other phenomena in a nuclear reactor. Molecular dynamics simulations generate information at the microscopic level, such as the atomic positions, velocities, and forces. Conversion of the microscopic information to macroscopic observable information (such as pressure, stress and strain tension, energy, heat capacities, thermal conductivity, fission gas release, fuel swelling and fuel melting point, etc.) employs statistical methods. Atomic modeling allows predictions over a broader range, as opposed to current testing and analysis, which is limited in that it is an extrapolation of specific test conditions at a specific time. Those skilled in the art know, or can readily determine how to calculate the values of interest. For example, the ideal gas law is used to calculate fission gas release by calculating the pressure in the fuel rod and difference between the starting volume of the fuel pellets and the final volume, after swelling. Based on neutronic calculations, it is known how many fissions there should be, and one can calculate how much gas should be released. The vessel pressure is a measure of how much gas was in fact released. From the actual pressure and the change in volume, the actual fission gas release can be calculated. Thermal conductivity is a measure of a material's ability to conduct heat, and may be shown as H = Δ ⁢ ⁢ Q Δ ⁢ ⁢ t = kA ⁢ ⁢ Δ ⁢ ⁢ T / x ,where Δ ⁢ ⁢ Q Δ ⁢ ⁢ t or q, is me raw or neat How, k is thermal conductivity, A is the total cross sectional area of the conducting surface, ΔT is temperature difference, and x is the thickness of conducting surface separating the two temperatures. Under current testing approaches, to determine thermal conductivity, k, the temperature of the centerline of the fuel pellets (Tcenterline) is determined by taking apart the fuel rod, and measuring the temperature of the top pellets at the boundary and at the center. The top pellets are the hottest since the coolant flows from the bottom to the top to exit the fuel rod, increasing in temperature as in rises. The boundary temperature (Tsurface) is the coolant temperature. By measuring the centerline temperature, the thermal conductivity can be determined by using the difference between centerline and boundary temperatures and multiplying by 4*k/r2, where q is the rate of heat generation, k is thermal conductivity, and r is the radius of the pellet. An exemplary equation for calculating thermal conductivity in a nuclear fuel rod, using UO2 as the fuel is shown below.q=(Tcenterline−Tsurface)*4*kUO2/r2 kUO2=q*r2/4/(Tcenterline−Tsurface)Known r=0.4095 cmMeasured Tcenterline=2227° C.Measured Tsurface=400° C.Measured Power=q=22.5 kw/ft=1402 w/cm3 Calculated kUO2=0.032 w/cm/° C. Similar to thermal conductivity which can be calculated using atomistic modeling and verified with measurements as above, fuel performance parameter such as, for example the percentage of fission gas released from the fuel pellet, can be measured and compared to the value predicted beforehand by atomistic modeling. Atomistic modeling involves the thermo-mechanical modelling of the heterogeneous fuel material and is based on the homogenization theory with mean-field and/or full-field approaches. The mean-field approach is a simplified geometry assumed for each phase of the heterogeneous material and spatial distributions of thermo-mechanical fields in each phases are described through mean values. Constitutive equations of a macroscopic law can be easily derived by those skilled in the art. A detailed description of the heterogeneous material is achieved within a representative elementary volume (REV) using a numerical model (finite elements or grid). The spatial distribution of thermo-mechanical fields is computed for each cell of the geometrical discretization and can be used to build a mean-field model. Application of the REV scheme, for example, can be used to describe the grain structure of the fuel. The viscoplastic behavior of the grain aggregates strongly depends on the grain orientation. It is necessary to integrate the microstructure effects in fission gas release models and codes in order to improve the modelling of in-pile fuels. Modelling the evolution of the microstructure under in-pile or ion irradiation conditions requires populations of point defects, defect clusters and fission gas atoms and bubbles, all essential since these populations control a large number of properties and the macroscopic evolution of the material. Computer code, such as MARGARET code within the PLEIADES platform (see, Michel Freyss, Multiscale Modelling of Nuclear Fuels under Irradiation, Materials Innovation for Nuclear Optimized Systems Workshop, Centre of Excellence for Nuclear Materials, Dec. 5-7, 2012, Commissariat à l'energie atomique et aux energies alternatives (CEA-INSTN), Saclay, France), may be used to show that most observations are correctly simulated (e.g., gas concentration, gas release, swelling, porosity) but some phenomena are modelled empirically, e.g. bubble sink strength and bubble size distribution. A rate theory model, such as cluster dynamics may be used for as the comprehensive framework to calculate defect and defect cluster (cavities/bubbles/dislocation loops) concentrations over time, with time scale and length scale appropriate for fuel study. For intragranular phenomena, code such as the MARGARET code and cluster dynamics describe the same scales for study using cluster dynamics of intragranular fission gas behavior. Atomic positions are not considered for spatial averaging. A set of differential equations on species concentrations may be used for calculation of defect and defect clusters (cavities/bubbles/interstitial loops) concentrations over time. For equations solved numerically, the maximum size of clusters is limited by computational resources. See S. C. Middleburgh et al., Non-stoichiometry in U3Si2, Journal of Nuclear Materials, Vol. 482, pp. 300-305 (2016). When integrated with theory and experiment, modeling and simulation enhances opportunities for new insights into complex phenomena occurring in nuclear reactors, advanced modeling and simulation is recognized as having the ability to improve the performance and safety of nuclear energy. The nuclear energy advanced modeling and simulation (NEAMS) technology has relatively recently been developed and provides new tools for performance and safety improvement. Included in the NEAMS tool kit are, among others, three fuel codes, known as MOOSE-BISON-MARMOT codes to provide advanced, multiscale fuel performance capability. MARMOT provides atomistic, mesoscale material modeling. It predicts microstructure evolution in fuel and is used with atomistic methods to develop multiscale material models. MOOSE provides a multiscale object-oriented simulation environment providing a simulation framework that allows rapid development of FEM-based applications. BISON is an advanced 3-D fuel performance code that models light water reactors, tristructural-isotropic (TRISO) and metal fuels in two and three dimensions and in steady and transient reactor operations. Other available software packages include tools for solving transport questions, reactor kinetics, isotopic depletion, fluid dynamics, thermo mechanics, structural mechanics and seismic analysis. Information about these and other simulation models are available from the U.S. Department of Energy, nuclear energy division. BISON is applicable to a variety of fuel forms, essentially any geometry, and can be easily modified to include new material models. It can also be readily coupled to lower length scale codes such as MARMOT and thus has the potential to take a more mechanistic and less empirical approach to modeling. This allows BISON to better predict phenomena associated with advanced fuel/cladding systems where limited data may be available for verification. BISON is a modern finite element based nuclear fuel performance code that has been under development at the Idaho National Laboratory (INL) since 2009. BISON is built using INL's Multiphysics Object Oriented Simulation Environment or MOOSE. MOOSE is a massively parallel, finite element based framework, which solves systems of coupled non-linear equations using the Jacobian-Free Newton Krylov (JFNK) method. MOOSE supports the use of one, two, and three-dimensional meshes, which allows BISON to simulate coupled multiphysics and multiscale fuel behavior in either 1D spherically symmetric, 2D axisymmetric or 3D geometries. The object-oriented architecture of the code minimizes the time and programming required to add new material and behavior models. An example of atomic modeling using BISON is described in K. E. Metzger et al., Model of U3SI2 Fuel System Using Bison Fuel Code, Proceedings of ICAPP, Apr. 6-9, 2014. Several empirical or semi-empirical models of fission gas retention and release in UO2 nuclear fuel have been developed. See D. A. Andersson et al., Atomistic modeling of intrinsic and radiation-enhanced fission gas (Xe) diffusion in UO2±x: Implications for nuclear fuel performance modeling, Journal of Nuclear Materials, vol. 451, pp. 225-242 (2014); citing M. V. Speight, Nuclear Science Engineering, Vol. 37, pp. 180-185 (1969) and A. H. Booth, A method of calculating gas diffusion from UO2 fuel and its application to the X-2-f test, Technical Report AECL, 496 CRDC-721, Atomic Energy of Canada, Ltd. (1957). The fuel element under irradiation is submitted to a wide variety of coupled phenomena involving among others temperature, mechanical load, radiation damage, chemical interaction between the material and the fission products. Another modeling code is provided by the PLEIADES fuel performance software environment developed by CEA, which can predict the behavior of standard or innovative fuel elements under various operating conditions. Basic research on fuel materials focuses on the evolution under irradiation of the microstructure, the transport properties of defects, fission products, helium, as well as their thermochemistry. The overall strategy for a multiscale modeling scheme of nuclear fuels. First-principles electronic structure calculations used to get insight into the atomic transport properties of point defects and classical molecular dynamics may be used to model the ballistic damage created by the recoil of fission products (see G. Martin, P. Garcia, L. Van Brutzel, B. Dorado, S. Maillard, Nuclear Instruments and Methods, Physics Research B 269, 1727 (2011)). The multiscale modeling approach will be coupled with testing during cycle time exposure. Separate effects experiments are guides to inform modeling, and provide tools to validate the approximations of the modeling methods. The process of fission produces a range of fission products, the smaller nuclei produced when a heavy isotope splits, most often after a neutron capture. These fission products increase in concentration within the fuel as bum-up of the fissile material progresses. In the high bum-up rim region of UO2 pellets, a restructuring of the material occurs, named high bum-up structure. In UO2, this restructuring is characterized by sub-micron sized grains with high porosity. See I. Ray, H. Matzke, H. Thiele and M. Kinoshita, Journal of Nuclear Materials, vol. 245, no. 2-3, pp. 115-123, (1997). In order to assess an isotopic composition of the fuel pellet at various distances from the pellet center, a single pin cell model was created in the deterministic, 2D geometry, code HELIOS. HELIOS allows for solving the neutron transport equation while also performing fuel bum-up calculations. The U:Si ratio as a function of bum-up has been calculated as a function of bum-up at different radii from the center of the pellet to the pellet periphery (up to 60 MWd/kgU) using HELIOS. HELIOS has been successfully used to model the stoichiometry variation and the fission product concentration in U3Si2 as a function of bum-up in light water reactor conditions. In the third step, the samples may be tested in either a test reactor or a commercial reactor until the exposure time is reached for the expected cycle length at final use under normal operating conditions. If the samples are in a commercial reactor, the exposure time is at least eighteen to twenty-four months, the typical cycle length for fuel use. If the tests are carried out in a test reactor, this ultimate time span may be longer if the wait time to gain access to the test reactor is factored in. The actual run time for the reactor to match as closely as possible to normal reactor cycle times would be about eighteen to twenty-four months. The new samples may preferably be made while incorporating various probes and/or sensors and monitors in the test reactor that allow real-time monitoring and transmission of the data from a fuel rod to a data receiver for instantaneous analysis. Thermo-couples may be used to measure temperature at various stages in the reactor exposure cycle. In various aspects, another suitable monitor may be a thermo-acoustic sensor (TAS). TAS is a device that produces sound waves of an assigned frequency, proportional to temperature, with an amplitude (i.e., loudness) proportional to the fission heat input without a need for applied electrical power or signal transmission cables. Acoustic output signals are measured outside the reactor vessel. TAS monitors may be placed inside the reactor core. For example, multiple TAS monitors may be placed inside the instrumentation thimble in every fuel assembly, each operating at a specific frequency. The use of TAS improves operating power margins by enabling a continuous 3-D power distribution measurement. TAS monitors are passively “powered” by heat induced by gamma or neutron flux (electrically-heated stack in our case). Gas in the resonance chamber is heated and generates oscillating pressure waves with frequency and amplitude dependent upon the operating conditions experienced by the TAS device itself (heat flux and temperature). The pressure waves are transmitted outside of the resonator and are measured by an ad-hoc network of receivers (such as, accelerometers), which are mounted on the outside of the reactor vessel. A thermoacoustic software package, commercially available under the mark DeltaEC, may be used to predict amplitude and frequency of the acoustic signal generated by the resonator. The TAS body vibrations predicted by the DeltaEC model are then used as input conditions in a coupled structural-acoustic finite-element model of the vessel. The results of a set of simulations include the vibration anticipated on the outside wall of the vessel. Those skilled in the art can create similar software to monitor sensor data, analyze the data, and predict component properties during continued operation of the reactor. Other monitors may include vacuum micro-electronic (VME) devices and spark gap transmitters. VME devices include radiation and temperature tolerant electronics capable of being configured as an oscillator or multi-stage wireless transmitter circuit. Thermionic vacuum devices, in which charge transport through the vacuum is accomplished by thermonically emitted electrons, are well suited for extreme environments due to their intrinsic internal high temperature operation and radiation hardness. Spark gap transmitters consist of simple induction capacitance network and produce a pulsed signal with a period equal to the time it takes for a first capacitor to be charged by a self-powered neutron flux detector. A signal is transmitted through an antenna when the voltage across a first capacitor is greater than the breakdown voltage of the spark gap device. Each transmitted pulse can carry up to four pieces of information based on its transmission frequency, pulse interval, modulated frequency, and damped decay rate. A variety of fuel parameters can be measured and the measurements can be translated to the various pulse parameters of each device. The pulse transmission frequency is selectable and will be different on each device allowing many hundreds of such devices to operate concurrently. Information emitted from the transmitters is received at discrete times (not continuously), typically once per second. Alternatively, monitoring may be accomplished between refueling outages in a non-destructive manner utilizing remote means such as gamma ray tomography. Combined with real-time data such as centerline fuel temperatures that can be used to determine the thermal conductivity of the fuel, fuel rod pressure that can be used to determine fission gas release and pellet stack height that can be used to determine axial swelling, the predictions based on the models can be verified or if the values are different, the models can be changed to better represent the actual values. The difference at this step from the current approach is that the test data will be available on a real time basis, rather than waiting for the completion of the fuel cycle time. FIG. 1 illustrates the new testing approach and compares the timelines of the current and improved approaches. The data can be used as soon as it is received to validate the atomic scale models used in the preliminary licensing applications. If the data does not match predictions, then the models can be re-evaluated and new models generated to incorporate the actual data from the ongoing tests and new predictions made as to future data readings which can then be used to validate or invalidate the new models. The new approach preferably also includes communication with the NRC at a much earlier time in the process than can currently occur because the test date is available for analysis and review much faster, and additional testing requests may be complied with in the first test reactor cycle rather than waiting for completion of the cycle and then having to begin a new testing cycle. Presuming that validation is finally achieved; the models for the new system and the license application can be approved by the NRC at the completion of the first in-reactor testing, without the need for a second round of in-reactor testing. In addition to considerable time savings, by several years, fewer samples will need to be made and tested. For instance, if data recovered at 6, 12, 18 and 24 months is required, instead of the making 4 samples (presuming no duplicates are required), only one sample is needed since the data can be obtained at all the data points without destructive examination of the sample. Also, if a sample is failing, the failure will be recognized early in the experimental period and a new sample can then be made to replace the failed sample with a minimum of lost experimental time. Finally, the NRC will preferably be involved from the early stages of the work and will have the ability to point out issues of concern early in the product development and testing stage, thereby reducing the time involved in repeating experiments at different conditions. This concept combines (1) real-time data transmission from inside operating nuclear fuel using wireless or wired transmission or remote sensing and (2) atomic scale modeling to dramatically impact the cost and time involved in testing and licensing processes. The proposed method of testing enables a new approach to the NRC where the NRC can make requirements changes in real time in light of real time test data and modelling predictions with a more rigorous background than a collection of data points. This approach to testing and licensing will reduce time, development costs and increase the returns on investment involved in generating and commercializing new fuel and other nuclear component concepts. For instance, the manufacture of six fuel pins for testing in a recent program consumed millions of private industry and government dollars and took two years. Then, the manufacture and testing times ranged from four to eight years. The final costs will be much higher. If at the end of the development process, the NRC wants additional testing and data, or if the data does not come out well, then the development process must be repeated. As the length of time between the start of the development and the product introduction increases, the return on investment decreases due to the discount rate over time, so much so that it makes introduction of more than the most trivial of change almost economically impossible. By dramatically shortening the testing time and decreasing the risks involved in having to repeat testing and delay new product introduction, the proposed new testing and licensure method increases the likelihood of introducing new technology in an economically sound way, and incremental improvements in safety have a much greater chance of reaching commercial application. The present invention has been described in accordance with several examples, which are intended to be illustrative in all aspects rather than restrictive. Thus, the present invention is capable of many variations in detailed implementation, which may be derived from the description contained herein by a person of ordinary skill in the art. All patents, patent applications, publications, or other disclosure material mentioned herein, are hereby incorporated by reference in their entirety as if each individual reference was expressly incorporated by reference respectively. All references, and any material, or portion thereof, that are said to be incorporated by reference herein are incorporated herein only to the extent that the incorporated material does not conflict with existing definitions, statements, or other disclosure material set forth in this disclosure. As such, and to the extent necessary, the disclosure as set forth herein supersedes any conflicting material incorporated herein by reference and the disclosure expressly set forth in the present application controls. The present invention has been described with reference to various exemplary and illustrative embodiments. The embodiments described herein are understood as providing illustrative features of varying detail of various embodiments of the disclosed invention; and therefore, unless otherwise specified, it is to be understood that, to the extent possible, one or more features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments may be combined, separated, interchanged, and/or rearranged with or relative to one or more other features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments without departing from the scope of the disclosed invention. Accordingly, it will be recognized by persons having ordinary skill in the art that various substitutions, modifications or combinations of any of the exemplary embodiments may be made without departing from the scope of the invention. In addition, persons skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, many equivalents to the various embodiments of the invention described herein upon review of this specification. Thus, the invention is not limited by the description of the various embodiments, but rather by the claims.
claims
1. A fuel channel for housing a fuel rod bundle in a boiling water nuclear reactor, the fuel channel comprising:a bottom section having a first external four-sided perimeter, the bottom section extending uniformly to a bottom of the fuel channel; andan expanded section cooperable with the bottom section and having a second external four-sided perimeter, the expanded section extending uniformly from atop the bottom section to a top of the fuel channel, wherein a linear length of only two sides of the second perimeter is greater than a linear length of a corresponding two sides of the first perimeter, and wherein an internal area of a cross-section of the expanded section is greater than an internal area of a cross-section of the bottom section. 2. A fuel channel according to claim 1, wherein a transition between the bottom section and the expanded section is one of a step change, a simple radius, or a gradual angular change. 3. A fuel channel according to claim 1, wherein the fuel channel is without channel spacers or a fastener guard. 4. A fuel channel according to claim 1, wherein the expanded section comprises a top section of the fuel channel extending from below an upper tie plate matrix, thereby creating additional flow area and reducing a pressure drop. 5. A fuel channel according to claim 1, wherein the expanded section comprises a top section of the fuel channel, and wherein the expanded section includes a plurality of slots therein. 6. A fuel channel according to claim 5, wherein the slots define at least one finger spring.
claims
1. A safety jacket, comprising:a jacket, comprising an adjustable securing means for attachment to a user;a parachute assembly mounted thereto a rear portion thereof said jacket;a deployment means for deploying said parachute assembly;wherein said emergency safety jacket provides protection thereto said user upon forceful ejection therefrom a vehicle;wherein said jacket further comprises:a jacket shell, comprising a padded garment having a bifurcated front portion and a pair of sleeve attachment portions;a pair of sleeves each removably attachable thereto one of said pair of sleeve attachment portions;a front closure means with a pull tab for conjoining said bifurcated front portion; and,a mounting plate integrally sewn thereinto a rear face of said jacket shell and affixed thereto using a plurality of mounting plate fasteners;wherein said jacket shell and said pair of sleeves further comprises a protective material protecting said user from abrasion, fire, and forceful impact;wherein said pair of sleeves extend thereto a wrist of said user;wherein said front closure means provides a weatherproof securing means of said jacket shell thereto said user; and,wherein said parachute assembly is affixed thereto said mounting plate. 2. The safety jacket of claim 1, wherein said protective material comprises at least one of the following list: laminated textiles, basalt fabric, fiberglass cloth, glass wool, foil, stone wool, an inner leather layer, and an outer leather layer. 3. The safety jacket of claim 1, further comprises a plurality of abrasion inserts sewn-in along an external or an internal laminated surface of said jacket shell and said pair of sleeves. 4. The safety jacket of claim 1, wherein said adjustable securing means further comprises a plurality of closure buckles located on opposing outer surfaces thereof said bifurcated front portion thereof said jacket shell;wherein said plurality of closure buckles are horizontally configured at equal vertical locations thereon said jacket shell;wherein mating of opposing portions thereof said plurality of closure buckles provide maximum closure strength thereto said jacket shell being capable of withstanding anticipated tensile forces thereto said jacket shell resulting from deployment thereof said parachute assembly; and,wherein said plurality of closure buckles provides a weatherproof securing means of said jacket shell thereto said user. 5. The safety jacket of claim 1, wherein said parachute assembly further comprises:a parachute pouch having an inner surface fastened thereto said mounting plate and said jacket shell thereby said plurality of mounting plate fasteners;a plurality of rupture seams located on an outer surface thereof said parachute pouch, comprising weakened intersecting linear regions of a reduced thickness respective thereto a remainder of said parachute pouch;a propellant mechanism in electrical communication therewith said deployment means; and,a parachute stored therewithin said parachute pouch, comprising a canopy supported by a plurality of suspension lines attached thereto said propellant mechanism deployable thereby said propellant mechanism;wherein said parachute comprises dimensions thereby producing a desired air-resistance force so as to decelerate said user. 6. The safety jacket of claim 5, wherein said propellant mechanism further comprises:a propellant canister comprising a volume of propellant; and,an igniter module portion thereof said propellant canister affixed thereto said mounting plate and in electrical communication therewith said deployment mechanism;wherein said igniter module ignites said volume of propellant, which produces an expansion of air entering said parachute canopy, which in turn propels said parachute canopy therethrough said plurality of rupture;wherein said parachute canopy is propelled therein a rearward direction therefrom said user. 7. The safety jacket of claim 6, wherein said volume of propellant is a solid fuel propellant. 8. The safety jacket of claim 5, wherein said deployment mechanism further comprises an electro-mechanical device mounted thereto a chest area of said jacket shell, thereby providing an interface means required to initiate a timely deployment of said parachute assembly. 9. The safety jacket of claim 8, wherein said deployment mechanism further comprises:a release lever in mechanical communication therewith an electrical switch;a power source in electrical communication therewith said electrical switch;wherein said release lever is in an easily grasping location, thereby enabling rapid manipulation thereof; and,wherein activation of said release lever closes said switch, thereby conducting an electrical current thereto said propellant mechanism to deploy said parachute. 10. The safety jacket of claim 9, wherein said release lever comprises a forwardly extending oval-shaped handle having a pivoting lower hinge. 11. A safety jacket, comprising:a jacket shell, comprising a padded garment having a bifurcated front portion and a pair of sleeve attachment portions, further comprising:a front closure means with a pull tab for conjoining said bifurcated front portion;a mounting plate integrally sewn thereinto a rear face of said jacket shell and affixed thereto using a plurality of mounting plate fasteners;a plurality of closure buckles located on opposing outer surfaces thereof said bifurcated front portion thereof said jacket shell horizontally configured at equal vertical locations thereon said jacket shell; and,a pair of sleeves each removably attachable thereto one of said pair of sleeve attachment portions and extending thereto a wrist thereof a user;wherein said jacket shell and said pair of sleeves further comprises a protective material protecting said user from abrasion, fire, and forceful impact; and,wherein said front closure means and said plurality of closure buckles provide a weatherproof securing means of said jacket shell thereto said user;wherein said emergency safety jacket provides protection thereto said user upon forceful ejection therefrom a vehicle; and,wherein mating of opposing portions thereof said plurality of closure buckles provide maximum closure strength thereto said jacket shell being capable of withstanding anticipated tensile forces thereto said jacket shell resulting from deployment thereof said parachute assembly;a parachute assembly, further comprising:a parachute pouch having a inner surface fastened thereto said mounting plate and said jacket shell thereby said plurality of mounting plate fasteners;a plurality of rupture seams located on an outer surface thereof said parachute pouch, comprising weakened intersecting linear regions of a reduced thickness respective thereto a remainder of said parachute pouch;a propellant mechanism; and,a parachute stored therewithin said parachute pouch, comprising a canopy supported by a plurality of suspension lines affixed thereto said propellant mechanism; and,wherein said parachute comprises dimensions thereby producing a desired air-resistance force so as to decelerate said user;a deployment means for deploying said parachute, comprising an electro-mechanical device in electrical communication therewith said propellant mechanism mounted thereto a chest area of said jacket shell, thereby providing an interface means required to initiate a timely deployment of said parachute assembly and further comprising:a release lever in mechanical communication therewith an electrical switch;a power source in electrical communication therewith said electrical switch;wherein said release lever is in an easily grasping location, thereby enabling rapid manipulation thereof; and,wherein activation of said release lever closes said switch, thereby conducting an electrical current thereto said propellant mechanism to deploy said parachute. 12. The safety jacket of claim 11, wherein said protective material comprises at least one of the following list: laminated textiles, basalt fabric, fiberglass cloth, glass wool, foil, stone wool, an inner leather layer, and an outer leather layer. 13. The safety jacket of claim 11, further comprises a plurality of abrasion inserts sewn-in along an external or an internal laminated surface of said jacket shell and said pair of sleeves. 14. The safety jacket of claim 11, wherein said propellant mechanism further comprises:a propellant canister comprising a volume of propellant; and,an igniter module portion thereof said propellant canister affixed thereto said mounting plate and in electrical communication therewith said deployment mechanism;wherein said igniter module ignites said volume of propellant, which produces an expansion of air entering said parachute canopy, which in turn propels said parachute canopy therethrough said plurality of rupture;wherein said parachute canopy is propelled therein a rearward direction therefrom said user. 15. The safety jacket of claim 14, wherein said volume of propellant is a solid fuel propellant. 16. The safety jacket of claim 11, wherein said release lever comprises a forwardly extending oval-shaped handle having a pivoting lower hinge.
051480328
claims
1. A radiation emitting device for irradiating an object with a radiation beam, said radiation emitting device comprising: a radiation source for generating a radiation beam, said source including a pulse generating unit for generating pulses and wherein variation of the pulse repetition frequency of said pulses varies the dose rate of said radiation beam; an aperture plate arrangement located in the trajectory of said radiation beam between said radiation source and said object and including a plurality of plates for determining a radiation field at said object; a drive control unit coupled to said aperture plate arrangement for moving at least one plate of said plurality of plates at a constant speed; a dose rate measuring unit located in the trajectory of said radiation beam for measuring the dose rate of said radiation beam; and a dose control unit coupled to said radiation source, said dose rate measuring unit and to said drive control unit for varying the pulse repetition rate of said pulses, and hence the dose rate of said radiation beam during irradiation in correlation with the movement of said plate at constant speed. said dose rate controller includes means for providing preset values of dose rate for said radiation beam in correlation with movement of said at least one plate of said aperture plate arrangement. during movement of said aperture plate said dose rate control unit calculates the deviation between said preset values of dose rate and measured values of dose rate provided by said dose rate measuring unit, for providing a control signal to said pulse control unit which controls its pulse repetition frequency. a drive unit coupled to move said plate; a sensing device coupled to said plate for sensing its position; and a motor controller coupled to said drive unit and said sensing device for controlling the movement of said plate in a predetermined manner. 2. The radiation emitting device according to claim 1, wherein: 3. The radiation emitting device according to claim 2, wherein: 4. A radiation emitting device according to claim 3, wherein said pulse control unit generates trigger pulses, and wherein said dose control unit generates signals for varying the pulse repetition frequency of said trigger pulses in correlation with the movement of said plate. 5. A radiation emitting device according to claim 1, wherein said drive control unit comprises:
abstract
Among the various aspects of the present disclosure is the provision of systems for producing radioisotopes and improving the specific activity of radioisotopes (e.g., Cu-64 chloride). As described herein, the system includes a target material area or target material shape that matches the proton beam strike area or proton beam strike shape, resulting in optimal thickness with less target material required.
047755091
abstract
A grid for use in a PWR assembly includes a peripheral girdle and at least two sets of parallel plates. The plates of one set are at an angle with respect to those of the other set so that the sets defined cells for the fuel rods. The parallel plates are distributed in at least two beds spaced apart in the longitudinal direction of the assembly. In a fuel assembly having a triangular array of fuel rods, three successive beds of mutually parallel plates are provided.
description
(First Embodiment) A first embodiment of the present invention will be described in the following based on FIG. 1. 1 is a collimator, and an external thread is cut on a housing attachment side, having a diameter the same as that of the internal thread at the mounting point of the housing. On the opposite side to the housing attachment side, an external left-hand thread is provided coaxial with the external thread. 2 is a cylindrical jig for attachment and detachment with an internal left-hand thread having the same diameter as the external left-hand thread on the collimator. The cross-sectional area of the jig 2 is smaller than the entrance area of the housing, and smaller than the area around the collimator mounting point, and it is sufficiently long for the operator to reach and work easily. For attachment, the collimator is screwed anticlockwise into the jig for attachment and detachment until it stops. Here, static frictional force is acting between the external left-hand thread of the collimator and the internal left-hand thread of the jig. The external thread of the collimator is then screwed clockwise into the internal thread of the housing, using the grip part of the jig for attachment and detachment. Here, the collimator is screwed into the housing until the dynamical frictional force acting between the external thread of the collimator and the internal thread of the housing exceeds the static frictional force. At the instance when the dynamical frictional force exceeds the static frictional force, screwing of the collimator and the housing is terminated, but if rotation in the clockwise direction is continued, the left-hand threads on the collimator and the jig become disengaged due to the effect of the static frictional force between the collimator and the housing, and so only the collimator can be attached to the housing section easily. On the contrary, to detach the collimator from the housing, the internal left-hand thread of the jig is aligned with the position of the external left-hand thread of the collimator and rotation in an anticlockwise direction is continued, then the internal left-hand thread of the jig becomes screwed into the external left-hand thread of the collimator by the effect of the static frictional force between the external thread of the collimator and the internal thread of the housing. The The screwing is terminated when dynamic frictional force exceeds the static frictional force, but if rotation in the anticlockwise direction is continued, the threads on the collimator and the jig become disengaged due to the effect of the static frictional force between the collimator and the housing, and can be detached. Before and after the attachment and the detachment, the collimator and the jig are fixed by the thread, so that accurate carriage of the collimator and accurate positional alignment become possible. Also, as shown in FIG. 3A, the left-hand thread can be provided between the collimator 7 and the housing 8, and the thread can be provided between the collimator 7 and the jig 6. As shown in FIG. 3B, for the threads between the collimator 10 and the housing 11, the internal thread can be provided on the collimator side, and the external thread can be provided on the housing side. The relationship between the threads of the collimator and the housing in the first embodiment of this invention can be applied to the collimator and X-ray generator attachment and detachment mechanism. Additionally, the relationship between the threads of the collimator and the housing in the first embodiment of this invention can be applied to the collimator and X-ray detector attachment and detachment mechanism. (Second Embodiment) A second embodiment of the present invention will be described in the following based on FIG. 4. A notch for an indentation is placed at a point symmetrical with a certain point on the outer periphery of the collimator with respect to a central point of the collimator. A hook of the projection section is also provided on the opposite side to the side having the internal thread of the cylindrical jig for attachment and detachment 2, so as to be lined up with the indentation. After attaching the collimator to the housing, it is possible to tighten up by aligning the projection of the jig with the indentation of the collimator and rotating in a clockwise direction. This tightening mechanism is also applicable when the projection is provided on the collimator, and the indentation is provided on the jig. The mechanism for tightening the threads of the collimator and the housing in the second embodiment of this invention can be applied to tightening of the collimator and the X-ray generator. Additionally, the mechanism for tightening the threads of the collimator and the housing in the second embodiment of this invention can be applied to tightening of the collimator and the X-ray detector. In the following, the first embodiment according to the invention will be explained referring to the drawings.
claims
1. A radiation projection detector for generating signals in response to a radiation beam, the detector comprising a first imager, the first imager having:a conversion layer configured to generate light photons in response to a radiation;a photo detector array aligned with the conversion panel, the photo detector array comprises a plurality of lines of detector elements, each of the detector elements configured to generate a signal in response to the light photons received from the conversion layer; andan access circuit coupled to the photo detector array and configured to collect signals from two or more of the lines of detector elements simultaneously, wherein the access circuit is further configured to maintain the collected signals separated from each other to thereby allow the signals be individually processed. 2. The detector of claim 1, wherein the first imager further having a signal processing circuit coupled to the access circuit and configured to generate image data using the signals received by the access circuit. 3. The detector of claim 1, wherein the access circuit is configured to collect signals from two of the lines of detector elements simultaneously. 4. The detector of claim 1, wherein the access circuit is configured to collect signals from four of the lines of detector elements simultaneously. 5. A radiation projection detector for generating signals in response to a radiation beam, the detector comprising a first imager, the first imager having:a conversion layer configured to generate light photons in response to a radiation;a photo detector array aligned with the conversion panel, the photo detector array comprises a plurality of lines of detector elements, each of the detector elements configured to generate a signal in response to the light photons received from the conversion layer;an access circuit coupled to the photo detector array and configured to collect signals from two or more of the lines of detector elements simultaneously; anda second imager positioned adjacent to the first imager. 6. The detector of claim 5, wherein an edge of the second imager is positioned below an edge of the first imager. 7. The detector of claim 5, wherein an edge of the second imager is positioned next to an edge of the first imager. 8. The detector of claim 5, wherein the access circuit is further configured to collect signals from two or more of the lines of detector elements of the second imager simultaneously. 9. The detector of claim 5, wherein the access circuit is further configured to collect signals from both the first and the second imagers simultaneously. 10. The detector of claim 1, wherein the plurality of lines of detector elements comprises a plurality of rows or columns of detector elements. 11. A radiation projection detector for generating signals in response to a radiation beam, the detector comprising a first imager, the first imager having:a photoconductor layer configured to generate a charge in response to a radiation;a detector array aligned with the photoconductor layer, the detector array comprises a plurality of lines of detector elements, each of which configured to generate a signal in response to the charge received from the photoconductor layer; andan access circuit coupled to the detector array and configured to collect signals from two or more of the lines of detector elements simultaneously. 12. The detector of claim 11, wherein the first imager further having a signal processing circuit coupled to the access circuit and configured to generate image data using the signals received by the access circuit. 13. The detector of claim 11, wherein the access circuit is configured to collect signals from two of the lines of detector elements simultaneously. 14. The detector of claim 11, wherein the access circuit is configured to collect signals from four of the lines of detector elements simultaneously. 15. The detector of claim 11, further comprising a second imager positioned adjacent to the first imager. 16. The detector of claim 15, wherein an edge of the second imager is positioned below an edge of the first imager. 17. The detector of claim 15, wherein an edge of the second imager is positioned next to an edge of the first imager. 18. The detector of claim 15, wherein the access circuit is further configured to collect signals from two or more of the lines of detector elements of the second imager simultaneously. 19. The detector of claim 15, wherein the access circuit is further configured to collect signals from both the first and the second imagers simultaneously. 20. The detector of claim 11, wherein the plurality of lines of detector elements comprises a plurality of rows or columns of detector elements. 21. A radiation projection detector for generating signals in response to a radiation beam, the detector comprising:a first imager;a second imager; andan access circuit configured to collect signals from the first imager and the second imager simultaneously. 22. The detector of claim 21, wherein an edge of the second imager is positioned below an edge of the first imager. 23. The detector of claim 21, wherein an edge of the second imager is positioned next to an edge of the first imager. 24. The detector of claim 21, wherein each of the first and second imagers comprises a conversion layer configured to generate light photons in response to a radiation. 25. The detector of claim 24, wherein each of the first and second imagers further comprises:a photo detector array aligned with the conversion panel, the photo detector array comprises a plurality of lines of detector elements, each of which configured to generate a signal in response to the light photons received from the conversion layer;wherein the access circuit is coupled to the photo detector array of each of the first and second imagers. 26. The detector of claim 25, wherein the access circuit is configured to collect signals from one line of the detector elements of each of the first and second imagers at a time. 27. The detector of claim 25, wherein the access circuit is configured to collect signals from more than one line of the detector elements of each of the first and second imagers at a time. 28. The detector of claim 21, wherein each of the first and second imagers comprises a photoconductor layer configured to generate a charge in response to a radiation. 29. The detector of claim 28, wherein each of the first and second imagers further comprises:a detector array aligned with the photoconductor layer, the detector array comprises a plurality of lines of detector elements, each of which configured to generate a signal in response to the charge received from the photoconductor layer;wherein the access circuit is coupled to the detector array of each of the first and second imagers. 30. The detector of claim 29, wherein the access circuit is configured to collect signals from the detector elements of each of the first and second imagers one line at a time. 31. The detector of claim 29, wherein the access circuit is configured to collect signals from the detector elements of each of the first and second imagers more than one line at a time. 32. A method for collecting signals from a detector, the detector having a plurality of lines of image elements, each of which having a transistor gate, the method comprising:sending a control signal to a gate driver to select transistor gates for two or more lines of image elements from which signals are to be collected; andsimultaneously passing signals from the two or more lines of image elements to charge amplifiers that are coupled to the image elements. 33. The method of claim 32, further comprising generating the signals. 34. The method of claim 33, wherein the generating the signals comprises:receiving an x-ray radiation;generating photons in response to at least a portion of the x-ray radiation; andproducing the signals in response to the photons. 35. The method of claim 33, wherein the generating the signals comprises:receiving an x-ray radiation;generating electron-hole-pairs in response to at least a portion of the x-ray radiation; andproducing the signals in response to the electron-hole-pairs. 36. A computer readable medium having a set of stored instructions, the execution of which causes a process for collecting signals from a detector to be performed, the detector having a plurality of lines of image elements, each of the image elements having a transistor gate, the process comprising:sending a control signal to a gate driver to select transistor gates for two or more lines of image elements from which signals are to be collected; andsimultaneously passing signals from the two or more lines of image elements to charge amplifiers that are coupled to the image elements. 37. The computer readable medium of claim 36, wherein the process further comprising generating the signals. 38. The computer readable medium of claim 37, wherein the generating the signals comprises:receiving an x-ray radiation;generating photons in response to at least a portion of the x-ray radiation; andproducing the signals in response to the photons. 39. The computer readable medium of claim 37, wherein the generating the signals comprises:receiving an x-ray radiation;generating electron-hole-pairs in response to at least a portion of the x-ray radiation; andproducing the signals in response to the electron-hole-pairs. 40. A system for collecting signals from a detector, the detector having a plurality of lines of image elements, each of which having a transistor gate, the system comprising:means for sending a control signal to a gate driver to select transistor gates for two or more lines of image elements from which signals are to be collected; andmeans for simultaneously passing signals from the two or more lines of image elements to charge amplifiers that are coupled to the image elements. 41. A method for collecting signals from a detector, the detector having a plurality of imagers, each of the imagers having a plurality of lines of image elements, the method comprising:sending a control signal to a gate driver to select one or more lines of image elements on each of the plurality of the imagers from which signals are to be collected; andsimultaneously passing signals from the selected one or more lines of image elements on each of the plurality of the imagers to charge amplifiers that are coupled to the image elements. 42. The method of claim 41, wherein each of the plurality of imagers comprises a flat panel imager. 43. The method of claim 41, wherein the sending comprises sending a control signal to a gate driver to select one line of image elements on each of the plurality of the imagers. 44. The method of claim 41, wherein the sending comprises sending a control signal to a gate driver to select a plurality of lines of image elements on each of the plurality of the imagers. 45. The method of claim 44, wherein the plurality of lines of image elements comprises four lines of image elements. 46. The method of claim 41, further comprising generating the signals. 47. The method of claim 46, wherein the generating the signals comprises:receiving an x-ray radiation;generating photons in response to at least a portion of the x-ray radiation; andproducing the signals in response to the photons. 48. The method of claim 46, wherein the generating the signals comprises:receiving an x-ray radiation;generating electron-hole-pairs in response to at least a portion of the x-ray radiation; andproducing the signals in response to the electron-hole-pairs. 49. A computer readable medium having a set of stored instructions, the execution of which causes a process for collecting signals from a detector to be performed, the detector having a plurality of imagers, each of the imagers having a plurality of lines of image elements, the process comprising:sending a control signal to a gate driver to select one or more lines of image elements on each of the plurality of the imagers from which signals are to be collected; andsimultaneously passing signals from the selected one or more lines of image elements on each of the plurality of the imagers to charge amplifiers that are coupled to the image elements. 50. A system for collecting signals from a detector, the detector having a plurality of imagers, each of the imagers having a plurality of lines of image elements, the system comprising:means for sending a control signal to a gate driver to select one or more lines of image elements on each of the plurality of the imagers from which signals are to be collected; andmeans for simultaneously passing signals from the selected one or more lines of image elements on each of the plurality of the imagers to charge amplifiers that are coupled to the image elements.
abstract
A transport container for nuclear fuel is provided with an outer container having internal insulation, the insulation defining an internal cavity. The cavity receives a plurality of fuel containers wherein the internal volume of the fuel containers is at least 5% of the external volume of the outer container. The container allows substantially higher volume proportions of enriched fuel to be safely transported than is possible with prior containers.
summary
046560009
description
DESCRIPTION OF THE PREFERRED EMBODIMENT A preferred embodiment of the invention, applied to a boiling water reactor, will be described hereinunder with reference to FIGS. 1 to 8. FIG. 1 schematically shows the system of a small-sized boiling water reactor having an electric power output of less than 200 MWE. This boiling water reactor has a reactor vessel 1, an internal shroud 2 mounted in the reactor vessel 1, a reactor core 6 disposed in the internal shroud 2 and having a multiplicity of fuel assemblies 7, and a plurality of tubular coolant passage members 23 disposed above the fuel assemblies 7. The internal shroud 2 is fixed to the inner surface of the reactor vessel 1 through an annular plate 3. A lower core supporting plate 4 and an upper core supporting plate 5 are secured to the inner surface of the internal shroud 2. As will be best seen from FIG. 2, the lower core supporting plate 4 and the upper core supporting plate 5 support the lower and upper ends of the fuel assemblies 7. Each fuel assembly 7 has an upper tie plate 8, lower tie plate 9, fuel rods 10 and a channel box 12. The fuel rods 10 are secured at their upper and lower ends to the upper tie plate 8 and the lower tie plate 9, respectively. A plurality of spacers arranged in the axial direction bundle the multiplicity of fuel rods 10 in such a manner that a passage of coolant is formed between each adjacent fuel rods. The channel box 12 surrounds the bundle of the fuel rods 10, and is secured to the upper tie plate 8. Pads 13 are provided on the upper ends of two side surfaces of the channel box 12. A multiplicity of pellets (not shown) rich in uranium 235 is charged in each fuel rod 10. Each fuel assembly 7 is detachably secured at its lower end to the lower core supporting plate 4. As will be seen from FIG. 3, four fuel assemblies 7 are inserted into each of square holes 45 formed in the grid-like upper core supporting plate. The four fuel assemblies 7 received by each square hole 45 is pressed against the upper core supporting plate 5 with the pads 13 of adjacent fuel assemblies 7 contacting each other, so that the lateral oscillation of upper portions of fuel assemblies 7 is prevented. A multiplicity of tubular coolant passage members 23 are disposed above the core 6. As will be seen from FIGS. 2, 3 and 4, each tubular coolant passage member 23 receives the upper ends of four fuel assemblies 7 which are arranged around each point 46 of crossing of the upper core supporting plate 5. Namely, the multiplicity of fuel assemblies 7 are grouped into a plurality of groups each having four fuel assemblies 7, the upper ends of fuel assemblies of each group being received by a common tubular coolant passage member 23. Each tubular coolant passage member 23 is detachably secured at its lower end to the upper side of the upper core supporting plate 5, and extends upwardly therefrom in the axial direction of the fuel assemblies 7. The tubular coolant passage member 23 has a square cross-section the area of which is varied along the length of the member 23, so that the tubular coolant passage member 23 has three portions of different cross-sectional areas: namely, an expanded portion 24, flared portion 25 and a contracted portion 26. More specifically, the expanded portion 24 constitutes the lowermost portion of the tubular coolant passage member 23 and connected to the contracted portion 26 through the intermediate flared portion 25. The lowermost expanded portion 24 of the tubular coolant passage member 23 surrounds the upper ends of four fuel assemblies 7 of a group. The contracted portion 26 of the tubular coolant passage member 23 extends upwardly and is welded at its upper end to a grid portion 28 of a coolant passage supporting plate 27. As will be seen from FIG. 5, the coolant passage supporting plate 27 has a peripheral annular plate constituting a flange 29 and the central grid portion 28 welded to the flange portion 29. The coolant passage supporting plate 27 is mounted on the annular plate 3 mentioned before. Namely, as will be seen from FIG. 6, the flange 29 of the coolant passage supporting plate 27 is detachably secured to the annular plate 3 by means of a plurality of bolts 32. A plurality of coolant circulation holes 30 are formed in the flange 29. At the same time, as will be seen from FIG. 7, a plurality of coolant circulation holes 31 are formed in the annular plate 3 to take positions corresponding to the coolant circulation holes 30 in the flange 29. Each control rod 14 having a cross-shaped cross-section is received by the gap 47 formed between adjacent tubular coolant passage members 23 in the reactor vessel 1. That is, the control rods 14 are adapted to be inserted into the core 6 from the upper side. Since the pads 13 through which the fuel assemblies are pressed to each other are disposed in the vicinity of the upper core supporting plate 5, the pads 13 do not impede the insertion of the control rod into the space between adjacent fuel assemblies. The insertion of the control rod 14 into the space surrounded by four adjacent fuel assemblies is afforded also by the arrangement in which each tubular coolant passage member 23 is disposed above four fuel assemblies adjacent to each crossing point 46 of the grid of the upper core supporting plate 5. Namely, if the tubular coolant passage member 23 is disposed above four fuel assemblies other than the above-mentioned fuel assemblies 7, the insertion of the control rod 14 is impossible because it is obstructed by the upper core supporting plate 5. The pressing of fuel assemblies 7 in the hole 45 of the upper core supporting plate 5 by means of the pads 13 serves to permit the insertion of the control rod 14 into the space surrounded by the four fuel assemblies 7. The insertion of the control rod 14 is impossible in the case where fasteners are provided on opposing corners of four fuel assemblies 7 in a common hole 45 of the upper core supporting plate 5 as in the case of boiling water reactors having large power output. Each control rod 14 is connected by means of a gripper 15 to an extension shaft 18 of a control rod driving device 42 mounted on the upper lid 43 of the pressure vessel 1. As will be seen from FIG. 8, the gripper 15 is constituted by a socket 16 provided on the upper end of the control rod 14, a finger 19 secured to the lower end of the extension rod 18 and a cam 22 provided at the lower end of a cam shaft 21 extending through the extension shaft 18. The connection between the control rod 14 and the control rod driving device 42 is achieved by inserting the end 20 of the finger 19 into the socket 16 after lowering the cam shaft 21, and then raising the cam shaft 21 upwards. Namely, as the cam shaft 21 is pulled upwardly, the cam 22 acts to urge the end 20 of the finger outwardly to fit it in a recess 17 provided in the inner surface of the socket 16, thus completing the connection between the control rod 14 and the control rod driving device 42, so that the control rod 14 can be inserted into and withdrawn from the core 6 as desired by the operation of the control rod driving device 42. The gripper 15 has a diameter greater than the width of the space between adjacent fuel assemblies 7. The presence of the contracted portion 26 in the tubular coolant passage member 23 enlarges the width of the space 46 to facilitate the movement of the gripper 15 in the space 46. Namely, the undesirable collision of the gripper 15 with the tubular coolant passage member 23 is effectively avoided. The increase in the power of the boiling water reactor of this embodiment is obtained by withdrawing the control rods 14 from the reactor core 6. The steam produced in the reactor vessel 1 is discharged from the latter and is introduced through a main steam pipe 40 to a turbine 34 to which connected is an alternator 35. Any water droplets contained by the steam is removed from the steam as the latter flows through a steam separator 33 disposed at an intermediate portion of the main steam pipe 40. The water separated from the steam by the steam separator 33 is introduced into a condenser 36 through a pipe (not shown) to merge with later-mentioned condensate in the condenser 36. The steam expanded through the turbine to drive the latter is discharged to the condenser 36 and condensed by cooling to become the condensate. The condensate is then fed back, as the coolant, to the reactor vessel 1 through a feedwater pipe 41 having a condensate pump 37, feed water heater 38 and a feedwater pump 39. The coolant is heated by the feed water heater 38 up to a comparatively low temperature of 180.degree. to 200.degree. C. by the feed water heater 38. The coolant of such a low temperature and, hence, having a large density flows down through an annular passage 44 formed between the inner peripheral surface of the reactor vessel 1 and the internal shroud 2 to reach a lower plenum 48. The coolant then flows into each fuel assembly 7 through the lower tie plate 9 and flows upwardly while cooling the fuel rod 10. In consequence, the coolant is heated and partially changed into steam. The two-phase flow consisting of the liquid coolant and the vaporized coolant is discharged from each fuel assembly 7 and is introduced into corresponding tubular coolant passage member 23 to flow upwardly through the latter. The steam discharged from the tubular coolant passage members 23 is collected in an upper gas plenum 48. The steam, which has a temperature of about 280.degree. C. in this state, is then fed to the main steam pipe 40. On the other hand, the liquid coolant discharged from the tubular coolant passage members 23 into the upper gas plenum 48, also having the temperature of about 280.degree. C., flows through the coolant circulation holes 30 and 31 to flow down along the annular passage 44 together with the coolant which has been supplied through the feed water pipe 41. Thus, a path for recirculating the coolant is formed in the reactor vessel 1 to include the annular passage 44, lower plenum 48, fuel assemblies 7, tubular coolant passage members 23 and the coolant circulation holes 30 and 31. According to the invention, a remarkable increase in the flow rate of coolant in the core 6, i.e. through the fuel assemblies 7, is attained thanks to the provision of the tubular coolant passage 23. Namely, the density .rho..sub.1 of the two-phase flow in the tubular coolant passage member 23, coming directly from the fuel assemblies 7, is smaller than the density .rho..sub.2 of the coolant flowing down along the annular passage 44. This difference in the density serves to promote the natural recycling of the coolant in the reactor vessel through the coolant recycling path mentioned above, so that the flow rate of the coolant flowing through the reactor core 6 is increased advantageously. This in turn increases the effect of cooling of the fuel assemblies 7 and widens the range of power controllable by the control rods. FIG. 9 shows quantitatively the increase in the flow rate of the coolant through the reactor core caused by the provision of the tubular coolant passage members 23. More specifically, in FIG. 9, the curve A shows the change in the flow rate of coolant flowing through the reactor core 6, as observed when the reactor has the tubular coolant passage members 23 disposed above the reactor core 6, in relation to the flow passage height L which is, in this case, the vertical distance between the lower surface of the lower core supporting plate 4 and the upper ends of the tubular coolant passage members 23 as shown in FIG. 1. In contrast, the curve B shows the change in the coolant flow rate as observed when the reactor has no tubular coolant passage member so that the internal shroud solely projects above the core 6, in relation to the flow passage height L which is in this case the vertical distance between the lower surface of the lower core supporting plate 4 and the upper end of the internal shroud 2. In both cases, the flow rate is increased as the flow passage heights L are increased. As will be understood from a comparison between two curves A and B, the flow rate of the coolant through the reactor core 6 is much greater in the case where the tubular coolant passage members 23 are provided than in the case where no tubular coolant passage member 23 is provided. This is attributable to the fact that the tubular coolant passage members 23 having smaller cross-sectional area provides a greater chimney effect than that provided by the internal shroud 2 having larger cross-sectional area. The tubular coolant passage members 23, which serve to enhance the natural recycling force of the coolant in the reactor vessel, eliminate the necessity for the specific recycling system including a recycling pipe and recycling pump essential in the conventional boiling water reactors of large capacity. Thus, according to the invention, it is possible to obtain a compact, small-sized boiling reactor having small rated capacity of less than 200 MWE. All part of the steam generated in the fuel assemblies 7 is introduced into the tubular coolant passage members 23. Namely, there is no leak of the steam into the space between the adjacent tubular coolant passage members 23 in which the control rod 14 is positioned. A single-phase flow of coolant supplied through the lower core supporting plate 4 flows at a small flow rate in the space between adjacent fuel assemblies 7 and the space 46 which receive the control rod 14. Therefore, the unfavourable vibration of the control rods 14 which may, for otherwise, be caused by the two-phase flow coming out of the fuel assemblies 7 is completely avoided although the control rods 14 are adapted to be inserted into the reactor core 6 from the upper side of the core contrary to the common sense of design of boiling water reactors. In consequence, the damage of the control rods due to vibration is effectively avoided and the longer life of the control rod is ensured. In the boiling water reactor of this embodiment, the refueling of assembles 7 is conducted in accordance with the following procedure. After shutting down of the nuclear reactor, the control rods 14 inserted into the reactor core 6 are disconnected from the control rod driving devices 42. This disconnection is made by withdrawing the end 20 of the finger from the socket 16 by moving the extension shaft 18 upwardly after depressing the cam shaft 21. Thereafter, the lid 43 is demounted from the reactor vessel 1. Then, the coolant passage supporting plate 27 is detached from the annular plate 3 after loosening the bolts 32. The coolant passage supporting plate 27 is withdrawn from the reactor vessel 1 together with the tubular coolant passage members 23. In this state, the fuel assemblies 7 in the reactor core can be observed and checked from the upper side thereof. The renewal of the fuel assemblies 7 is conducted by means of a refueling machine (not shown) stationed above the reactor vessel 1. After the refueling, the coolant passage supporting plate 27 is secured again to the annular plate 3 with the lower ends of the tubular coolant passage members 23 receiving the upper ends of corresponding fuel assemblies 7. Finally, the lid 43 is secured to the reactor vessel 1 and the control rods 14 are connected to the control rod driving devices 42. The renewal of the control rods 14 can be conducted substantially in the same way as the renewal of the fuel assemblies 7. The present invention can be applied not only to the described boiling water reactor but also to other types of nuclear reactors, particularly pressurized water reactors. In such an application, a plurality of tubular coolant passage members are disposed above the fuel assemblies above the fuel assemblies of the reactor core of the pressurized water reactor, as in the case of the described embodiment applied to a boiling water reactor. Since in the pressurized water reactor the control rods are inserted into fuel assemblies, the pressurized water reactor embodying the invention should be designed and constructed to permit the control rods to be inserted into the fuel assemblies through the tubular coolant passage members. This arrangement constitutes a sole point of difference between the pressurized water reactor and the boiling water reactor embodying the present invention. In the pressurized water reactor, no steam is generated so that only the coolant of high temperature in the liquid state flows upwardly through the tubular coolant passage members. This hot coolant having smaller density than the coolant supplied by the feedwater pump naturally enhances the recycling flow and, hence, increases the flow rate of the coolant flowing through the reactor core, although the chimney effect is somewhat small as compared with the case of the boiling water reactor in which the two-phase flow consisting of liquid coolant and steam flows upwardly through the tubular coolant passage members. As has been described, according to the invention, it is possible to obtain a greater flow rate of coolant flowing through the reactor core by quite a simple construction.
abstract
A rod cluster control assembly having a control rod upper end plug formed in two parts and connected together with a flexible joint coupling located at or below a connecting finger on a spider vane. The upper portion of the upper control rod end plug is screwed into the connecting finger on the spider vane and lock welded between a lower portion of the finger and a shoulder on an abutting ledge of the upper portion of the end plug.
claims
1. A method for suppressing a pyrophoric metal fire, comprising:arranging a suppression system above a containment structure, the suppression system including a first extinguishing agent, the containment structure configured to contain and isolate a pyrophoric metal from ambient air, the suppression system configured to activate upon a leak and ignition of the pyrophoric metal so as to release the first extinguishing agent to suppress the pyrophoric metal fire, the suppression system configured such that a structural integrity thereof degrades in a presence of the pyrophoric metal fire in order to release the first extinguishing agent. 2. The method of claim 1, wherein the structural integrity of the suppression system is configured to deteriorate when a surface of the suppression system reaches an elevated temperature as a result of exposure to the pyrophoric metal fire. 3. The method of claim 2, wherein the structural integrity of the suppression system is configured to break down when the elevated temperature at the surface of the suppression system reaches 75 degrees Celsius or more. 4. The method of claim 1, wherein the structural integrity of the suppression system is configured to deteriorate when exposed to one or more reaction byproducts of the pyrophoric metal fire. 5. The method of claim 4, wherein the structural integrity of the suppression system is configured to become embrittled when the one or more reaction byproducts includes hydrogen gas. 6. The method of claim 5, wherein the structural integrity of the suppression system is configured to break down when a concentration of the hydrogen gas reaches 50 pphm or more. 7. The method of claim 1, wherein the arranging includes positioning the suppression system such that the first extinguishing agent falls on the pyrophoric metal fire via gravity when released. 8. A method for suppressing a pyrophoric metal fire, comprising:arranging a suppression system above a containment structure, the suppression system including a first extinguishing agent, the containment structure configured to contain and isolate a pyrophoric metal from ambient air, the suppression system configured to activate upon a leak and ignition of the pyrophoric metal so as to release the first extinguishing agent to suppress the pyrophoric metal fire, the suppression system configured as a polymer layer including the first extinguishing agent, the polymer layer being applied on a ceiling above the containment structure. 9. The method of claim 8, wherein the polymer layer includes the following structurewherein X is a chlorine or a carbonate. 10. The method of claim 1, wherein the suppression system is configured as a metal casing that encloses the first extinguishing agent therein. 11. The method of claim 10, wherein the metal casing is configured as a partial-cylindrical container and secured to a bottom of an I-beam structure. 12. The method of claim 10, wherein the metal casing is configured as a tubular container and secured to a ceiling above the containment structure. 13. The method of claim 10, wherein the metal casing is formed to include defects and is infused with hydrogen diffusion promoters. 14. The method of claim 10, wherein the metal casing includes a photodetector installed therein, the photodetector configured to indicate whether there is degradation of the metal casing by detecting whether light is entering the metal casing. 15. The method of claim 10, wherein the first extinguishing agent is a salt-based powder or a graphite-based powder. 16. The method of claim 15, wherein the first extinguishing agent includes a weak acid. 17. The method of claim 1, wherein the pyrophoric metal is an alkali metal. 18. The method of claim 17, wherein the alkali metal is sodium. 19. The method of claim 1, further comprising:spraying the pyrophoric metal fire with a second extinguishing agent.
042007941
claims
1. A combined fine focusing micro lens array and micro deflector assembly for use in electron beam tubes of the fly's eye type comprising a fine focusing micro lens array sub-assembly formed by at least one thin planar apertured lens plate fabricated from silicon semiconductor material and having an array of micro lens aperture openings formed therein by photolithographic semiconductor microcircuit fabrication techniques, the apertured silicon lens plate having highly conductive surfaces and being secured to glass rods for holding the lens plate in parallel spaced-apart relationship relative to the micro deflector assembly with the plane of the lens plate substantially at right angles with respect to an electron beam path passing through the assembly, the apertures in the silicon lens plate being axially aligned along respective longitudinal axes passing through the center of the respective apertures parallel to the electron beam path and comprising an array of fine focusing lens elements, said combined fine focusing micro lens array and micro deflector assembly further including a micro deflector sub-assembly mounted immediately adjacent to said fine focusing micro lens array sub-assembly and defining a honeycomb matrix of sets of orthogonally disposed micro deflector elements there being a set of orthogonally disposed micro deflector elements axially aligned with each respective fine focusing lens element along a respective longitudinal axis for deflecting an electron beam passing through the respective fine focusing micro lens array element along orthogonal x-y directional axes of movement in a plane normal to the electron beam path. 2. A combined micro lens array and micro deflector assembly according to claim 1 wherein the fine focusing micro lens array sub-assembly comprises a multiplicity of spaced-apart stacked parallel thin planar apertured lens plates each fabricated from silicon semiconductor and each having an array of aperture openings formed therein, the respective aperture openings in each of the lens plates being axially aligned along a respective longitudinal axis with corresponding aperture openings in the remaining lens plates. 3. A combined micro lens array and micro deflector assembly according to claim 2 wherein said honeycomb matrix of sets of micro deflector elements are comprised by orthogonally arrayed interdigited sets of parallel spaced-apart deflector bars which define the respective orthogonally arrayed sets of micro deflector elements with alternate bars of each set of deflector bars being interconnected electrically for common connection to a respective source of fine x-y deflection potential. 4. A combined micro lens array and micro deflector assembly according to claim 3 wherein each of the thin planar apertured lens plates comprise thin single crystalline silicon wafers having lens aperture openings etched through nondoped areas thereof by a suitable etchant which attacks the nondoped areas of the wafer where the aperture openings are to be formed but does not attack highly doped surface areas of the wafer where no aperture openings are to be formed, said highly doped surface areas being formed by diffusionof a suitable dopant into the surface of the wafer to a suitable thickness of the order of 2 to 4 microns dependent upon the thickness of the wafer with subsequent exposure of the wafer to the etchant to thereby form an array of fine focusing lens aperture openings of precise dimension and exceptional symmetry on each wafer. 5. A combined micro lens array and micro deflector assembly according to claim 4 wherein after completion of etching of the matrix of aperture openings in each of the thin single crystalline silicon plates all the way through the thickness of the plate, the remaining planar surface area of the plate is left with highly conductive characteristics due to the heavy diffusion of a dopant such as boron into the remaining planar surface area to provide the desired differential etching characteristics required during etching formation of the aperture openings. 6. A combined micro lens array and micro deflector assembly according to claim 5 wherein each of the thin planar apertured lens plates comprises a thin planar wafer of single crystalline silicon about 2 microns thick having a matrix of aperture openings formed therein by etching from one side only all the way through the thickness of the wafer at precise points defined by the masking area formed on the surface of the wafer where no aperture openings are to exist with the masked area being impervious to the etchant employed in forming the aperture openings. 7. A combined micro lens array and micro deflector assembly according to claim 5 wherein the thin planar apertured lens plates each comprise a thin planar single crystalline silicon wafer of about 178 millimeter thickness etched from each of the opposite planar sides thereof through appropriately formed aperture opening areas defined by suitable masking of the surfaces of the wafer where no openings are desired and application of an etchant to both sides of the wafer. 8. A combined micro lens array and micro deflector assembly according to claim 5 wherein the dopant is boron and the etchant is a pyrocatechol ethylene diamine. 9. A combined micro lens array and micro deflector assembly according to claim 5 wherein the orthogonally arrayed sets of parallel spaced-apart deflector bars are comprised of elongated flat bars of polycrystalline silicon having a metalized surface. 10. A combined micro lens array and micro deflector assembly according to claim 9 wherein the planar apertured silicon lens plates comprising the micro lens array are held together in stacked parallel assembled relationship by spaced-apart glass rod supports whose longitudinal axes extend at right angles to the plates and to which the planar silicon lens plates are secured near their periphery and wherein the two orthogonally arrayed sets of parallel spaced-apart deflection bars comprising the sets of micro deflector elements are held in assembled spaced-apart parallel relationship by respective sets of spaced-apart parallel supporting glass rods whose longitudinal axes extend in a plane parallel to the plane of the deflector bars but at right angles thereto and to which the ends of the deflector bars are thermally bonded. 11. A combined micro lens array and micro deflector assembly according to claim 10 further including respective annularly-shaped outer support rings for the micro lens array sub-assembly and for the micro deflector sub-assembly comprised of molybdenum, tungsten or some other suitable material and to which the glass support rods of the respective sub-assembly are secured by fusion or otherwise. 12. A combined micro lens array and micro deflector assembly according to claim 11 further including electrically conductive termination plate means mounted parallel to said stacked parallel spaced-apart silicon plates and having apertures formed therein axially aligned with the array of micro lens elements formed by the aligned apertures in the stacked parallel thin silicon lens plates and with the micro defector elements, said termination plate means being mounted on the entrance side of the micro lens array relative to the direction of an electron beam projected through the assembly, said termination plate means being secured to and supported by an outer support ring in common with said micro lens array for mounting said termination plate means and said micro lens array in assembled relation with said micro deflector sub-assembly. 13. A combined micro lens array, micro deflector and target assembly according to claim 12 further including a planar target electrode member fabricated from silicon semi-conductor material mounted in a plane parallel to said thin apertured silicon plates and to the plane of said deflector bars and axially spaced apart therefrom in a direction extending along the path of an electron beam exiting the assembly after passing therethrough, said target electrode member being secured at its outer peripheral edge to an outer support ring used in mounting the target electrode member in assembled relation with the micro lens array and micro deflector sub-assemblies. 14. A combined micro lens array, micro deflector and target assembly according to claim 13 wherein the support ring secured in common to said termination plate means and said fine focusing micro lens array, the support ring secured to said micro deflector sub-assembly and the support ring secured to the target electrode member all in turn are secured at their peripheral edges to additional axially extending glass supporting rods whose longitudinal axes extend at right angles to the planes of the termination plate, the micro lens array, the micro deflector sub-assembly and the target electrode member. 15. A combined fine focusing micro lens array and micro deflector assembly according to claim 14 wherein the annularly-shaped outer support rings for each of the fine focusing micro lens array sub-assembly and the micro deflector sub-assembly have suitable locating notches formed in the peripheries thereof for maintaining axial alignment of the lens aperture openings in the thin silicon lens plates during assembly and for maintaining axial alignment of the micro deflector lens elements with the respective fine focusing micro lens aperture openings during assembly of the two sub-assemblies, the support rings for the termination plate and the target electrode member also including locating notches for maintaining axial alignment of these members with the micro lens array and micro deflector sub-assemblies. 16. A combined micro lens array and micro deflector assembly according to claim 14 wherein proper axial alignment of the aperture openings in the thin silicon lens plates of the micro lens array sub-assembly and the respective aligned set of micro deflector elements is obtained by light optical or electron optical alignment techniques together with proper axial alignment with the aperture openings in the termination plate and with the target electrode member. 17. A combined micro lens array and micro deflector assembly according to claim 16 wherein the thin planar apertured silicon lens plates and the fine deflector bars are thermally bonded to the glass support rods by electron beam heating or laser beam heating and fusion jointure. 18. A combined micro lens array and micro deflector assembly according to claim 14 wherein electrical connection to the thin apertured silicon lens plates of the micro lens array sub-assembly is obtained by trapping an exposed portion of a conductive wire between the hot glass of at least one of the support glass rods and the conductive surface of the respective lens plate during thermal bonding of the lens plates to the glass support rods and electrical connection to respective bars of the micro deflector sub-assembly is obtained by thermally bonding a thin flat conductive wire to the ends of alternate deflector bars at respective ends of each set of deflector bars. 19. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein each of the thin planar apertured lens plates comprises a thin planar wafer of single crystalline silicon about 1 to 2 microns thickness having a matrix of aperture openings formed therein of about 1-2 microns diameter by etching from one side only all the way through the thickness of the wafer at precise points defined by a masking area formed on the surface of the wafer where no aperture openings are to exist with the masked area being impervious to the etchant employed in forming the aperture openings. 20. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein the thin planar apertured lens elements each comprise a thin planar single crystalline silicon wafer of about 1/2 millimeter thickness etched from each of the opposite planar sides thereof through openings defined by a masking area formed on both planar surfaces of the wafer where no openings are to be formed and application of a suitable etchant to both sides of the wafer. 21. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein the planar apertured silicon lens plates comprising the micro lens array are held together in stacked parallel assembled relationship by spaced-apart glass rod supports whose longitudinal axes extend at right angles to the plates and to which the planar silicon lens plates are secured at their periphery. 22. A combined micro lens array and micro deflector assembly according to claim 21 further including respective annularly-shaped outer support rings for the micro lens array sub-assembly and for the micro deflector sub-assembly comprised of molybdenum, tungsten or some other suitable material and to which the glass support rods of the respective sub-assembly are secured by thermal bonding or otherwise. 23. A combined fine focusing micro lens array and micro deflector assembly according to claim 22 wherein the annularly-shaped outer support rings for each of the fine focusing micro lens array sub-assembly and the micro deflector sub-assembly have suitable locating notches formed in the peripheries thereof for maintaining axial alignment of the lens aperture openings in the thin silicon lens plates during assembly and for maintaining axial alignment of the micro deflector lens elements with the respective fine focusing micro lens aperture openings during assembly of the two sub-assemblies. 24. A combined micro lens array and micro deflector assembly according to claim 21 wherein proper axial alignment of the aperture openings in the thin silicon lens plates of the micro lens array sub-assembly and the respective aligned set of micro deflector elements is obtained by light optical or electron optical alignment techniques. 25. A combined micro lens array and micro deflection assembly according to claim 1 or 3 further including electrically conductive termination plate means mounted parallel to said silicon lens plate and having apertures formed therein axially aligned with the array of micro lens elements formed by the apertures in the thin silicon lens plate and the axially aligned micro deflector elements, said termination plate means being mounted on the entrance side of the micro lens array relative to the direction of an electron beam projected through the assembly. 26. A combined micro lens array, micro deflector and target assembly according to claim 1 or 3 further including a planar target electrode member fabricated from silicon semi-conductor material mounted in a plane parallel to said thin apertured silicon plates and to the plane of said deflector bars and axially spaced apart therefrom in a direction extending along the path of an electron beam exiting the assembly after passing therethrough. 27. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein said fine focusing micro lens array and said micro deflector sub-assembly are secured in assembled relation by axially extending glass support rods whose longitudinal axes extend at right angles to the plane of micro lens array and the plane of the micro deflector sub-assemblies. 28. A combined micro lens array and micro deflector assembly according to claim 27 wherein the thin planar apertured silicon lens plates and the fine deflector bars are thermally bonded to the glass support rods by electron beam heating or laser beam heating and fusion jointure. 29. A combined micro lens array and micro deflector assembly according to claim 27 wherein the stacked parallel array of thin apertured silicon lens plates comprising the micro lens array are held in spaced-apart parallel relationship by a common set of axially extending glass support rods to which the lens plates are directly secured and whose longitudinal axes extend at right angles to the plane of the lens plates and wherein the micro deflector sub-assembly is held in assembled relationship by respective sets of glass support rods which have the longitudinal axis thereof extend in a plane parallel to the plane of the deflection bars but at right angles thereto and to which the ends of the respective sets of deflector bars are thermally bonded, the deflector bars are comprised of elongated flat bars of polycrystalline silicon having a metalized surface, and the glass support rods to which the deflector bars are secured are in turn secured in common to the same set of axially extending glass support rods holding the apertured silicon lens plates for mounting the micro deflector sub-assembly in juxtaposed parallel relationship to said micro lens array. 30. A combined micro lens array and micro deflector assembly according to claim 29 wherein the end deflector bars only of each set of deflector bars is comprised of malleable metal such as tungsten and have extensions extending beyond the point of connection to the glass rods supporting the deflector bars in assembled relation, said extensions being shaped to form mounting tabs for securing the micro deflector sub-assembly to the axially extending glass support rods with the micro lens array in juxtaposed parallel relation thereto. 31. A combined micro lens array and micro deflector assembly according to claim 29 wherein the ends of the common set of axially extending glass support rods are shaped to seat with and support the bonded to a precision insulating sapphire ball that in turn is seated in and thermally bonded to a socket formed in an annularly-shaped support ring for mounting the assembly within the housing of a fly's eye type electron beam tube. 32. A combined micro lens array and micro deflector assembly according to claim 31 further including an electrically conductive termination plate mounted parallel to said thin apertured silicon lens plates and having apertures formed therein axially aligned with the array of micro lens elements formed by the axially aligned apertures in the stacked spaced-apart parallel silicon lens plates and with the micro deflector elements, said termination plate being mounted directly to the common set of axially extending glass support rods used to hold the combined micro lens array and micro deflector assembly in assembled relation on the entrance side of the assembly relative to the direction of an electron beam travelling therethrough, and further including a planar target electrode member secured to the common set of axially extending glass support rods parallel to the thin apertured silicon lens plates and the plane of the deflector bars and spaced apart therefrom in a direction extending along the path of an electron beam exiting the assembly after passing therethrough. 33. A combined micro lens array and micro deflector assembly according to claim 29 wherein electrical connection to the thin apertured silicon lens plates of the micro lens array sub-assembly is obtained by trapping an exposed portion of a conductive wire between the hot glass of at least one of the support glass rods and the conductive surface of the respective lens plate during thermal bonding of the lens plates to the glass support rods and electrical connection to the respective bars of the micro deflector sub-assembly is obtained by thermally bonding a thin flat conductive wire to the ends of alternate deflector bars at respective ends of each set of deflector bars. 34. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein electrical connection to at least one of the thin apertured silicon lens plates of the micro lens array sub-assembly is obtained by trapping an exposed portion of a conductive wire between the hot glass of at least one of the glass support rods and the conductive surface of the respective plate during thermal bonding of the plates to the glass support rods with the conductive wire thereafter being connected by conventional lead-in insulated conductor to a source of electrical energy. 35. A combined micro lens array according to claim 34 wherein the exposed portion of the conductive wire is formed from a material which alloys with silicon. 36. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein the glass support rods at the point of thermal bonding to the silicon lens plates have suitable projections extending inwardly to contact the peripheral edge portions of the silicon plates at the point of connection whereby the effective insulator distance between the adjacent silicon plates can be made to be much greater than the plate separation distance. 37. A combined micro lens array and micro deflector assembly according to claim 36 wherein the inwardly extending projection comprises inwardly extending glass branches extending substantially normal to the main trunk of the vertically extending glass support rods. 38. A combined micro lens array and micro deflector assembly according to claim 36 wherein the glass support rods themselves are bent or shaped outwardly away from the point of connection thereof to the thin apertured silicon lens plates whereby greater insulator spacing is achieved between adjacent silicon plates in comparison to the plate separation distance. 39. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein the aperture openings formed in at least one side of one of the thin apertured silicon lens plates are not round but are semi-elliptical in configuration for reducing third order aberrations. 40. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein ring-shaped pads of increased thickness compatible material are secured to points around the periphery of the thin silicon apertured lens plates for increasing the thickness thereof, and a plurality of insulating ball spacers are seated in the ring-shaped pads for assembling the thin silicon lens plates in a stacked spaced-apart parallel array upon being clamped together in a self-supporting structure. 41. A combined micro lens array and micro deflector assembly according to claim 1 or 3 wherein a plurality of support holes are formed around the peripheral edge portion of at least one of the thin silicon apertured lens plates and a plurality of small insulating ball spacers are seated in the holes for providing an insulating mounting means for the respective thin silicon lens plate. 42. A combined micro lens array and micro deflector assembly according to claim 1 or 3 further including a planar target member of electron sensitive material removably mounted by a vacuum-tight enclosure housing in common with and in a plane parallel to said thin apertured micro lens silicon plates and to the plane of said micro deflector bars and axially spaced apart therefrom in a direction extending along the path of an electron beam exiting the assembly after passing therethrough. 43. A fine focusing micro lens array sub-assembly for use in electron beam tubes of the fly's eye type comprising at least one thin planar apertured lens plate fabricated from silicon semiconductor material and having a matrix of aperture openings formed therein by photolithographic semiconductor microcircuit fabrication techniques, the apertured silicon lens plate having highly conductive surfaces and being secured near the periphery to glass support rods for holding the plate in parallel spaced-apart relationship with the apertures axially aligned in parallel with a longitudinal axis passing through the center of the plate to form an array of fine focusing lens elements for an electron beam, the glass support rods having the longitudinal axes thereof extending at right angles to the plane of the thin apertured silicon lens plate and being thermally bonded thereto. 44. A fine focusing micro lens array sub-assembly according to claim 43 wherein the thin apertured silicon lens plate comprises a thin planar wafer of single crystalline silicon about 2 microns thick and having a matrix of aperture openings formed therein by etching from one side only all the way through the thickness of a starting wafer at precise points defined by a masking area formed on the surface of the wafer where no aperture openings are to exist with the masked area being impervious to the etchant employed in forming the aperture openings. 45. A combined micro lens array and micro deflector assembly according to claim 43 wherein the fine focusing micro lens array sub-assembly comprises a multiplicity of spaced-apart stacked parallel thin planar apertured lens plates each fabricated from silicon semiconductor and each having an array of aperture openings formed therein, the respective aperture openings in each of the lens plates being axially aligned along a respective longitudinal axis with corresponding aperture openings in the remaining lens plates. 46. A fine focusing micro lens array sub-assembly according to claim 45 wherein the thin apertured silicon lens plates comprise a thin planar single crystalline silicon wafer of about 1/2 millimeter thickness etched all the way through from both of the opposite planar sides thereof through openings defined by a masking area formed on both planar surfaces of the wafer where no openings are desired and application of a suitable etchant to the unmasked areas on both sides of the wafer. 47. A fine focusing micro lens array sub-assembly according to claim 43 or 45 wherein each of the thin apertured silicon lens plates comprise a thin single crystalline silicon wafer having lens aperture openings etched through nondoped areas thereof by a suitable etchant which attacks the nondoped areas of the wafer where the aperture openings are to be formed but does not attack highly doped surface areas of the wafer where no aperture openings are to be formed, said highly doped surface areas being formed by diffusion of a suitable dopant into the surface of the wafer to a thickness of the order of 2 to 4 microns dependent upon the thickness of the wafer with subsequent exposure of the wafer to the etchant to thereby form an array of fine focusing lens aperture openings of precise dimension and exceptional symmetry on each wafer. 48. A micro lens array sub-assembly according to claim 47 wherein after completion of etching of the matrix of aperture openings in each of the thin single crystalline silicon plates all the way through the thickness of the plates, the remaining planar surface area of the plate is left with highly conductive characteristics due to the heavy diffusion of a dopant such as boron into the remaining planar surface area to provide the desired differential etching characteristics required during etching formation of the aperture openings. 49. A micro lens array sub-assembly according to claim 48 wherein each of the thin apertured silicon lens plates comprises a thin planar wafer of single crystalline silicon about 2 microns thick and having a matrix of aperture openings formed therein by etching from one side only all the way through the thickness of the wafer at precise points defined by the masking area formed on the surface of the wafer where no aperture openings are to exist with the masked surface areas being impervious to the etchant employed in forming the aperture openings. 50. A micro lens array sub-assembly according to claim 48 wherein the thin planar apertured lens plates each comprise a thin planar single crystalline silicon wafer of about 1/2 millimeter thickness etched from each of the opposite planar sides thereof through photolithographically formed aperture opening areas defined by suitable masking of the surfaces of the wafer where no aperture openings are desired and application of an etchant to both sides of the wafer. 51. A micro lens array sub-assembly according to claim 48 wherein the dopant is boron and the etchant is pyrocatechol ethylene diamine. 52. A micro lens array sub-assembly according to claim 51 further including an annularly-shaped outer support ring for the micro lens array sub-assembly comprised of molybdenum, tungsten or other suitable metal with the glass support rods being thermally bonded to the inner peripheral edge portions thereof and with the support ring of metal having suitable locating notches formed in the periphery thereof for maintaining axial alignment of the sub-assemblies with other sub-assemblies comprising a fly's eye electron beam tube. 53. A micro lens array sub-assembly according to claim 43 or 45 wherein electrical connection to the thin apertured silicon lens plates is obtained by trapping an exposed portion of a thin conductive wire between the hot glass of at least one of the glass support rods and the conductive surface of the respective plate during thermal bonding of the plates to the glass support rods with the conductive wire thereafter being connected by conventional lead-in insulated conductor to a source of electric energy. 54. A micro lens array sub-assembly according to claim 43 or 45 wherein the glass support rods at the point of thermal bonding to the thin silicon lens plates have suitable projections extending inwardly to contact the peripheral edge portions of the silicon plates at the point of connection whereby the effective insulator distance between silicon plates and other parts can be made to be much greater than the plate separation distance. 55. A micro lens array sub-assembly according to claim 43 or 45 wherein the aperture openings formed in at least one side of one of the thin apertured silicon lens plates are not round but are semi-elliptical in configuration for reducing third order aberrations. 56. A micro lens array sub-assembly according to claim 43 or 45 wherein ring-shaped thickened pads of compatible conductive material are secured to points around the peripheral edge portions of the thin silicon apertured lens plates for increasing the thickness thereof and a plurality of insulating ball spacers are seated in the ring-shaped pads for assembling the thin silicon lens plates in a stacked spaced-apart parallel array upon being clamped together in a self-supporting structure. 57. A micro lens array sub-assembly according to claim 43 or 45 wherein a plurality of support holes are formed around the periphery of the thin silicon apertured lens and a plurality of small insulating ball spacers are seated in and thermally bonded to the holes for providing an insulating mounting means for the respective lens plates. 58. A micro deflector sub-assembly for use in electron beam tubes of the fly's eye type comprising a honeycomb matrix of sets of orthogonally disposed micro deflector elements there being a set of orthogonally disposed micro deflector elements axially aligned with each respective electron beam path for deflecting an electron beam along orthogonal x-y directional axes of movement in a plane normal to the electron beam path, said honeycomb matrix of sets of micro deflector elements being comprised by two orthogonally arrayed sets of two interdigited parallel spaced-apart deflector bars which define the respective orthogonally arrayed sets of micro deflector elements with alternate bars of each set of deflector bars being interconnected electrically for common connection to a respective source of fine x-y deflection potential and each of said deflector bars being fabricated from silicon and having a highly conductive surface formed thereon. 59. A micro deflector assembly according to claim 58 wherein the silicon deflector bars comprise polycrystalline silicon. 60. A micro deflector assembly according to claim 58 wherein the two orthogonally arrayed sets of parallel spaced-apart silicon deflector bars comprising the micro deflector elements are held in assembled spaced-apart parallel relationship by respective sets of spaced-apart parallel supporting glass support rods whose longitudinal axes extend in a place parallel to the plane of the sets of parallel spaced-apart deflector bars but at right angles to the longitudinal extent of the bars and with the ends of the deflector bars being thermally bonded to the glass support rods. 61. A micro deflector sub-assembly according to claim 60 wherein at least the end of the end deflector bars of each set of deflector bars is comprised of a metal such as tungsten and extend beyond the point of connection to the glass support rods holding the deflector bars in assembled relation, said extensions being shaped to form mounting tabs for mounting the micro deflector sub-assembly in a fly's eye electron beam tube. 62. A micro deflector sub-assembly according to claim 60 further including an outer annularly-shaped support ring comprised of molybdenum, tungsten or other suitable material to which the parallel supporting glass support rods are thermally bonded for mounting the micro deflector sub-assembly in a fly's eye electron beam tube. 63. A micro deflector sub-assembly according to claim 60 wherein the micro deflector sub-assembly is held in assembled relationship with other sub-assemblies and components of the fly's eye electron beam tube by an additional set of axially extending glass support rods which have the longitudinal axis thereof extend at right angles to the plane of the deflector bars. 64. A micro deflector sub-assembly according to claim 63 wherein the first mentioned parallel supporting glass support rods extend to and engage the axially extending glass support rods and are thermally bonded thereto. 65. A micro deflector sub-assembly according to claim 63 wherein at least the end of the deflector bars of each set of deflector bars is comprised of a malleable metal such as tungsten and extend beyond the point of connection to the parallel supporting glass rods, said malleable metal extension being bent over to engage and thermally bond to respective axially extending glass support rods. 66. A micro deflector sub-assembly according to claim 63 further including an annularly-shaped support ring comprised of molybdenum, tungsten or other suitable material to which the parallel supporting glass rods are bonded at different points around the inner periphery thereof, the axially extending glass support rods being thermally bonded to the metal support ring at different points around the outer periphery thereof. 67. The method of fabricating micro lens array plates from round, thin planar single crystalline silicon semiconductor wafers of about 1/2 millimeter thickness or less comprising the steps of: (a) growing a wet silicon dioxide layer on both flat planar surfaces of the silicon wafer to a thickness of several hundred Angstrom units; (b) by photolithographic techniques employing a photo-resist and solvent for silicon dioxide form an array of silicon dioxide dots on both surfaces of the silicon wafer where it is desired that aperture openings be formed with the centers of each set of opposing silicon dioxide dots on the opposite surfaces of the silicon wafer being axially aligned on a common axis passing through both centers and perpendicular to the plane of the wafer; (c) spin coat a boron containing emulsion over both silicon dioxide dotted flat surfaces of the wafer and fire wafer in a nitrogen atmosphere at substantially 1100.degree. C., to thereby grow a heavily boron doped layer of about 2 microns thickness in surface areas of wafer where it is desired that no aperture openings be formed; (d) remove excess boron containing emulsion in a hydrofluoride bath and remove silicon dioxide dots in a fresh hydrofluoride bath to leave a deep heavily boron doped and highly conductive layer of about 2 microns thickness in those planar surface areas on both sides of the wafer where it is desired that no apertures be formed interspersed with an array of dotted updoped silicon surface areas where it is desired that apertures be formed; (e) etching the wafer in an etchant comprising a hot pyrocatechol and ethylene diamine bath which attacks the dotted undoped silicon surface areas of the wafer previously protected by the silicon dioxide dots during the boron doping step at a faster differential rate than it attacks the boron doped surface areas; and (f) continuing the etching until an array of lens aperture openings of a desired diameter have been formed all the way through the thickness of the wafer by the meeting of the simultaneously etched pockets produced on both sides of the wafer by the differential etching action of the etchant on the dotted undoped silicon surface areas. (a) growing a wet silicon dioxide layer on one flat planar surface to a thickness of several hundred Angstrom units; (b) by photolithographic techniques employing a photo resist and solvent for silicon dioxide produce an array of silicon dioxide dots where it is desired that aperture openings be formed on one side only of the wafer; (c) by photolithographic techniques employing a photo resist and solvent for silicon dioxide produce an enlarged area of unmasked silicon on the backside of the wafer corresponding to the area of desired aperture openings on the first mentioned side while leaving a substantial peripheral area of silicon dioxide masked silicon around the peripheral edges of the wafer; (d) spin coat a boron containing emulsion over silicon dioxide masked surfaces of both sides of the wafer and fire the wafer in a nitrogen atmosphere at about 1100.degree. C. to thereby grow a heavily boron doped layer of about 2 microns thickness through those surface areas of the wafer where it is desired that no aperture openings be formed; (e) remove excess boron containing emulsion in a hydrofluoric bath and remove silicon dioxide mask in a fresh hydrofluoric bath to leave a deep heavily doped and highly conductive layer of about 2 microns thickness in those planar surface areas of the wafer where it is desired that no apertures be formed interspersed with an array of dotted undoped silicon surface areas where it is desired that apertures be formed; (f) etching the wafer in an etchant comprising a hot pyrocatechol and ethylene diamine bath which attacks the undoped silicon surface areas of the wafer previously protected by the silicon dioxide dots during the boron doping step at a faster differential rate than it attacks the boron doped surface areas; and (g) continuing the etching action until an array of lens aperture openings of a desired diameter have been formed all the way through the thickness of the wafer by the differential etching action of the etchant on the dotted undoped silicon surface areas while leaving a substantial peripheral portion of the original starting wafer thickness to provide rigidity to the resultant lens plate. 68. The method according to claim 67 wherein the size of the dots of silicon dioxide formed on one flat planar surface of the silicon wafer is greater than the size of the silicon dioxide dots formed on the opposite surface thereby resulting in an array of aperture openings through the micro lens array plate which have a greater dimension on one side of the plate than the aperture openings on the opposite side. 69. The method according to claim 67 wherein the shape of the silicon dioxide dots formed on opposite flat planar surfaces of the silicon wafer are differently shaped resulting in the formation of an array of aperture openings through the wafer whose shape on one side of the wafer are substantially different from the shape of the aperture openings on the opposite side. 70. The product of the method of fabrication according to claim 67. 71. The product of the method of fabrication according to claim 68. 72. The product of the method of fabrication according to claim 69. 73. The product according to any of claim 67 or 68 or 69 wherein alignment marks are provided on portions of the starting silicon wafer to facilitate alignment of the plates during aperture formation using the photolithographic masks and during subsequent thermal bonding of the apertured plates to glass support rods. 74. The method of fabricating micro lens array plates from round, thin planar single crystalline silicon semiconductor wafers of about 1/2 millimeter thickness comprising the steps of: 75. The product of the method of fabrication according to claim 74. 76. The method according to claim 74 wherein alignment marks are provided on the starting silicon wafer to facilitate alignment of the plates during aperture formation using the photolithographic masks and during subsequent thermal bonding of the aperture plates to glass support rods.
046997610
abstract
An integral reusable locking arrangement for a top nozzle of a reconstitutable fuel assembly includes a thin-walled tubular section on the upper end portion of each guide thimble of the fuel assembly above an externally threaded section thereon and an axial section on ecah alignment sleeve of the top nozzle above its lower internally threaded section. The tubular section has an annular circumferential protrusion defined thereon having an external diametric size which is greater than that of the remainder of the tubular section. The axial section on the sleeve has an internal diametric size which is greater than that of the tubular section but less than that of the protrusion. Therefore, rotational movement of the sleeve relative to the guide thimble from its raised toward its lowered position to thread its lower internally threaded section on the externally threaded section of the guide thimble causes interference contact of the axial section with the tubular section protrusion so as to produce a locking force. The locking force which must be overcome in order to unthread the internally threaded section of the sleeve from the externally threaded section of the guide thimble and detach the top nozzle from the guide thimble. The locking force takes the form of a constant torsional drag produced between the tubular section protrusion and the axial section interior surface as the sleeve is rotatably moved relative to the guide thimble between its lowered and raised positions. The circumferential protrusion on the tubular section is axilly displaced from the axial section when the internally threaded section on the sleeve is initially rotatably moved into threaded engagement with the externally threaded section on the guide thimble, whereby the mechanical advantage produced by threading the internally threaded section of the sleeve on the externally threaded section of the guide thimble can be used to overcome the torsional drag and force the sleeve onto the guide thimble.
claims
1. A method for ion beam angle spread control for advanced applications, the method comprising:directing one or more ion beams at a substrate surface at two or more different incident angles, the substrate surface having a plurality of planes; andvarying an ion beam dose associated with at least one of the one or more ion beams based at least in part on the two or more incident angles, the ion beam dose associated with at least one of the one or more ion beams configured to provide uniform depth and concentration of a dopant in the plurality of planes of the substrate surface, thereby exposing the substrate surface to a controlled ion beam angle-dose distribution. 2. The method according to claim 1 further comprising:varying an ion beam energy associated with at least one of the one or more ion beams based at least in part on the two or more incident angles, thereby exposing the substrate surface to a controlled ion beam angle-energy distribution. 3. The method according to claim 1 further comprising: scanning at least one of the one or more ion beams across the substrate surface. 4. The method according to claim 3, wherein the ion beam dose is varied by changing a scan velocity associated with the one or more ion beams. 5. The method according to claim 3, wherein the two or more different incident angles are introduced during one or more scans of the substrate surface. 6. The method according to claim 1 further comprising:creating a uniform dopant profile in at least one portion of the substrate by adapting the ion beam angle-dose distribution to the substrate surface geometry. 7. The method according to claim 1 further comprising:creating a differential dopant profile in at least one portion of the substrate by exposing the substrate surface to a first ion beam condition at a first incident angle and to a second ion beam condition at a second incident angle. 8. The method according to claim 1 further comprising determining a dose and an energy for the ion beam at each incident angle based at least in part on the substrate surface geometry. 9. The method according to claim 1, wherein the two or more different incident angles comprise substantially continuous angle values. 10. The method according to claim 1, wherein the two or more different incident angles comprise incrementally varied angle values. 11. The method according to claim 1 further comprising:scanning two or more ion beams simultaneously across the substrate surface, wherein each of the two or more ion beams strike the substrate surface at a predetermined incident angle. 12. The method according to claim 11, wherein each of the two or more ion beams delivers a predetermined ion dose to the substrate surface and whereby the two or more ion beams cause the substrate surface to be exposed to the controlled ion beam angle-dose distribution. 13. The method according to claim 11, wherein each of the two or more ion beams strikes the substrate surface at a predetermined energy and whereby the two or more ion beams cause the substrate surface to be exposed to the controlled ion beam angle-energy distribution. 14. The method according to claim 1 further comprising:directing a second ion beam at the substrate surface, wherein the second ion beam comprises one or more counterdoping species with respect to at least one of the one or more ion beams. 15. At least one processor readable carrier for storing a computer program of instructions configured to be readable by at least one processor for instructing the at least one processor to execute a computer process for performing the method as recited in claim 1. 16. A method for ion beam angle spread control for advanced applications, the method comprising:directing one or more ion beams at a substrate surface at two or more different incident angles, the substrate surface having a plurality of pIanes; andvarying an ion beam energy associated with at least one of the one or more ion beams based at least in part on the two or more incident angles, the ion beam energy associated with at least one of the one or more ion beams configured to provide uniform depth and concentration of a dopant in the plurality of planes of the substrate surface, thereby exposing the substrate surface to a controlled ion beam angle-energy distribution. 17. The method according to claim 16 further comprising:scanning at least one of the one or more ion beams across the substrate surface. 18. The method according to claim 17, wherein the ion beam dose is varied by changing a scan velocity associated with the one or more ion beams. 19. The method according to claim 17, wherein the two or more different incident angles are introduced during one or more scans of the substrate surface. 20. The method according to claim 16 further comprising:creating a uniform dopant profile in at least one portion of the substrate by adapting the ion beam angle-energy distribution to the substrate surface geometry. 21. The method according to claim 16 further comprising determining a dose and an energy for the ion beam at each incident angle based at least in part on the substrate surface geometry. 22. The method according to claim 16 further comprising:scanning two or more ion beams simultaneously across the substrate surface, wherein each of the two or more ion beams strike the substrate surface at a predetermined incident angle. 23. The method according to claim 16 further comprising:directing a second ion beam at the substrate surface, wherein the second ion beam comprises one or more counterdoping species with respect to at least one of the one or more ion beams. 24. A system for ion beam angle spread control for advanced applications, the system comprising:means for directing one or more ion beams at a substrate surface at two or more different incident angles, the substrate surface having a plurality of planes; andmeans for varying an ion beam dose associated with at least one of the one or more ion beams based at least in part on the two or more incident angles, the ion beam dose associated with at least one of the one or more ion beams configured to provide uniform depth and concentration of a dopant in the plurality of planes of the substrate surface, thereby exposing the substrate surface to a controlled ion beam angle-dose distribution. 25. A system for ion beam angle spread control for advanced applications, the system comprising:means for directing one or more ion beams at a substrate surface at two or more different incident angles, the substrate surface having a plurality of planes; andmeans for varying an ion beam energy associated with at least one of the one or more ion beams based at least in part on the two or more incident angles, the ion beam energy associated with at least one of the one or more ion beams configured to provide uniform depth and concentration of a dopant in the plurality of planes of the substrate surface, thereby exposing the substrate surface to a controlled ion beam angle-energy distribution.
description
1. Technical Field The present invention relates to a charged particle beam apparatus, a method of adjusting astigmatism using same and a method of manufacturing a semiconductor device using same for observing a surface of a sample with a high throughput at a high reliability to test and estimate the structure of a sample surface and an electric conductive condition (charged particle beam testing) by irradiating a surface of a sample with a charged particle beam, the sample being a wafer or a mask including patterns having a minimum line width of less than 0.1μ. 2. Background Technology Japanese Patent Laid-open No. 2001-22986 describes an apparatus and method for observing and estimating a sample by irradiating the sample with an electron beam to detect secondary electrons, reflected electrons or backscattered electrons emitted from the sample. Japanese Patent Laid-open No. H05-258703 describes an electron apparatus wherein an electron beam is irradiated to a sample surface to detect secondary electrons emitted from the sample surface so as to combine an image from the detection results to obtain information about the sample surface. In such a sample surface observing/estimating apparatus, the adjustment of astigmatism is essential to observe the surface at a high power. This is because an image is blurred as a result of an electron beam being deformed elliptically to either direction of rotation after the electron beam passes through an aperture, causing a longitudinal direction to deviate from a spot. In order to correct such a blurred image, it is necessary to apply an electric field or a magnetic field by a lens having eight to twelve or more poles to make the longitudinal direction of the electron beam narrower to form a spot-like electron beam. For example, Japanese Patent Laid-open No. H10-247466 describes a method of adjusting astigmatism using a magnetic field. More specifically, as shown in FIG. 1(A), if an electron beam is deformed elliptically and a cross section thereof on a sample surface is deformed to an elongated shape in a direction of azimuth angle θ, it is possible to adjust the cross section of the electron beam to be like a spot by assigning suitable voltages to a pair of facing electrodes R1 and R2 positioned in the direction of azimuth angle θ, as shown in FIG. 1(B). Consequently, if, as shown in FIG. 2, it is possible to set the longitudinal direction θ of the electron beam to 0°, 45°, 90°, 135°, 180°, 225°, 270° and 315°, it is possible to change, for example, an elliptically deformed cross section of the electron beam to a beam having a circle or a spot-like cross section, by disposing a pair of opposing electrodes on a line at any one of the above angles to optimize voltages to be applied to the pair of electrodes facing on the line which corresponds to the cross section to be adjusted. In order to set azimuth angle θ and voltage V to be applied to the electrodes under optimum conditions, in the prior art, an applied voltage R has been adjusted such that a radial or ring-shaped pattern existing in a test pattern becomes sharp in all azimuth directions while observing the pattern. For example, Japanese Patent Laid-open No. H10-247466 discloses an SEM in which astigmatism is corrected by using a circular pattern. However, a conventional algorithm for automatically adjusting astigmatism is disadvantageous because it is complex and difficult to understand. This is because it is impossible to extract an azimuth angle at which a pattern is blurred due to astigmatism, by using an autofocus function. Further, the test pattern must be observed previously when various wafers are finely adjusted. There is such a problem that astigmatism adjustment for every wafer is impossible if there is no test pattern on a wafer to be tested. On the other hand, a relationship between primary electron beam irradiating energy and an efficiency of emission σ of secondary electrons is such as shown in FIG. 3. In a range where energy of irradiated primary electron beam is equal to or more than about 50 eV and equal to or less than 1500-2000 eV, efficiency of emission a of secondary electrons is equal to or more than one, and more secondary electrons than an incident electron beam is emitted. As a result, a surface of an insulating material is charge up positively. However, if the primary electron beam energy is above or below the above-described range, efficiency of emission σ becomes equal to or less than one, and the surface of the insulating material is charged negatively. There is such a problem that, if positive or negative charge up becomes large, an image formed from the secondary electrons for observation and estimation begins to be distorted, resulting in failure in acquisition of accurate information about the sample surface. Regarding the negative charge up, Japanese Patent Laid-open No. H10-275583 has proposed a method of neutralizing an electric charge on an sample surface, said method using capillary tubes and locally supplying a gas to an observation position on the sample to cause gas molecules to crash against the sample surface, thereby ionizing the gas molecules by combining the gas molecules with electrons by the crash. However, in a mapping-projection type electron apparatus which irradiates a wide area by an electron beam, it is impossible to supply a gas uniformly to the whole portions to be irradiated by the electron beam. Consequently, the above neutralizing method is not suitable to a mapping-projection type electron apparatus. On the other hand, regarding the positive charge up, it is contemplated that electrons are irradiated to a sample from a filament-type electron source such as Tungsten to neutralize the charge up. In this case, there is such a problem that an insulating material tends to move from a positively charged condition to a negatively charged condition, thereby going to a further negatively charged condition, which makes a control difficult. A method of reducing charge up by supplying a gas uniformly to a surface of a sample has also been proposed. Usually, however, pressure on the surface of the sample has such a large value as 0.01-0.1 Pa, so aberration occurs when an electron beam is irradiated to the sample surface and an image for observation and estimation is blurred. Consequently, this method is not suitable to a sample having a line width less than 0.1μ. In addition, since the pressure within a chamber becomes as high as 0.001-0.1 Pa, there is such a problem that an inner surface of the chamber becomes dirty, resulting in the generation of discharge at portions to which a high voltage is applied. Furthermore, Japanese Patent Laid-open No. 2003-331774 has proposed a method of reducing charge up by irradiating a sample surface by a laser beam. However, since the laser beam is required to have an irradiation intensity of about 10 W/cm2, a great amount of energy is consumed and is not economical. The present invention has been proposed to solve the above problems, and an object of the present invention is to provide a charged particle beam apparatus capable of astigmatism adjustment for every wafer without any need for previously preparing a test pattern for adjustment, and an astigmatism adjusting method using the apparatus. Another object of the present invention is to provide a charged particle beam apparatus capable of observation and estimation of a sample surface in a condition where no charge up exists over the whole sample, and a semiconductor device manufacturing method using the apparatus. In order to solve the problems, the present invention provides a charged particle beam apparatus for observing and estimating a sample by irradiating the sample with a charged particle beam to detect secondary charged particles such as electrons emitted from the sample, reflected electrons and backscattered electrons, wherein the apparatus includes astigmatism adjusting means for adjusting astigmatism of the charged particle beam, and wherein the astigmatism adjusting means is supplied with a correction voltage which maximizes a value for adjusting focus obtained from an image of a pattern formed on the sample. The astigmatism adjusting means preferably comprises a plurality of pairs of electrodes or coils opposing each other to place an optical axis of the charged particle beam at the center. It is preferable that the astigmatism adjusting means has an electrode comprised of a multipole, that the electrode includes a first auxiliary electrode for adjusting a focus of longitudinal lines of a pattern formed on the sample and a second auxiliary electrode for adjusting a focus of lateral lines of the pattern formed on the sample, and that the astigmatism adjusting means operates to adjust a longitudinal line focus by adjusting a voltage of the first auxiliary electrode and adjusts a lateral line focus by adjusting a voltage of the second auxiliary electrode under a condition where a voltage applied when the longitudinal line focus has become the best is kept fixed. It is preferable that the astigmatism adjusting means operates to supply a correction voltage which maximizes a value for adjusting focus obtained from an image of a second pattern having lines having a line width smaller than the lines of the pattern and that the astigmatism adjusting means operates to set an average value of the best focus in the longitudinal direction and the best focus in the lateral direction as an initial value for focus adjustment of the second pattern. Further, in order to solve the problems above, the present invention provides a method of observing and estimating a sample by irradiating the sample with a charged particle beam to detect secondary charged particles such as electrons emitted from the sample, reflected electrons and backscattered electrons, the method characterized by the step of obtaining a correction voltage which maximizes a value for adjusting focus obtained from an image of a pattern formed on the sample to adjust astigmatism of the charged particle beam. It is preferable that the pattern includes lines and spaces, the lines being wiring lines formed longitudinally and laterally on the sample. The width of said lines is preferably 250 nm-45 nm. Astigmatism is preferably adjusted using the lines or the spaces sequentially in a descending order of the size thereof. It is preferable that values for adjusting focus for the lines and the spaces are obtained in two different directions and that a difference therebetween is used as an index to make the transition from one of the lines to the next line. It is preferable that a longitudinal line focus is adjusted by adjusting a first auxiliary voltage for adjusting a focus of longitudinal lines of an image of a pattern formed on the sample and that a lateral line focus is adjusted by adjusting a second auxiliary voltage for adjusting the lateral line focus under a condition where a voltage applied when the longitudinal line focus becomes the best is kept fixed. It is preferable that a correction voltage which maximizes a value for adjusting focus obtained from an image of a second pattern having lines having a line width smaller than the lines is obtained and that an average value of the best focus in the longitudinal direction and the best focus in the lateral direction is set as an initial value for focus adjustment in the longitudinal direction of the second pattern. The above method is preferably automatically executed using an autofocus function. Further, the sample is preferably estimated in the midst of a process. Further, in order to solve the problems above, the present invention provides a charged particle beam apparatus, comprising: means for directing a primary charged particle beam to a sample for irradiation; means for guiding, to a detector, secondary charged particles having information about a surface of the sample and obtained by the irradiation of the primary charged particle beam directed to the sample; means for combining the secondary charged particles guided to the detector as an image, the apparatus characterized by further comprising: measuring means for measuring an amount of charge on a surface of the sample; and charge eliminating means for reducing or eliminating the amount of charge on the surface of the sample on the basis of the amount of charge measured by the measurement means. A current density of the primary charged particle beam is preferably set to be equal to or less than 10 A/cm2. Energy of the primary charged particle beam is preferably set to be equal to or more than 1 eV and less than 20 keV. The measuring means preferably has means for measuring an electric potential of an area in the surface of the sample irradiated with the primary charged particle beam. The charge eliminating means preferably comprises a light source for irradiating the sample and gas supplying means for supplying a gas to cover the surface of the sample uniformly. It is preferable that the light source is either a laser source or a light source lamp and that a wavelength band of a laser beam from the laser source or incoherent light from the light source lamp is equal to or less than 300 nm-600 nm. An irradiation density of the laser beam or the incoherent light is preferably equal to or more than 1 W/cm2. It is preferable that the apparatus comprises a stage for placing the sample thereon and that the gas supplying means includes a cover having at least one gas introduction part and covering the sample placed on the stage. Gas pressure within a space covered by the cover is preferably 0.0001-0.1 Pa. The gas is preferably either one of Nitrogen, water vapor, a halogenous gas having high electron affinity and a combination thereof. The charge eliminating means preferably further comprises a mechanism for controlling an amount of gas supplied by the gas supplying means and the intensity of light emitted from the light source on the basis of the output of the measuring means. The charge eliminating means preferably obtains an amount of charge Q[c] of the sample, using the equation Q=C×V on the basis of an electrostatic capacity C[F] between wiring lines of the sample and a surface potential[V] of the sample measured by the measuring means. It is preferable that the charge eliminating means obtains an amount of charge Q[c] of the sample, using the equation Q=C×V on the basis of an electrostatic capacity C[F] between wiring lines of the sample and a surface potential[V] of the sample measured by the measuring means and that the charge eliminating means calculates an amount of the introduced gas on the basis of the amount of charge, the amount of molecules of the introduced gas, temperature, a probability of ionization of the introduced gas, an elementary charge, a time constant of charge up and differential discharge speed of the cover. The charge eliminating means preferably calculates an intensity of the light source on the basis of the amount of charge, a probability of ionization of the introduced gas, an elementary charge and a first ionizing voltage of the introduced gas. It is preferable to provide a semiconductor manufacturing method characterized by inspecting a wafer in the midst of processing, using the above-described charged particle beam apparatus. Other objects and features of the present invention will become clear when reading the following description with reference to the accompanied drawings. Embodiments of a charged particle beam apparatus according to the present invention will be explained hereafter. As those skilled in the art will appreciate, the embodiments explained hereafter are a mere illustration and do not limit the scope of the present invention. It is noted that similar reference numerals designate similar or like components in the drawings. FIG. 4 is a diagram schematically showing the structure of a mapping-projection type electron beam apparatus, which is the first embodiment of a charged particle beam apparatus according to the present invention. In this figure, an electron beam apparatus 100 comprises an electron beam emitting unit 101, a primary optical system 102, a secondary optical system 103, a secondary electron detecting unit 104 and an astigmatism adjusting unit 105. Electron bean emitting unit 101 comprises an electron gun 1, a Wehnelt electrode 2 and an anode 3. A primary electron beam, or a primary charged particle beam, emitted from electron gun 1 is accelerated by anode 3 and enters into primary optical system 102. Primary optical system 102 comprises an electrostatic lens 4, a square aperture 5, a plurality of stages of a quadrupole lens 6, an E×B separator 7 and an objective lens 8. E×B separator 7 serves to change a traveling direction of the primary electron beam to cause the primary electron beam to travel to be perpendicular to a wafer W placed on an X-Y-θ stage S. Objective lens 8 forms a desired sectional shape of the primary electron beam which in turn irradiates wafer W. X-Y-θ stage S is supported to be movable in two orthogonal directions X and Y and to be able to rotate about any one of those directions, thereby causing the primary electron beam to scan a surface of wafer W. A secondary electron beam, or a secondary charged particle beam, emitted from wafer W by the irradiation of the electron beam and including reflected electrons and backscattered electrons passes through secondary optical system 103 and enters into secondary electron detecting unit 104. Secondary optical system 103 comprises objective lens 8, E×B separator 7, a first-stage capacitor lens 9 and a second-stage capacitor lens 10. Secondary electron detecting unit 104 comprises a fluorescent screen 11, a TDI 12, an MCP 13, a detector 14 and an image process unit 15. Fluorescent screen 11 converts incident secondary electrons to an optical signal, which is in turn converted to an electric signal by TDI 12 and transmitted to detector 14. Detector 14 produces an electric signal corresponding to the intensity of the received secondary electrons and sends the electric signal to image process unit 15. Image process unit 15 performs an analog-to-digital conversion of the received electric signal to form a digital image signal. Those operations are performed throughout a scan period of wafer W and, as a result, image process unit 15 can output an image of wafer W. Astigmatism adjusting unit 105 comprises an astigmatism controller 16 and an astigmatism adjuster 17. The output of image process unit 15 is supplied to astigmatism controller 16. Astigmatism adjuster 17 is a multipole comprising a plurality of pairs (for example, two or more pairs) of electrodes or coils placing the optical axis of secondary optical system 106 at the center and opposing each other on a surface perpendicular to the optical axis of secondary optical system 103. A larger number of electrodes or coils can increase the accuracy of the astigmatism adjustment. FIG. 5 is a diagram schematically showing a scan-type electron apparatus, which is the second embodiment of a charged particle beam apparatus according to the present invention. In this figure, an electron apparatus 200 comprises an electron beam emitting unit 201, an electro-optical system 202, a secondary electron detecting unit 203 and an astigmatism adjusting unit 204. Electron beam emitting unit 201 comprises an electron source 21 and a Wehnelt electrode 22. A primary electron beam, or primary charged particle beam, emitted from electron source 21 passes through Wehnelt electrode 22 and enters into electro-optical system 202. Electro-optical system 202 comprises a square aperture 23, a plurality of stages of quadrupole lenses 24 and a scanning coil 25. By adjusting a voltage applied to scanning coil 25, the direction of travel of the electron beam emitted from electron source 21 is changed to cause the electron beam to be incident to wafer W placed on X-Y-θ-stage S. X-Y-θ-stage S is supported to be movable in two orthogonal directions and rotatable about either one of the two orthogonal directions, thereby causing the primary electron beam to scan the surface of wafer W. A secondary electron beam, or a secondary charged particle beam, emitted from wafer W by the irradiation of the primary electron beam and including reflected electrons and backscattered electrons are incident to secondary electron detecting unit 203. Secondary electron detecting unit 203 comprises a detector 26 for receiving secondary electrons emitted from wafer W to convert the secondary electrons to an electric signal corresponding to the intensity of the secondary electrons, and an image process unit 27 for processing the electric signal received form detector 26 for forming an image thereof. Detector 26 generates an electric signal corresponding to the intensity of the received secondary electrons and supplies the signal to image process unit 27, which in turn makes an analog-to-digital conversion of the received electrical signal to form a digital image signal. Those operations are performed throughout a scan period of wafer W, and, as a result, image process unit 27 can output an image of wafer W. Astigmatism adjusting unit 204 comprises an astigmatism controller 28 and an astigmatism adjuster 29. The output of image process unit 27 is supplied to astigmatism controller 28. Astigmatism adjuster 29 is a multipole comprising a plurality of pairs (for example, two or more pairs) of electrodes or coils placing the optical axis of secondary optical system 202 at the center and opposing each other on a surface perpendicular to the optical axis of secondary optical system 202. In order to carry out astigmatism adjustment in an electron beam apparatus as shown in FIGS. 4 and 5, the present invention utilizes a pattern having lines and spaces running in two orthogonal directions and selected from the wiring patterns formed on wafer W so as to be able to simultaneously observe lines running vertically and horizontally. An example of the pattern is a box pattern having lines and spaces the width of which is 180 nm, as shown in FIG. 6(A). By irradiating such a pattern with an electron beam, a digital image of the pattern is obtained from image process unit 15 and 27. As a result, if a digital image indicating that a sectional shape of the electron beam is an ellipse 31 having the major axis in the direction of X axis, as shown in FIG. 6(B), is outputted, a pair of electrodes or coils of astigmatism adjuster 17, 29 located on the X axis is applied with a correction voltage having an appropriate magnitude to make astigmatism adjustment of the electron beam. As a result, the sectional shape of the electron beam can be adjusted to a circle 33 through an ellipse 32 having a shorter major axis than ellipse 31. It is noted that a width “d” represents the degree of sharpness of a pattern in the direction of Y axis. Similarly, if a digital image indicating that a sectional shape of the electron beam is an ellipse having the major axis in the direction of Y axis perpendicular to the X axis is outputted, a pair of electrodes or coils of the astigmatism adjuster located on the Y axis is applied with an appropriate correction voltage to make the sectional shape circular. In practice, a sectional shape of the electron beam does not change in the direction of one axis only, that is, in the direction of X axis or Y axis. It is general that the sectional shape is changed to an ellipse 41 which inclines in the direction of an azimuth angle α, as shown in FIG. 7, for example. Then, in the electron beam apparatus shown in FIGS. 4 and 5, astigmatism adjustment in the direction of X axis is carried out, using astigmatism adjuster 17, 29, to the electron beam having the sectional shape of ellipse 41, while observing an image outputted from image process unit 15, 27, to adjust the sectional shape of the electron beam to be ellipse 42 having the major axis in the direction of Y axis. By doing this, the resolution in the direction of Y axis can be improved. Then, astigmatism adjustment is carried out to the electron beam having the sectional shape of ellipse 42 to cause the sectional shape to be a circle 43. By doing this, an ideal round beam can be obtained from the electron beam and the astigmatism adjustment to the electron beam is completed. In FIG. 7, the width dX in the direction of X axis represents the degree of sharpness of a line in the direction of Y axis and the width dY in the direction of Y axis represents the degree of sharpness of a line in the direction of X axis. It is noted that the explanation has been made in the above to carry out astigmatism adjustment in the direction of X axis before carrying out astigmatism adjustment in the direction of Y axis, but, reversely, the sectional shape of the electron beam can be changed to circle 43 by carrying out astigmatism adjustment in the direction of Y axis before carrying out astigmatism adjustment in the direction of X axis. In order to carry out astigmatism adjustment, using the above-described process, to an electron beam having a sectional shape of ellipse 41 as shown in FIG. 7, the following steps are performed. In the explanation below, it should be noted that correction of the shape of an electron beam in the direction of X axis makes longitudinal lines in a photographed pattern clear and correction of the shape of an electron beam in the direction of Y axis makes lateral lines in a photographed pattern clear. (1) An electron beam is irradiated to a wafer including a pattern having relatively wide lines and spaces (for example, the line width is 180 nm), and a static image thereof is obtained at predetermined resolution; (2) The process moves to a box pattern (for example, a box pattern having lines and spaces having a certain amount of width as shown in FIG. 6(A)) in the obtained image having lines running vertically and horizontally or perpendicular to each other; (3) Using an autofocus function of the electron beam apparatus, a value for adjusting focus, Fv, is obtained from the box pattern of interest when the longitudinal lines can be seen clearly. Specifically, a correction voltage VX for correcting longitudinal astigmatism is applied to the multipole of astigmatism adjuster 28 and a value for adjusting focus, Fv1, is obtained when the longitudinal lines can be seen clearly; (4) Then, a focal value for adjusting focus, Fh, is obtained when lateral lines can be seen clearly. Specifically, a correction voltage VY for correcting lateral astigmatism is applied to the multipole of astigmatism adjuster 28 and a value for adjusting focus, Fh1, is obtained when the lateral lines can be seen clearly, while fixing VX1, a correction voltage when Fv1. If the shape of the irradiating beam is circular, Fv1 and Fh1 should coincide with each other. However, since the line width of the observed object is relatively large, such as 180 nm, it is contemplated that such an order has distorted the beam shape. Then, in the next step, an image of a pattern having a smaller line width is obtained and astigmatism of the electron beam is corrected on the basis of the obtained image; (5) The electron beam is irradiated to a wafer having smaller line and space widths (for example, line width of 150 nm) and a static image thereof is obtained at predetermined resolution; (6) The process moves to a box pattern having lines running vertically and horizontally; (7) Using the autofocus function of the electron beam apparatus, a value for adjusting focus, Fv, is obtained from the box pattern of interest when the longitudinal lines can be seen clearly. As described above, Fv1 and Fh1 should coincide with each other if the irradiating beam shape is circular, so a value for adjusting focus to be obtained is thought to exist between Fv1 and Fh1. Consequently, at this stage, correction voltage VX is applied having an average value of Fv1 and Fh1, Fo=(Fv1+Fh1)/2, as an initial value, to obtain the best longitudinal value for adjusting focus, Fv2; and (8) Then, lateral adjustment is made. A correction voltage VY for correcting lateral astigmatism is applied to the multipole of astigmatism adjuster 28 and a value for adjusting focus, Fh2, is obtained when the lateral lines can be seen clearly, while fixing VX2, a correction voltage in the direction of X axis when Fv2. Hereafter, processes (5)-(8) are repeated for a box pattern having a smaller line width (of, for example, 130 nm) and the astigmatism of the electron beam is corrected. This process is repeated, taking a line width of an actual tested object into account. It is noted that a quadrupole is used for correcting electrodes in this embodiment as multipole of astigmatism adjuster 28. In the case of octupole or dodecrupole, however, since it is possible to make such correction in the directions of multiple axes, as well as in two orthogonal axes, X and Y axes, there may be a case where the electron beam shape can be corrected to be substantially circular by means of only one box pattern. Generally, a difference ΔF (=Fv1−Fh1) has a certain value if astigmatism adjustment is insufficient. Difference ΔF is reduced and pattern resolution in the directions of X and Y axes comes up, as the astigmatism adjustment proceeds. FIG. 8 shows a correlation between the magnitude of correction voltage VX or VY and an autofocus estimation value Fh or Fv. From the drawing, it can be seen that the autofocus value becomes maximum at a certain value of the correction voltage. FIG. 9 shows, as an example, transitions in Fv and Fh when astigmatism adjustment is carried out in sequence in accordance with the above steps, utilizing the autofocus function and using box patterns having line and space widths of 180 nm, 150 nm and 130 nm. In FIG. 9, the term “180L&S after adjustment” shows a state after the astigmatism adjustment for the box pattern having line and space widths of 180 nm is completed. The terms “150L&S after adjustment” and “130L&S after adjustment” have a similar meaning. As shown in FIG. 9, difference ΔF between Fv1 and Fh1 becomes smaller every time the astigmatism adjustment is carried out using a box pattern having a smaller line width, and difference ΔF becomes to zero and excellent resolution can be obtained in both X and Y directions, after the completion of the astigmatism adjustment for the box pattern having the line width of 130 nm. FIG. 10 shows VX and VY in each box pattern when the adjustment referred to in FIG. 9 is made. It is noted that α=tan−1(VY/VX) and R is an intensity. In FIG. 10, the unit of VX and VY is volt and the unit of α is degree. Only about twenty seconds was required to complete the astigmatism adjustment using the above box patterns having three different line widths. As will be seen from the above, in the first and second embodiments of the present invention, an optimum correction voltage for astigmatism adjustment is obtained using autofocus values of an image obtained from a pattern formed on a sample. Consequently, such an advantage can be brought about that astigmatism adjustment can be completed more quickly with an algorithm simpler than a conventional one. Next, the structure and operation of the third embodiment of a charged particle beam apparatus according to the present invention will be explained using FIG. 11. The charged particle beam apparatus shown is embodied to a mapping-projection type charged particle beam apparatus 300. This mapping-projection type charged particle beam apparatus has a stage S movable in the directions of three orthogonal axes and rotatable about one axis. A sample W (for example, an 8-12 inch silicon wafer on which circuit patterns in the midst of LSI manufacturing are formed) is disposed on stage S. In order to irradiate sample W with a primary electron beam (primary charged particle beam), there is provided a primary electro-optical system 302 comprising a particle beam emitting unit 301 having a cathode 31, a Wehnelt electrode 32 and an anode 33, a plurality of stages of electrostatic lenses 34, an aperture 35 and a beam splitter 36 such as EB filter. A primary charged particle beam emitted from cathode 31 irradiates sample W through primary electro-optical system 302 comprising Wehnelt electrode 32, anode 33, electrostatic lenses 34, aperture 35 and beam splitter 36. Secondary charged particles (including secondary electrons, backscattered electrons and reflected electrons) emitted from the surface of sample W is magnified by the magnification of 50-1000 by electrostatic lenses 37, 38 and 39 forming a secondary electro-optical system 303 and is focused on a detection system 304. Detection system 304 comprises a microchannel plate (MCP) 40, a fluorescence screen 41 and a TDI (Time Delayed Integration) 42. The secondary charged particles is multiplied by MCP 40 and is converted to a two-dimensional optical signal by fluorescence screen 41. The two-dimensional optical signal is directed to TDI 42 and is detected as an image. Sample W placed on stage S is continuously moved with continuous upward, downward, leftward and rightward movement of stage S and a two-dimensional image signal can be obtained by TDI 42 at high speed. An image signal outputted from TDI 42 is supplied to an image process mechanism 43, which in turn forms an electronic image of sample W and detects, identifies and classifies defects of sample W for feedback to a manufacturing process management. Further, charged particle beam apparatus 300 shown in FIG. 11 has charge-up eliminating means 305 for eliminating charge up of sample W. Charge-up eliminating means 305 is provided with a cover 44 to cover the whole sample placed on stage S, as shown in FIG. 12. A gas introducing part 45 is formed on cover 44 and is connected to a gas container 47 through a flow adjuster 46. In order to eliminate charge up uniformly in the whole sample, it is important to introduce a gas as uniformly as possible to the inside of cover 44. To this end, it is preferable that a plurality of (for example, eight) gas introducing parts 45 are provided around cover 44. As a gas supplied from gas container 47, N2, He, Ar, dry air, SF6, Xe, Ne, X2, H2, O2 and the like can be used. A light source 48 is mounted at an appropriate position inside of cover 44, and light emitted from light source 48 irradiates sample W. A light intensity adjuster 49 is provided to adjust the intensity of the light. As light source 48, either a laser source for emitting a laser beam or a light source lamp for emitting incoherent light can be used. A wavelength band of the laser beam and the incoherent light is preferably equal to or less than 300 nm-600 nm. An irradiation density of those types of light is preferably equal to 1 W/cm2 or more. For example, He—Cd laser can be used as the laser source. In this case, the wavelength of the laser beam is preferably equal to 500 nm or less, and laser beams having two kinds of wavelength, 320 nm and 420 nm, are particularly preferable. An irradiation intensity is preferably equal to 2 W/cm2 or less and, in particular, equal to 1 W/cm2 or less and an irradiation area is preferably equal to 1 mm2 or less. As other laser sources, laser diode, YAG, excimer laser, TiAl2O3 and the like can be used. A continuous irradiation method, a pulse irradiation method and the like can be used as a laser beam irradiation method. It is noted that, although a single light source 48 is used in the embodiment shown in FIG. 11, it is useful to provide a plurality of light sources to enhance the light intensity. In this case, it is contemplated that a plurality of light sources may be positioned in symmetry with the central axis of sample W to irradiate sample W by a plurality of kinds of light from symmetrical directions so as to increase the irradiation efficiency. Further, in order to perform electric potential measurement of an area where a surface of sample W is irradiated with the primary charged particle beam, a surface electrometer 50 is provided within cover 44. A introduced gas amount and light intensity control mechanism 51 calculates an amount of electrostatic charge on the surface of sample W from the potential measured by the surface electrometer, calculates an amount of gas to be introduced into cover 44 and the intensity of light emitted from light source 48 and supplies the calculated results to gas flow adjuster 46 and light intensity adjuster 49 to control them. It is noted that an amount of charge on a surface of sample W can be calculated using the equation Q=C×V, where Q is an amount of charge [C], C is an electrostatic capacity [F] and V is a surface potential[V]. An electrostatic capacity between lines is determined at the time of circuit design. To calculate an amount of introduced gas from an amount of electrostatic charge, it is possible to use, for example, the following equations:G=P×Seff P=[(MT)1/2/8.33×1022]×[ηQ/qτ]×104 where G is an amount of introduced gas [L/sec], P is sample surface pressure [Pa], Seff is differential discharge speed [L/sec], M is the number of molecules of the introduced gas, T is temperature [K], η is a probability of ionization, q is an elementary charge [c] and τ is a time constant of charge up [sec]. To calculate a laser intensity from an amount of charge, it is possible to use, for example, the following equations:W=Vg×Nm Nm=(η×Q)/q where W is a laser intensity [w], Vg is the first ionization voltage of the introduced gas [V] and Nm is the number of molecules per unit volume of the introduced gas [/cm3]. Then, a gas is introduced from gas container 47 through gas introducing part 45 to the inside of cover 44. After the inside of cover 44 reaches a gas pressure corresponding to a preset value outputted from gas introduced amount and light intensity control mechanism 51, light source 48 is activated and starts illumination. Consequently, the intensity of light emitted from light source 48 corresponds to the preset value outputted from gas introduced amount and light intensity control mechanism 51. The gas irradiated by the light from light source 48 reaches the surface of sample W as an ionized gas. If a primary charged particle beam irradiates sample W in such a condition, a neutralization action of the gas ionized by the light works even if positive or negative charge up is generated on the surface of sample W. As a result, it is possible to cause the charge on the surface of sample W to be in an equilibrium state (that is, charge zero state). Further, by irradiating sample W directly with light, it is possible to increase the conductivity of the surface of sample W and to improve the uniformity of surface potential of a metal and an insulating material. Consequently, it is possible to obtain a satisfactory electric charge equilibrium state by a synergistic effect with the neutralization action of a gas for ionization. As a result, a satisfactory image without charge up and distortion can be obtained by using a charged particle beam apparatus having the structure shown in FIG. 11. FIG. 13 is a diagram schematically showing the structure of the fourth embodiment of a charged particle beam apparatus according to the present invention and the charged particle beam apparatus is embodied as a scanning-type charged particle beam apparatus 400. It is noted that the same or similar elements as shown in FIGS. 11 and 12 are designated by the same reference numerals in FIG. 13. In FIG. 13, a primary charged particle beam emitted from a cathode 31 passes through a Wehnelt electrode 32 and is accelerated by an anode 33. The accelerated primary charged particle beam passes through an aperture 35 and scans a surface of a sample W at a desired magnification by an electrostatic lens 34 and a scanning coil 52. A secondary charged particles, such as secondary electrons, backscattered electrons and reflected electrons, emitted from sample W by the irradiation of the primary charged particle beam is detected by a detector 53 such as a photomal, thereby obtaining a two-dimensional image. Also in this embodiment, it is possible to obtain a satisfactory image without charge up and distortion by causing charge on a surface of sample W to be in an equilibrium state by using the means and methods described above with reference to FIGS. 11 and 12. Hereafter, explanations will be made about examples of a charged particle beam apparatus 300, 400 according to the present invention. Example 1 is one regarding the third embodiment shown in FIG. 11. In the mapping-projection type charged particle beam apparatus 300 shown in FIG. 11, after the whole sample chamber (not shown) including sample W and stage S was discharged to such an extent as 1.0×10−6 Pa, a nitrogen gas from gas container 47 was depressurized through a regulator (not shown) to such an extent as 0.1 Mpa, and was adjusted by flow adjuster 46 to 5 sccm. Then, the nitrogen gas was introduced from gas introducing part 45 to the inside of cover 44. By the gas introduction, the pressure within cover 44 reached about 1.0×10−3 Pa. The portions which requires an operation environment to be at high vacuum, such as the primary electro-optical system column, the secondary electro-optical system column and the detecting system, were kept at such high vacuum as about 1.0×10−5 Pa by a differential discharge mechanism. Under such pressure conditions, after the intensity of the laser beam was set to a value capable of ionizing the nitrogen gas, the laser beam was irradiated near an area irradiated with the primary charged particle beam, and an image was obtained for observation and estimation of the sample surface. The nitrogen gas was ionized by the irradiation of the laser beam, and the neutralization action thereof caused the surface charge of sample S to approach to zero, resulting in an equilibrium state. As a result, a satisfactory image without charge up and image distortion was obtained in peripheral portions where image height was large and in areas of insulating materials. In the charged particle beam apparatus 300 shown in FIG. 11, the above process was performed by introducing a halogenous gas, instead of Nitrogen in the above example, which has a stronger electron affinity than Nitrogen. Then, it was found that the time for causing the charge on the surface of sample W to reach the equilibrium state could be shortened and that test could make a throughput higher in the case of testing such a sample as a wafer. The third and fourth embodiments of the present invention can bring about such advantages that, since an amount of electrostatic charge on a sample surface can be reduced or eliminated, a satisfactory image without distortion can be obtained, and, therefore, it is useful to apply those embodiments to mapping-projection type or scanning-type charged particle beam apparatuses. Some embodiments of a charged particle beam apparatus according to the present invention have been described in detail, but the present invention should not be limited to those embodiments. Those skilled in the art could make various modifications and variations of the present invention without departing the scope of the claims.
055307299
claims
1. A spacer for retaining, at a plurality of levels, elongated fuel rods surrounded by a fuel channel through which coolant is arranged to flow downstream in a fuel assembly for a boiling water reactor, said spacer comprising a plurality of cells including corner portions for accommodating the fuel rods which are surrounded by an external spacer frame which is provided all around with a plurality of openings through which the coolant is directed to the inside of the spacer frame, wherein the external spacer frame comprises a first portion in the fuel assembly arranged at a distance from the inner surface of the fuel channel and a second portion arranged at a shorter distance from the fuel channel compared with the first portion, and that on the inside of the frame, between the first and second portions, there is formed an edge which is obliquely positioned in relation to the longitudinal direction of the fuel assembly, said edge communicating with at least one of such openings located at the corner portions, the edge being inclined in a downstream direction toward each of the corner portions for directing the coolant toward the fuel rods arranged at the corner portions of the spacer. 2. A spacer according to claim 1, wherein at at the corner portions of the spacer frame, there are arranged recesses which are limited at top and bottom by projecting parts. 3. A spacer according to claim 1, wherein, at the lower edge of the spacer, guiding studs are arranged comprising a plate tab or the like, the plane of which is arranged parallel to the flow direction of the coolant and one side edge of which extends in an inclined manner from the lower edge of the frame in a direction upstream of the spacer towards the centre of the spacer. 4. A spacer according to claim 1, wherein the spacer comprises a further edge formed between the first and second portions extending in a direction transverse to the direction of flow. 5. A spacer according to claim 4, wherein the spacer comprises a guiding surface which comprises a long, flat plane inclined in a direction toward the centre of the spacer and extends downstream of the spacer starting from the further edge. 6. A spacer according to claim 4, wherein, in the second portion, there is arranged at least one bottle-like opening which constitutes an extension of one of said plurality of openings downstream of the spacer. 7. A spacer according to claim 1, wherein, in at least one corner, the spacer comprises a long, flat surface inclined inwardly toward the centre of the spacer. 8. A fuel assembly for a boiling water reactor with an upper part with coolant in two-phase state and a lower part with coolant essentially in single-phase state, said fuel assembly comprising an elongated fuel channel connected to a coolant intended to flow downstream through the fuel channel, a bundle of elongated fuel rods arranged in the fuel channel and retained by a plurality of spacers arranged at different levels along the bundle, each one of said spacers comprising a plurality of cells including corner portions for accommodating the fuel rods, said cells being surrounded by an external spacer frame which is provided all around by a plurality of openings through which the coolant is directed to the inside of the spacer frame, wherein the fuel assembly comprises at least one spacer which comprises a spacer frame with a first portion in the fuel assembly arranged at a distance from the fuel channel, and a second portion arranged at a shorter distance from the inner surface of the fuel channel compared with the first portion, and that on the inside of the frame, between the first and second portions, there is formed an edge which is obliquely positioned in relation to the longitudinal direction of the fuel assembly and which extends in an inclined manner in a direction downstream of the spacer (7) and toward the fuel rod (3) arranged in the corner of the spacer (7) said edge communicating with at least one of such openings located at the corner portions, the edge being inclined in a downstream direction toward each of the corner portions for directing the coolant toward the fuel rods arranged at the corner portions of the spacer. 9. A fuel assembly for a boiling water reactor with an upper part with coolant in two-phase state and a lower part with coolant essentially in single-phase state, said fuel assembly comprising an elongated fuel channel connected to a coolant intended to flow through the fuel channel, a bundle of also elongated fuel rods arranged in the fuel channel and retained by a plurality of spacers arranged at different levels along the bundle, each one of said spacers comprising a plurality of cells for accommodating the fuel rods, said cells being surrounded by an external spacer frame which is provided all around with a plurality of openings, wherein the upper part comprises at least one spacer according to claim 1 and the lower part comprises at least one low-pressure drop spacer. 10. A fuel assembly according to claim 9, wherein the low-pressure drop spacer comprises a first portion and a second portion, the first portion in the fuel assembly (1) being arranged at a larger distance from the inner side of the fuel channel than the second portion and wherein the first and second portions are arranged alternately and vertically positioned and that the low-pressure drop spacer both at top and bottom is provided with folded-in guiding studs comprising a plate tab or the like, the plane of which is arranged in parallel with the direction of flow of the coolant and one side edge of which extends in an inclined manner from the lower and upper edge of the frame, respectively, in a direction upstream and downstream of the low-pressure drop spacer, respectively, towards the centre of the low-pressure drop spacer.
summary
043572979
abstract
An apparatus for thermally insulating nuclear reactor primary vessels. The apparatus includes a plurality of cylindrical reflective metal plates located within the primary vessel for thermally insulating the side walls of the vessel from the temperatures generated by the reactor. The reflective plates are radially spaced apart and are positioned in an annular inverted U-shaped chamber that traps inert gas around the reflective plates. The plates and the annular chamber insulate the side walls of the primary vessel from the temperatures generated by the reactor.
summary
abstract
A radiosurgery system is described that is configured to deliver a therapeutic dose of radiation to a target structure in a patient. In some embodiments, inflammatory ocular disorders are treated, specifically macular degeneration. In some embodiments, other disorders or tissues of a body are treated with the dose of radiation. In some embodiments, the target tissues are placed in a global coordinate system based on ocular imaging. In some embodiments, the target tissues inside the global coordinate system lead to direction of an automated positioning system that is directed based on the target tissues within the coordinate system. In some embodiments, a treatment plan is utilized in which beam energy and direction and duration of time for treatment is determined for a specific disease to be treated and/or structures to be avoided. In some embodiments, a fiducial marker is used to identify the location of the target tissues. In some embodiments, radiodynamic therapy is described in which radiosurgery is used in combination with other treatments and can be delivered concomitant with, prior to, or following other treatments.
summary
054147425
abstract
Leak-detection system and method for detecting a leaking container. The system includes an enclosure defining a cavity therein surrounding the container, which container may be a nuclear fuel rod having fission products leaking through a breach in the exterior surface of the fuel rod. The fission products are capable of adhering to the exterior surface of the fuel rod as the fission products leak through the breach in the fuel rod. A radiation detector is in communication with the cavity for detecting the fission products leaking through the breach. Moreover, a gas injector is in communication with the cavity for injecting a multiplicity of carrier gas bubbles into the cavity to remove any fission products adhering to the exterior surface of the fuel rod and to carry the fission products removed thereby to the radiation detector. In this manner, the detector detects the leaking fission products even though they may tend to adhere to the exterior surface of the fuel rod. In addition, an elevator is connected to the fuel assembly for elevating the fuel assembly in the cavity, so that the external pressure acting against the exterior surface of the fuel rods is reduced in order to relieve the internal pressure in the fuel rods. As the fuel assembly is elevated, any fission products tending to "hide-out" in the fuel rods expand and migrate through the breach. As the fission products migrate through the breach, they are carried by the carrier gas bubbles to the radiation detector where they are detected by the radiation detector. In this manner, otherwise undetectable leaking fuel rods become detectable.
claims
1. A portable X-ray detection device comprising:a two-dimensional X-ray detector unit; anda sensing unit configured for recognizing whether an anti scatter grid is attached to said X-ray detector unit,said portable X-ray detection device being configured for operability in connection, one at a time, with any from among a plurality of configurations for, automatically and without need for user intervention: a) selecting, based on output of said sensing unit indicating a result of said recognizing, an active mode of an X-ray source; and b) operating said source in said mode. 2. A portable X-ray detection device according to claim 1, further comprising:a handle configured for facilitating a manual transportation of the portable X-ray detection device. 3. A portable X-ray detection device according to claim 1, having a weight of less than 10 kg. 4. A portable X-ray detection device according to claim 1, the portable X-ray detection device having a flat structure with a height of less than 5 cm. 5. A portable X-ray detection device according to claim 1, wherein the sensing unit is configured for recognizing a type of said grid being attached to said X-ray detector unit. 6. A portable X-ray detection device according to claim 1, further comprising:an automatic exposure control unit configured for measuring a radiation dose impinging onto said X-ray detector unit in real time. 7. A portable X-ray detection device according to claim 1, configured for being inserted into a box that is configured to receive said grid and said device in a predetermined spatial orientation with respect to each other. 8. A medical X-ray imaging system comprising:a portable X-ray detection device according to claim 1, configured to receive X-rays generated by said X-ray source that have penetrated an object under examination. 9. The system of claim 8, further comprising:coupled to said sensing unit, an X-ray generator device for providing electric energy to said X-ray source. 10. The system of claim 9, further comprising:a control unit configured for coupling both to said portable X-ray detection device and to said X-ray generator device. 11. A portable X-ray detection device according to claim 1, configured for transportation, as a portable device, from a current one to a next one of the plural configurations so as to entail removal of said device out of current connection with said current one and insertion of said device into connection with said next one. 12. A portable X-ray detection device according to claim 11, said removal being manual and from a receptacle, said insertion being manual and into a receptacle. 13. A portable X-ray detection device according to claim 11, said current one and said next one differing only as to location. 14. A portable X-ray detection device according to claim 11, said current one and said next one being configured for utilizing output of said sensor unit and of said two-dimensional X-ray detector unit. 15. The portable X-ray detection device of claim 1, configured for, responsive to said grid being attached or removed, real time communication to an X-ray generator device. 16. A portable X-ray detection device according to claim 7, further configured for use in a movable medical X-ray imaging system that does not use automatic exposure control. 17. A portable X-ray detection device according to claim 16, comprising an automatic exposure control unit. 18. A portable X-ray detection device according to claim 3, having a weight less than 6 kg. 19. An X-ray imaging system, in particular a medical X-ray imaging system, the X-ray imaging system comprising:an X-ray source, which is adapted to generate X-rays penetrating an object under examination:a portable X-ray detection device comprising: a two-dimensional X-ray detector unit and a sensing unit, which is adapted to recognize whether an anti scatter grid is attached to the X-ray detector unit, wherein the portable X-ray detection device is adapted to receive X-rays, which have been penetrated the object under examination;an X-ray generator device for providing electric energy to the X-ray source, which X-ray generator device is coupled to the sensing unit; anda control unit, which is coupled both to the portable X-ray detection device and to the X-ray generator device, wherein the control unit is adapted to select one of at least two predefined parameter datasets for operating the X-ray generator device, whereby the selection depends on the presence or absence of an anti scatter grid, the predefined parameter datasets being designed to be used both with and without said grid by attaching a grid parameter representing said presence or absence of said grid. 20. A non-transitory computer-readable medium on which there is stored a computer program for acquiring medical X-ray imaging data of a patient under examination, the computer program being configured for, when being executed by a control unit, performing a plurality of acts, the plural acts comprising:recording X-ray attenuation data by means of a portable X-ray detection device comprising:a two-dimensional X-ray detector unit; anda sensing unit configured for recognizing whether an anti scatter grid is attached to said X-ray detector unit; andautomatically and without need for user intervention: a) selecting, based on output of said sensing unit indicating a result of said recognizing, an active mode of an X-ray source; and b) operating said source in said mode. 21. A medical X-ray imaging system configured for receiving a portable X-ray detection device, said device comprising:a two-dimensional X-ray detector unit; anda sensing unit configured for recognizing whether an anti scatter grid is attached to said X-ray detector unit,said medical X-ray imaging system being configured for, automatically and without need for user intervention: a) selecting, based on output of said sensing unit indicating a result of said recognizing, an active mode of an X-ray source; and b) operating said source in said mode. 22. A method for making a medical X-ray imaging system configured for receiving a portable X-ray detection device, said device comprising:an X-ray detector unit; anda sensing unit configured for recognizing whether an anti scatter grid is attached to said X-ray detector unit, said portable X-ray detection device being operable in connection, one at a time, with any from among a plurality of configurations,said method comprising:creating a configuration from among the plural configurations and, in doing so, configuring it for, automatically and without need for user intervention: a) selecting, based on output of said sensing unit indicating a result of said recognizing, an active mode of an X-ray source; and b) operating said source in said mode. 23. The method of claim 22, said selecting being from among a plurality of active modes, an active mode from among the plural active modes being a predetermined operating mode for automatically, in case said grid is attached, adjusting, in compensation for X-ray attenuation caused by said grid, a radiation dose originating from said source. 24. A method for making a portable X-ray detection device, comprising:configuring said portable X-ray detection device, comprising a two-dimensional X-ray detector unit and a sensing unit configured for recognizing whether an anti scatter grid is attached to said X-ray detector unit, for operability in connection, one at a time, with any from among a plurality of configurations for, automatically and without need for user intervention: a) selecting, based on output of said sensing unit indicating a result of said recognizing, an active mode of an X-ray source; and b) operating said source in said mode.
description
As shown in FIG. 1, a CRUD collection system 1 of the present invention includes, for example, a shoe-box-sized sealable collection head 70 for enclosing part of a nuclear reactor fuel rod 90 while submerged in a water-filled pool (not shown). Collection system 1 also includes a mounting bracket 10 and a funnel weldment 11. Mounting bracket 10 can be used to help to maneuver system 1 into position in the pool. Additional equipment can be mounted to head 70 such as cameras and light sources (not shown). Otherwise, stand-alone cameras and light sources can be used. A remotely controlled vehicle (not shown) for maneuvering system 1 can also be attached to head 70. As described in more detail below, in one embodiment, funnel 11 can be used to receive rod 90 for eventual sampling within head 70. In the preferred embodiment, six cylinders 18 are disposed on head 70 to allow a slidably engaged cover plate 4 to move in an opened and closed position. Also provided on the external portion of system 1 is a deionized water outlet 74 and an air outlet 76. Likewise, on the opposite side of system 1, a deionized water inlet 78 is provided along with an air inlet 80. These components can also be clearly seen in FIG. 3. Hosing (not shown) can be attached to inlets 78 and 80 for respectively providing a supply of deionized water and air to collection head 70. Outlets 74 and 76 may vent directly into the pool, or alternatively, they may also be attached to hosing should it be desired that outputted water and air is released at another location. For instance, in one embodiment, outputted deionized water flows, along with a CRUD sample to a control console 100 (FIG. 5) for filtration. As will be further discussed, a supply of air and deionized water is necessary to fully realize the benefits of the present invention. As shown in FIG. 2a, collection head 70 is further divided into a sealed collection chamber 73 which provides a closed environment for removing deposits from rod 90. The closed environment makes it possible to collect CRUD samples on a relatively contaminant-free basis. As discussed below in further detail, collection head 70 contains devices that scrape CRUD from rod 90 while rod 90 is enclosed in chamber 73 of head 70. The scraped samples are ultimately trapped in a filter for analysis as is necessary. Still considering FIG. 2a, the cross section of collection head 70 exposes the internal devices mentioned earlier. In the preferred embodiment, collection head 70 is assembled from a slide housing 3 that is enclosed at its front and the rear respectively by a mounting bracket weldment 12 and a seal plate 2. Slide plate 5 seals the top of slide housing 3. As for collection chamber 73, an openable cover plate 4 is also provided to enclose its top portion. In one embodiment, cover plate 4 is slidably connected to head 70 by means of cylinders 18 so that it can move to an open position as shown in FIG. 2b. Such a configuration is desirable because rod 90 can be engaged with head 4 before blades 7 are in scraping engagement with rod 90. Alternatively, cover plate 90 may be securely sealed to head 70. The various components can be held together by screws such as cap screw 31 shown holding seal plate 2 to other components of head 70. Additional cap screws can be seen in use throughout the device. However, other means known in the art may be used to securely fasten together components of head 70. Slide housing 3 includes a pneumatic cylinder 72 that is matingly engaged to a corresponding base slide 17 that is configured to slide along the length of cylinder 72. In one embodiment, slide housing 3 includes a self-contained locomotion means for moving slide 17. For example, in this embodiment, pneumatic cylinder 72 is supplied with air from inlet 80 to allow base slide 17 to move along cylinder 72. The speed of movement can be restricted by a hydraulic damper (not shown). A slide plate 5 is mounted to slide 17 allowing it to also move laterally back and forth. As shown in this embodiment, o-rings 37 are provided to seal collection chamber 73 from slide housing 3. Thus, while slide plate 5 moves laterally, a seal prevents pool water from entering chamber 73 from slide housing 3 A scraper plate 6xe2x80x94on which blades 7 are attachedxe2x80x94is spring-mounted to plate 5 (FIG. 4). Springs 19 serve to urge blades 7 against rod 90. Thus, by moving base slide 17, which in turn moves base plate 5, which in turn moves scraper plate 6 and blade 7, blade 7 can scrape rod 90. As will be described in more detail, blade 7 may constitute multiple blades. In the preferred embodiment, multiple blades 7, fabricated from zirconium alloy, are secured to scraper plate 6. Referring to FIG. 4, it is noted that blades 7 have a semicircular region which corresponds to rod 90. Preferably, blades 7 are relatively flexible. It has been found that a CRUD sample scraped from about six inches of rod 90 provides a quality sample for purposes of analysis. Thus, three blades 7 are provided in a specific embodiment where the blades are separated by two inches and are each designed to travel a distance of two inches. In this way, a total of six inches of CRUD is scraped from rod 90. Regarding the material from which blades 7 are fabricated, a zirconium alloyxe2x80x94which can be similar or identical to the material used to fabricate rod 90xe2x80x94is used because it has been found to prevent scratching of the cladding surface. It is generally known that underneath the CRUD layer there is an oxide layer that is harder than the cladding below. Because the scraping blades are made of the same or similar material as is the softer cladding, the CRUD can be removed without breaking through the oxide layer and damaging the cladding. Also, blades made from zirconium alloy are not drastically worn during use and therefore do not contaminate the sample. As mentioned, in one embodiment, funnel 11 is provided for receiving rod 90. Funnel 11 is mounted to cover plate 4 by means of bracket weldment 13. This allows funnel 11 to move with cover plate 4 to the open position as shown in FIG. 2b. Funnel 11 may includes rod seal 8 for creating a water tight seal around rod 90 once rod 90 is received into funnel 11. In one embodiment, as shown in FIG. 3, rod seal 8 is separable and include first part 9a and second part 9b. First part 9a is retained in cover plate 4 (or funnel 11) and is movable therewith. Second part 9b can be retained by seal plate 2 (FIG. 2a). When cover plate 4 is in the closed position as shown in FIG. 3, parts 9a and 9b engage one another to form a complete seal surrounding rod 90. An identical sealing device 15 (FIG. 2a) is provided to seal the opposite end of rod 90. Alternatively, a one-piece construction rod seal 8 may be disposed in cover plate 4. one embodiment a control console 100 can be provided as shown, for example, in FIG. 5. In an upper portion 120 a bank of switches 122 is provided so that a user can change the system from automatic to manual for valves 74, 76, 78, and 80 and a pump (not shown) enclosed within console 100. A radiation monitor 124 is also provided. Monitor 124 is designed to shut off system 1 if a predetermined radiation level is reached at a filter housing (not shown) enclosed within console 100. A control panel 126 is provided for automatic control of system 1. For example, these controls may include input buttons for starting and stopping system 1 and a small LCD display that provides instructions and status of system 1. A lower part 140 of console 100 is provided with connections 142 for water and air lines from valves 74, 76, 78, and 80. For example, these lines can be for water in, sample lines, water out, and pneumatic lines. In center portion 144 of lower part 140 there are provided water and air gauges, and pressure regulators. A filter housing 146 is configured to receive deionized water from system 1 for filtration of the CRUD sample. In use, CRUD collector 1 is deployed into a deactivation pool (not shown) and can be maneuvered, for example, by remote control. For ease of operation, collector 1 may include a camera and illumination source (not shown). This would allow an operator to more easily steer collector 1 into position for making a deposit collection. In one embodiment, a pole (not shown) is attached to bracket 10 of collector 1. The pole can then be manually maneuvered in order to position collector 1. Once collector 1 is positioned in close vicinity to a fuel rod, funnel 11 can be positioned over the rod so that the two are radially aligned. At this time, cover plate 4 is in the open position as shown in FIG. 2b. By moving funnel 11 towards rod 90, rod 90 is held by rod seal 8 (FIG. 3). Now cover plate 4 is lowered by pneumatic or other means to seal a part of rod 90 in collector 1. This allows rod 90 to contact blades 7 and seals collection chamber 73. Springs 19 urge blades 7 against rod 90. To prevent contamination of the sample, it is desirable to transport deposit samples in deionized water or another inert fluid rather than pool water. In one embodiment, deionized water is injected into collector 1 through inlet 78, while the contents of chamber 73 are purged to the pool through outlet 74. Over time, this has the effect of removing most of the pool water from chamber 73 and replacing it with deionized water. The procedure of collecting a sample of CRUD can then continue as discussed below. After deionized water is in place in chamber 73, blades 7 are moved laterally to scrape a CRUD sample from rod 90. The CRUD samplexe2x80x94now suspended in the deionized waterxe2x80x94may be separated from the deionized water by filtering through a membrane (not shown) and stored for eventual analysis. In one embodiment the aforesaid filtration takes place in filter housing 146 of console 100. However, it is understood that head 70 may itself include a filtration device eliminating the need for a separate console 100. Likewise, all components of console 100 may be combined into head 70. In this way, a method is provided whereby a CRUD sample is obtained in a relatively contaminant-free manner. While the foregoing description and drawings represent the preferred embodiments of the present invention, it will be apparent to whose skilled in the art that various changes and modifications may be made therein without departing from the true spirit and scope of the present invention.
description
This application is a continuation of PCT Application PCT/US2004/025112 filed Aug. 4, 2004, and published under the PCT Articles in English as WO 2005/031329 A1 on Apr. 7, 2005. PCT/US2004/025112 claimed priority to U.S. Provisional Application No. 60/492,400, filed Aug. 4, 2003. The entire disclosures of PCT/US2004/025112 and U.S. Ser. No. 60/492,400 are incorporated herein by reference in their entirety. This invention was made with Government support under Contract #: DE-FG02-99ER82918 awarded by the United States Department of Energy to X-Ray Optical Systems, Inc. The Government has certain rights in this invention. This invention relates in general to x-ray diffraction. More particularly, the present invention relates to a technique for x-ray diffraction utilizing fixed sources and detectors, aligned along axes pre-determined according to the properties of the material under measurement. X-ray analysis techniques have been some of the most significant developments in twentieth-century science and technology. The use of x-ray diffraction, spectroscopy, imaging, and other x-ray analysis techniques has led to a profound increase in knowledge in virtually all scientific fields. One existing class of surface analysis is based on diffraction of x-rays directed toward a sample. The diffracted radiation can be detected and various physical properties, including crystalline structure and phase, and surface texture, can be algorithmically determined. These measurements can be used for process monitoring in a wide variety of applications, including the manufacture of semiconductors, pharmaceuticals, specialty metals and coatings, building materials, and other crystalline structures. Conventionally, this measurement and analysis process required the detection of diffracted x-ray information from multiple locations relative to the sample in a laboratory environment. Conventional diffraction systems are large, expensive and prone to reliability problems. Their size, cost, and performance limit their use to these off-line “laboratory” settings. There is a strong drive in the market for applying this technology to real-time process monitoring—allowing real-time process control. In many manufacturing environments, real-time process monitoring and feedback eliminates the need to transport samples to a lab to undergo testing. Real-time process monitoring enables immediate corrective measures, without waiting for laboratory results while an unsatisfactory product continues to be manufactured. These types of real-time measurements present certain practical concerns not encountered in laboratory settings—such as the need for smaller, more reliable instruments; and for sample handling and excitation/detection techniques compatible with the surrounding production environment. For example, the sample may be continuously moving past the instrument on a movement path. The technique must be compatible with both the sample movement and the movement path. In “bypass” configurations (where sample(s) from the production line may be diverted to proximate measurement stations)—stringent sample preparation is not practicable. The measurement technique must accommodate the sample “as is” and without any undue preparation. An instrument must be small enough for installation into a manufacturing environment without impacting the surrounding production equipment. In general, the system must be smaller and simpler than most conventional x-ray diffraction systems, but with similar performance characteristics. Such measurement environments, however, also offer certain benefits that often do not exist in laboratory environments. For example, the specific type of material under study is usually known; as is the specific material property of interest (e.g., phase or texture). The movement paths are also known, as are the material sampling and handling techniques. What is required, therefore, are techniques, methods and systems which exploit the benefits of x-ray diffraction measurements in real-time production environments; which can endure the demanding conditions of these environments; and which also capitalize on some of the a-priori information associated with these environments. The shortcomings of the prior art are overcome and additional advantages are provided through the present invention which in one aspect is an x-ray diffraction technique (apparatus, method and program products) for measuring a known characteristic of a sample of a material in an in-situ state. The technique includes using an x-ray source for emitting substantially divergent x-ray radiation—with a collimating optic disposed with respect to the fixed source for producing a substantially parallel beam of x-ray radiation by receiving and redirecting the divergent paths of the divergent x-ray radiation. The first x-ray detector collects radiation diffracted from the sample; wherein the source and detector are fixed, during operation thereof, in position relative to each other and in at least one dimension relative to the sample according to a-priori knowledge about the known characteristic of the sample. A second x-ray detector may be fixed relative to the first x-ray detector according to the a-priori knowledge about the known characteristic of the sample, especially in a phase monitoring embodiment of the present invention. Angular filters can be affixed to the first and/or second x-ray detector for limiting the angles from which the diffracted radiation is collected by the detector. Fixed source/detector pairs can be employed in texture measurement embodiments of the present invention. Compact, low power sources can be used, along with collimating polycapillary optics, to enhance the in-situ performance of these techniques. The a-priori knowledge regarding the sample characteristics of interest allows fixation of the sources and detectors with respect to each other and the sample, thereby simplifying system design, by eliminating the need for scanning. The present invention is directed to an x-ray system having detectors fixed in position according to certain known, a-priori information about the sample under study and its movement path, in an “in-situ” production environment. The term “in-situ” as used herein connotes applications where the sample exists in its own environment, including under active production. Examples include an “in-line” system, coupled directly to a production line and analyzing material as it exists (possibly moving) in the production line in a substantially predictable state; or an “at-line” system which is closely associated with the production line, but which analyzes samples removed from their production line with minimal sample preparation prior to measurement; or an “on-site” system which can be portably transported to a site at which the sample resides in a substantially predictable state; but generally exclude “off-line,” fixed laboratory environments. The term “production” herein connotes active production or transformation of a material in a production facility, including reviewing materials in their native state (i.e., at an ore mine) at the time their initial transformation occurs. The “in-situ” applications of the present invention generally imply that sample 120 is a material that has some characteristic from which a-priori knowledge can be derived to optimize the XRD system of the present invention. For example, certain crystalline structure information might be known, enabling crystalline phase monitoring using two different detectors at different 2-theta angles. This is discussed further below regarding the “steel phase” example. As another example, crystalline orientation information might be assumed, any changes in which would enable surface texture monitoring using two different source/detector pairs at different phi angles. The configuration of FIGS. 1a-b shows a single source 110, and two fixed detectors at the 2-theta angles 2θ1 and 2θ2, for phase monitoring. This is discussed further below in the “texture sensing” example. Phase monitoring embodiments of the present invention: FIGS. 1a-b depict front and top perspective views of a phase monitoring, x-ray diffraction (XRD) system 100 in accordance with the present invention including a source 110, a shutter 112, diverging x-rays 114, a collimating optic 116, a parallel beam 118, a sample 120, diffracted beams 121/122, a first angular filter 124, second angular filter 126, a detector 128, a detector 130, a rigid support structure 115 and a computer 132. Source 110 and collimating optic 116 provide x-ray radiation in the form of a parallel beam 118. Particular details of an exemplary source/optic combination in accordance with the present invention are discussed in connection with FIGS. 6a-c below. Source 110 may be a point source that generates the divergent x-rays 114 from a small spot and emits the divergent x-rays 114 isotropically from that small spot, or may be a large area source that generates x-rays over a relatively large area and emits the divergent x-rays 114 over a range of angles from each position on the source 110. The divergent x-rays 114 may be emitted from an x-ray tube resulting from electron bombardment of an anode, with divergent x-rays 114 constantly emitted from the source, such as an Oxford 5011 electron bombardment source. The collimating optic 116 is a device capable of producing a beam of sufficient parallelism to generate a usable diffraction pattern. Parallel beams are also less susceptible to sample displacement in the vertical direction—a significant advantage when operating in an in-situ environment. Collimating optic 116 may be a soller slit collimator, which is an array of absorbing plates separated by gaps. However, since a Sollar slit is a blocking method and hence inherently inefficient, a large source may be required. A pinhole collimator is also possible, but that is also an inefficient technique. Other collimating optics are preferred, i.e., those which receive a wide angle of divergent x-rays and redirect the divergent rays into a parallel beam. Such optics include, for example, curved crystal optics (see e.g., X-Ray Optical, Inc. U.S. Pat. Nos. 6,285,506 and 6,317,483—all of which are incorporated by reference herein in their entirety), multilayer optics, or polycapillary optics. Therefore, the collimating optic 116 may be a polycapillary optic—a bundle of thin, hollow tubes that collects a portion of the diverging x-rays 114 over a significant solid angle, transmits and therefore redirects the photons from their otherwise straight paths via total external reflection inside the channels, and collimates the collected divergent x-rays 114 into a parallel beam 118 directed at the sample 120. This enables the use of smaller, low-power sources. Again, the optic/source combination optimized for use in the systems herein are discussed in connection with FIGS. 6a-c below. The system 100 may further include a shutter 112, to block the entirety of the parallel beam 118, when desired. Angular filters enable more precise control over the diffracted radiation detected by detectors 128 and 130. The first angular filter 124 and the second angular filter 126 may be polycapillary optics (see the discussion below regarding FIG. 7) that reject radiation incident outside of a critical angle for total external reflection while efficiently transmitting radiation within the critical angle, towards the detectors 128 and 130, respectively. In one enhanced embodiment of the present invention, the resolution of the angular filters is determined according to the peak width of the diffraction peak being monitored. By correlating the angular resolution of the angular filter to the peak width, the maximal power from the peak is provided to the detector, while minimizing any background noise from the off-peak areas. Alternately, soller slits may comprise the angular filters 124 and 126. One- and two-dimensional variants of these filters may also be used. The detectors 128 and 130 are elements that collect the diffraction pattern produced by the diffracted beams 121 and 122 (each along its own respective 2-theta angle chosen according to a-priori knowledge about the sample characteristics under study) respectively and produce output signals that vary with changes in the diffracted x-rays incident upon them and are communicated to the computer 132. The detectors 128 and 130 may be scintillation detectors, detectors that consist of a photomultiplier tube facing a region that contains a solid material such as sodium iodide laced with thallium atoms. Alternately, detector 130 could be a semiconductor detector. These types of “point” detectors are preferred. Other detectors are known to those skilled in the art. Detectors used in the disclosed in-situ XRD system usually need to be of small size for compact design of the whole system, and have some moderate resolution (<1 kev) to select the x-ray source Ka radiation. Higher energy resolutions (which may require the detector to be cooled with liquid nitrogen) are usually not needed. The detectors may require high counting efficiency, and the resultant high sensitivities to detect the diffraction beam, which may be weak. A large capture area (combined with a large angular filter) is desired for a larger tolerance of sample position displacement. The present invention makes use of small, inexpensive “point detectors” in one embodiment. One example includes a detector (used for texture measurement) with 25 mm2 capture area and ˜200 ev energy resolution. A gas proportional counter detector may be used for steel phase monitoring, with 12×25 mm2 capture area and ˜1000 ev energy resolution. A rigid support structure 115 supports the source and detectors in fixed position to each other (while measurements are taken) and the entire system is placed in a measurement position relative to the underlying sample 120. The system can be fixed with respect to the sample, or can hover over the sample, or the sample can move under the fixed system. For phase monitoring, the system can rotate with respect to the sample. For texture monitoring, the rotational (phi) angle must be fixed. In general though, at least one dimension must be fixed between the sample and the system to ensure accurate measurements. This dimension is usually the distance between the system and the sample. The computer 132 is a data acquisition device that may contain a standard analysis software package. The computer serves to analyze, interpret, and display information about the sample 120 from the response of the detectors 128 and 130 to the diffraction patterns at their respective 2-theta angles. The top view of FIG. 1a shows the source 110, detector 128 and detector 130 aligned in parallel along the same phi angle, φ1. However, the offset between the detectors can be in the theta direction, the phi direction (discussed below in connection with FIGS. 2a-b), the chi direction (i.e., the plane formed by the source/detector pair) or any combination of these directions. In operation, the x-ray diffraction system 100 provides an in-situ system that enables, e.g., phase detection or monitoring and quantitative analysis of the sample 120. The x-ray diffraction system 100 is particularly well suited for in-situ phase analysis of a moving media (e.g., moving galvanized steel in a manufacturing environment subsequent to the application of a coating to the sample 120—see discussions below). The low power source 110 emits the diverging x-rays 114, which are collimated into the parallel beam 118 by the collimating optic 116. The parallel beam 118 of x-rays impinges upon the sample 120 and is diffracted by the crystalline phases in the sample 120 according to Bragg's Law (nλ=2d sinθ, where d is the spacing between atomic planes in the crystalline phase, n is an integer, θ is the angle of incidence, and λ is the wavelength of the incident x-ray), and thereby generates the diffracted beams 121 and 122. The intensity of the x-rays within the diffracted beams is measured as a function of the diffraction angle 2θ. This diffraction pattern may be used in this example to identify and monitor the crystalline phases and other structural properties of the sample 120. In the case where the diffraction angle of a specific phase is known, the diffraction pattern detection devices can be placed in fixed position. As such, two detectors 128 and 130, with the angular filters 124 and 126, respectively, are included in the present invention to acquire data from two different diffraction angles, and 2θ2 and 2θ1, respectively. The detector 130 measures the intensity of x-ray radiation directed to it by the second angular filter 126 within a preselected energy window from an angle 201. This obtains “background” information from a region in which there is expected to be no diffraction peak, while the detector 128 measures the intensity of x-ray radiation directed to it by the first angular filter 124 within a preselected energy window from an angle 2θ2, a region in which the desired phase diffraction peak is expected to appear. An algorithm is performed and a ratio is obtained by the signals input from the detectors 128 and 130 to the computer 132 and the amount of a given phase is determined. In the case that none of a given phase is present, the counts in the region of interest for the diffracted beam 121 at an angle 2θ2 received at the detector 128 is the same as the counts in the background measured at detector 130, yielding a ratio of one to one. As the amount of the given phase increases, this ratio becomes greater than one, and an accurate determination of the percentage of that phase present in the sample 120 can be made. The present invention is especially useful in applications where a single material phase needs to be examined, such as detection of unwanted phase change in steel that has undergone a galvanizing process, and the angular positions of the diffraction peaks are known with some degree of accuracy. Using the two fixed detectors 128 and 130 (with the optional angular filters 124 and 126) instead of either a scanning, movable detector significantly reduces the size and complexity of the detector assembly in an x-ray diffraction system. This results in both lower cost and increased reliability, which are especially important for in-situ applications. The inclusion of the collimating optic 116 produces the parallel beam 118 of sufficient intensity to accomplish the desired measurements in a time frame that enables immediate feedback while utilizing the low power source 110. Conventional x-ray diffraction systems used for crystallinity analysis make use of a focussing geometry called Bragg-Brentano, in which extensive polishing and sample preparation, as well as sample scanning is often necessary. The parallel beam 118 utilized in the present invention eliminates the need for significant sample preparation, and scanning during operation. Scanning may be done once, to determine the shape of the scan curves and ultimate angular position of the detectors. Then during operation, no scanning is necessary. The elimination of the need for sample scanning during operation further enables a reliable compact x-ray diffraction system 100 that may used for inline process control by eliminating the need for a sophisticated sample control system. It should be noted that the use of high-efficiency polycapillary or curved optics for collimating optic 116 allows for both higher intensity beams (shorter measurement times) and smaller, lower cost, low power x-ray sources. While this preferred embodiment includes the use of two fixed detectors (one at peak and one at background), other detector configurations are possible. A single detector could be positioned at the diffraction peak (assuming the locale of the peak and the background noise level can be predicted with some degree of accuracy). More than two detectors can be used at any number of positions around the diffraction, for example, two on background, two along the sides of the peak, and a single at the peak—for a total of five (see the discussion below regarding FIG. 8). FIGS. 2a-b show an x-ray diffraction system similar to that of FIGS. 1a-b with the angular positions of the fixed detectors at the same 2-theta angle, but varied in the phi direction. FIGS. 2a-b depict an x-ray diffraction (XRD) system 200 in accordance with the present invention including a source 210, a shutter 212, diverging x-rays 214, a collimating optic 216, a parallel beam 218, a sample 220, diffracted beams 221/222, a first angular filter 224 (not entirely visible), second angular filter 226, a detector 228, a detector 230, a rigid support structure 215 and a computer 232. These components can be similar to those discussed above with respect to FIGS. 1a-b, but are simply arranged at different angular positions. The position of the detectors can be displaced along the 2-theta position (FIGS. 1a-b), displaced along the phi position (FIGS. 2a-b) or a combination of both directions (not shown) depending on the application and the type and quality of the information known a-priori about the potential application. Steel Phase Monitoring Application: One example of the use of the present invention involves the real-time, in-situ analysis of steel sheets under production. Hot dip galvannealed steel sheets have excellent corrosion resistance, paintability, phosphatability and weldability; and the properties of these steels are therefore in high demand in the automotive industry. It is important for producing galvannealed steel sheets with superior press formability such as drawability and anti-powdering behavior to control the microstructure of galvannealed coatings. The coating properties are greatly influenced by the composition and the microstructure of the coating layer that is formed on the steel substrate during the hot dip galvanizing and galvannealing processes. For the hot dip galvannealing process a zinc bath modified with aluminum is used. In the Zn bath, a Fe—Al—Zn reaction initially takes place at the interface between the steel substrate and the zinc coating, which obstructs the Zn—Fe reaction. This step is called inhibition. To initiate the Zn—Fe reaction, it is necessary to remove the interface layer, the thickness of which increases with increasing aluminum content in the Zn-bath. A first Fe—Zn alloying reaction occurs during the formation of the intermetallic ζ-phase, columnar ζ-crystals grow on top of the thin Fe—Al. During subsequent Zn—Fe reactions the local formation of ζ-phase and of another intermetallic δ-phase in form of polygonal crystals begins on the surface of the steel substrate and then spreads across the entire interface layer. After the initial solid/liquid reaction step (solid Iron, Zn—Fe phases and liquid Zn), subsequent solid state reactions occur, transformation of ζ-phase to δ-phase and growth of Γ-phase. The quality of the Zn—Fe layer is mainly influenced by several parameters: the steel substrate, the iron content, and the optimum distribution of the Zn—Fe phases in the coating. The second two parameters are determined mainly by the temperature and holding time in the galvannealing furnace, the Al content in the Zn bath, steel composition and the steel surface conditions. If not produced properly, galvanneal steel can exhibit powdering or flaking, due to Γ-phase formation between the steel substrate and the galvanneal surface coating. This causes the loss of coating from the steel surface, which occurs during forming operations. Forming processes in the automotive industry set high standards towards the certification of the steel grade. The phase formation process in the surface layer is a complex process and producing the right steel grade at a high yield can be challenging. The individual steel mills have to certify their products before shipping. Therefore, an in-line monitoring system capable of identifying and monitoring phases will greatly benefit a continuous sheet steel galvannealing line. In addition, a semi-quantitative analysis will give nearly real-time results on formation trends as the galvannealed steel sheets roll by the diffraction system. The phase composition, or the amounts of each iron zinc compound in the coating is a very important component of galvanneal steel and is directly related to the flaking of the coating. This results in a close connection between the alloying degree of the coating and the press formability of the hot dip galvannealed steel sheet. When a thick ζ-phase remains on the surface of the coating, this indicates a low alloying degree of coating. The surface dynamic friction of the coating increases resulting in the poor drawability and rupture of steel sheets. Conversely, when the alloying degree goes up and thickness of the Γ-phase increases the powdering phenomena becomes noticeable. To produce hot dip galvannealed steel sheets with excellent press formability the alloying degree must be controlled to reduce the amount of both residual ζ- and Γ-phases. Use of the in-situ, fixed detector systems of FIGS. 1a-b and 2a-b enable real-time monitoring of these types of phases, with the source and detectors fixed according to the a-priori knowledge of the particular phases of interest and the position of the sample. Texture Sensing Embodiments of the Present Invention: FIGS. 3a-b are schematic front and top isometric views of an embodiment of the present invention optimized for in-situ texture measurements. Here, source detector pairs 310/330 and 311/328 are fixed in respective positions generally along the phi circle, and at a given chi angle to the sample 320. Texture analysis determines the preferred orientation of the crystallites in polycrystalline aggregates. What is conventionally required is determining the complete orientation distribution of the crystallites. This usually requires systematic changes in the angular orientation of the sample in chi and phi to collect the diffraction data to produce a pole figure plot. A pole figure plot is obtained by measuring the diffraction intensity of a specific plane (for example, [111] plane) at various settings of the chi and phi angles. Pole figures are convenient illustrations of the constructive interference formed by the diffraction patterns associated with the phases of interest (here, known a-priori). Each constructive interference spot, called a diffraction peak, occurs at a specific location on a specific circle (of varying phi angles) of constant 2-theta angle, where different diffracting planes will produce diffraction peaks at different 2-theta angles. In the ideal case, in which all grains are perfectly aligned with respect to one another, the diffraction peaks appear as dots. In the worst case, in which all the grains are randomly oriented with respect to one another, the diffraction peaks appear as solid rings that occur along the curves of constant 2θ-angle. In the typical case, in which there is a substantial degree of in-plane grain misalignment within the thin film, diffraction peaks appear as elongated spots. In accordance with the present invention, fixed sources and detectors are used to make this texture measurement without rotation of the sample in the chi or phi directions. In FIGS. 3a-b, the source detector pair 310/330 is fixed at opposing phi angles φ1 and φ3, and the source/detector pair 311/328 is fixed at opposing phi angles φ2 and φ4. This configuration is somewhat analogous to the phase monitor embodiments of FIGS. 1a-b and 2a-b, and may also employ the same components (collimating beams, angular filters), however, here there is a source for each detector, and the positions are fixed along the phi direction, though they can also be fixed along the chi and theta directions also. One application of this configuration could be superconductor tape texture sensing, in which the location of the diffraction peaks is known a-priori, and the source/detector pairs are fixed in the requisite regions to make the particular texture measurement of interest. This application is discussed in greater detail in the commonly assigned provisional patent application entitled “METHOD AND SYSTEM FOR X-RAY DIFFRACTION MEASUREMENTS USING AN ALIGNED SOURCE AND DETECTOR ROTATING AROUND A SAMPLE SURFACE” filed as attorney docket number 0444.070(P) on Jul. 22, 2003, incorporated by reference herein in its entirety. In that application, scanning was proposed to determine a continuous curve of texture measurements. Here, fixed source/detector pairs, e.g., one pair at the orientation corresponding to the peak, and another at the background, could be used to make a similar measurement, assuming the location of the peak is known a-priori to some reasonable degree of accuracy. In-Situ Examples: As discussed above, the present invention has particular applicability to certain in-situ environments, where the sample resides in its native state, or in a state of production along a production line. FIG. 4 depicts an “in-line” version of an in-situ system 400, coupled directly to a production line, and analyzing an area 421 of material 420 as it exists (possibly moving) in the production line, in a substantially predictable state (especially with respect to the theta, phi and chi angles discussed above). This type of environment may be applicable to the steel example discussed above, where steel sheets under production are moving along a movement path 430, or a texture measurement example discussed above, where superconductive tape is moving past the in-situ system. While the system may gather diffraction data through an area of the material, as a function of translation rate and sampling duration, the material could be stopped and discrete points and the measurement made at each point. However, continuous data sampling along an area of the material during continuous movement may be desirable for processing. FIG. 5 depicts an “at-line” version of an in-situ XRD system 500, proximate to (“at”) a production line, and analyzing a sample 520 of material 510 as it exists (possibly moving) in the production line, in a substantially predictable state (especially with respect to the theta, phi and chi angles discussed above). Minimal sample handling and preparation is performed (530), and the sample exists under measurement by system 500 essentially as it existed in the production line. Other “in-situ” environments are contemplated by the present invention. For example, an “on-site” system which can be portably transported to a site at which the sample resides in a substantially predictable state (e.g., an ore mine where certain characteristics of the samples are of interest; or forensic scenes where certain known materials are being sought). Low Power Source/Collimating Optic Combination Examples: As discussed above, the ability to provide an in-situ analysis capability depends to a large extent upon source/optic technology which can tolerate the environmental and portability requirements of those environments. In that regard, certain source and optic technology formerly disclosed and assigned to the assignee of the present invention can be optimized for use in in-situ environments, as discussed below with respect to FIGS. 6a-c. Referring now to FIG. 6a, the basic elements of a typical compact, electron-bombardment x-ray source 600 are shown. Electron gun/filament 610 is heated (by applying a voltage) to a temperature such that electrons 612 are thermally emitted. These emitted electrons are accelerated by an electric potential difference to anode 614, which is covered with target material, where they strike within a given surface area of the anode, called the spot size 618. Divergent x-rays 620 are emitted from the anode as a result of the collision between the accelerated electrons and the atoms of the target. To control the spot size, electromagnetic focusing means 622 may be positioned between filament 610 and anode 614. X-ray sources with spot sizes of 2 microns or less are available commercially. However, as the electron spot size decreases, so does the production of x rays. With reference to FIG. 6b, producing a high intensity, small diameter x-ray beam requires both the small spot x-ray source 600, coupled to a monolithic, polycapillary collimating optic 644. These two components are usually separated by a distance f, known as the focal distance. The optic 644 comprises a plurality of hollow glass capillaries 648 fused together and shaped into configurations which allow efficient capture of divergent x-ray radiation 620 emerging from x-ray source 600. In this example the captured x-ray beam is shaped by the optic into a substantially parallel beam 650. The channel openings 652 located at the optic input end 654 are roughly pointing at the x-ray source. The ability of each individual channel to essentially point at the source is of significant importance for several reasons: 1) it allows the input diameter of the optic to be sufficiently decreased, which in turn leads to the possibility of smaller optic output diameters; and 3) it makes efficient x-ray capture possible for short optic to source focal lengths. The diameters of the individual channel openings 652 at the input end of the optic 654 may be smaller than the channel diameters at the output end of the optic 656. This type of optic redirects the otherwise divergent x-rays from the source into the output, parallel beam 650. This not only ensures maximal efficiency, but provides some immunity to displacement of the sample under study in the x-ray diffraction systems discussed above. FIG. 6c illustrates in cross-section an elevational view of one embodiment of an x-ray source/optic assembly particularly suited for the diffraction systems of the present invention. X-ray source/optic assembly includes an x-ray source 600′ and an output optic 644′—similar to those discussed above with respect to FIGS. 6a-b. Optic 644′ is aligned to x-ray transmission window 2107 of vacuum x-ray tube 2105. X-ray tube 2105 houses electron gun/filament 2115 arranged opposite to high voltage anode 2125. When voltage is applied, electron gun 2115 emits electrons in the form of an electron stream 2120 (as described above). HV anode 2125 acts as a target with respect to a source spot upon which the electron stream impinges for producing x-ray radiation 2130 for transmission through window 2107 and collection by optic 644′. Anode 2125 may be physically and electrically connected to a base assembly which includes a conductor plate 2155 that is electrically isolated from a base plate 1265′ via a dielectric disc 2160. A high voltage lead 2170 connects to conductive plate 2155 to provide the desired power level to anode 2125. The electron gun 2115, anode 2125, base assembly 1265′/2160/2155 and high voltage lead 2170 may be encased by encapsulant 2175 all of which reside within a housing 2710. (However, dielectric disk 2160 functions to remove excess heat from the assembly, in one embodiment negating the need for any special cooling encapsulants). Housing 2710 includes an aperture 2712 aligned to x-ray transmission window 2107 of x-ray tube 2105. In operation, x-ray radiation 2130 is collected by optic 644′, and in this example, redirected into a substantially parallel beam 650. A control system may also be implemented within x-ray source assembly 600′. This control system includes, for example, a processor 2715, which is shown embedded within housing 2710, as well as one or more sensors and one or more actuators (such as sensor/actuator 2720 and actuator 2730), which would be coupled to processor 2715. This control system within x-ray source assembly 600′ includes functionality to compensate for, for example, thermal expansion of HV anode 2125 and base assembly 1265′/2160/2155 with changes in anode power level in order to maintain an alignment of x-rays 2130 with respect to optic 644′. This enables the x-ray source assembly 600′ to maintain a spot size with stable intensity within a range of anode operating levels. This parallel beam production and transmission can be effected by the polycapillary collimating optics and optic/source combinations such as those disclosed in commonly assigned, X-Ray Optical Systems, Inc. U.S. Pat. Nos. 5,192,869; 5,175,755; 5,497,008; 5,745,547; 5,570,408; and 5,604,353; U.S. Provisional Applications Ser. Nos. 60/398,968 (filed Jul. 26, 2002 and perfected as PCT Application PCT/US02/38803) and 60/398,965 (filed Jul. 26, 2002 and perfected as PCT Application PCT/US02/38493)—all of which are incorporated by reference herein in their entirety. Angular Filter Technology: FIG. 7 depicts a typical polycapillary angular filter 700 useful in the present invention fabricated from a large number of small glass capillaries. Since the refractive index of x-rays in glass is slightly less than unity, total reflection occurs when x-rays 702 are incident on a smooth glass surface at a small incident angle. The critical angle for total external reflection is inversely proportional to the x-ray energy and for 30 keV x-rays is about one milliradians (˜0.05 degrees). X-rays incident at angles less than the critical angle can then be transmitted 704 through hollow glass capillaries. By limiting angles of critical energy reaching the detector, scattering can be controlled from unwanted angles, thus controlling the area of the sample from which energy is detected. As discussed above, controlling the critical angle and other design parameters of the angular filters according to the peak widths of interest is useful in the present invention, to ensure that the maximal energy from the peak(s) is collected, while also limiting the amount of noise received from off-peak areas. The angular filters should be pointed at the sample area of interest. Other types of angular filters are possible, including soller slits, multi-channel plates, etc. One- or two-dimensional alternatives can also be used. Scanned Curves and the Derivation of Fixed Source/Detector Positions: FIG. 8 depicts a sample diffraction curve derived (e.g., in a laboratory) from scanning a source and detector in the 2-theta direction across a representative sample of interest. Though the 2-theta position is shown, similar curves are derived in diffraction practices generally, from which fixed detector locations can be derived. Upon derivation of this curve, and in accordance with the present invention, fixed sources and/or detectors can be placed at the noted angular positions 800, 810 and 820 in accordance with the present invention. Ideally, only a single “peak” detector may be necessary at peak 800, however, the ability to place detectors at other points in the curve (e.g., the full-width half max position 810) and/or at the background positions 820, will provide more reliable results. In a preferred embodiment of the present invention, a single peak detector is used, and a single background detector is used, close to the diffraction angle of the peak (to ensure the same general type of diffraction conditions are collected) but far enough into the noise to ensure a distinctive measurement between the peak and noise—thereby providing an accurate peak magnitude measurement. But three detectors can be used (peak, half peak and noise); or five detectors (peak, half peak—both sides, and noise—both sides); or more detectors; or any desired mix of detectors or source/detector pairs. This invention can also be used for other applications like in-situ strain and stress measurements by collecting, in real time, the diffraction peak profiles, using detectors at fixed positions as discussed above. To obtain high quality data for measurement with high precision, linear detector or even area detectors could be used as well for any of the above-described detectors. The processing portions of the present invention can be included in an article of manufacture (e.g., one or more computer program products) having, for instance, computer usable media. The media has embodied therein, for instance, computer readable program code means for providing and facilitating the capabilities of the present invention. The article of manufacture can be included as a part of a computer system or sold separately. Additionally, at least one program storage device readable by a machine embodying at least one program of instructions executable by the machine to perform the capabilities of the present invention can be provided. The flow diagrams depicted herein are just examples. There may be many variations to these diagrams or the steps (or operations) described therein without departing from the spirit of the invention. For instance, the steps may be performed in a differing order, or steps may be added, deleted or modified. All of these variations are considered a part of the claimed invention. Although preferred embodiments have been depicted and described in detail herein, it will be apparent to those skilled in the relevant art that various modifications, additions, substitutions and the like can be made without departing from the spirit of the invention and these are therefore considered to be within the scope of the invention as defined in the following claims.
description
This application is a National Phase of PCT/EP2011/060238, filed Jun. 20, 2011, entitled, “DEVICE FOR MONITORING A NUCLEAR MATERIAL PLACED IN A GLOVE BOX”, which claims the benefit of French Patent Application No. 10 54914, filed Jun. 21, 2010, the contents of which are incorporated herein by reference in their entirety. The present invention relates to the monitoring of nuclear material. In this context the expression “nuclear material” means material containing uranium, plutonium or thorium in significant concentrations. These nuclear materials are handled in a large number of laboratories or plants in the nuclear fuel cycle. Industrial practices are governed by safety constraints, in particular to manage the risk of criticality, and to control proliferation of nuclear material, as set out in the document “FUNDAMENTAL SAFETY RULES RELATIVE TO BASIC NUCLEAR INSTALLATIONS OTHER THAN NUCLEAR REACTORS RFS No 1.3.C OF 18 Oct. 1984”. Operation of industrial or research installations containing nuclear material, and more particularly fissionable nuclear material, i.e. such material capable of nuclear fission, is organised into work stations. A work station is a part of the installation with a demarcated outline, and within which there is a limited mass of nuclear material. In the present invention the work station is a glove box. Management of the mass of nuclear material contained in a work station is one of the methods of controlling the risk of criticality. This method of control is implemented using mass budgets produced, by means of weighing operations, at the entrance and exit of the work station. To prevent excessive accumulation of nuclear material, regular examinations of the work station, and if necessary cleaning operations, are undertaken. This control method is simple to implement and relatively reliable. This control method is generally completed by passive, non-destructive nuclear measurements, such as gamma spectrometry measurements or neutron or gamma metering. Non-industrial, mobile systems are used, requiring installation inside the glove box, and leading to decontamination of the systems at the end of the operation. However, these analysis techniques are complex to implement, notably since there is no standard positioning system, each measurement requires specific analysis, and interpretation of the measurements requires complex subsequent processing, which does not enable the benefits to be drawn from the results of real-time measurements. The aim of the present invention is, indeed, to propose a mechanical device for support and attachment of at least one probe for detecting ionising radiation within a glove box so as to be able, easily and simply, and in situ, to monitor nuclear material which produces this ionising radiation, in the glove box. One aim of the invention is to be able to undertake real-time monitoring of the nuclear material. Another aim of the invention is to be able to place one or more detection probes in the glove box at predetermined locations. Yet another aim of the invention is to be able to make several measurements with different observation fields. To accomplish this, the present invention proposes a mechanical device to support and attach at least one ionising radiation detection probe which incorporates, firstly, a collimator-holder and, secondly, a device for attachment to the glove port of a glove box. More specifically, the present invention is a mechanical device for supporting and attaching at least one ionising radiation detection probe which includes, for each ionising radiation detection probe, a probe-holder ending in a collimator-holder able to support a collimator intended to delimit a field of observation of the detection probe, and also an attachment device intended to be attached to the glove port of a glove box, where the probe-holder, or each probe-holder, cooperates with the said attachment device. In order to be able to change the collimator easily, so as to give the probe an adjustable field of observation, in addition, means of attaching a collimator to the collimator-holder of the cylinder-cylinder fitting type, and at least one ball plunger, intended to be stopped by a notch held, either by the collimator-holder, or by the collimator, in order to stop the collimator both rotating and sliding relative to the collimator-holder, where the collimator is thus interchangeable. In order to be able to position the ionising radiation detection probe precisely in the glove box, it is preferable for the collimator-holder to be fitted with locking means to lock the ionising radiation detection probe in position such that it can neither slide nor rotate relative to the collimator-holder. The attachment device may include a central portion, through which the probe-holder passes, where the edge of this central portion is edged with a flange fitted with attachment means intended to cooperate with combined attachment means held by the glove port of the glove box, where these attachment means are of the bayonet or claw type. By this means it is very easy to install the mechanical device which supports and attaches the ionising detection probe in the glove box. In order to be able to adjust the depth at which the ionising radiation detection probe is set in the glove box, and the angular alignment of its observation field, the attachment device may be fitted with locking means to lock the probe-holder such that it can neither slide nor rotate relative to the attachment device. Again, with the aim of controlling the angular alignment of the observation field of the ionising radiation detection probe, the attachment device may include locking means to lock the central portion such that it cannot rotate relative to the flange. In order for the settings of the angular position of the collimator relative to the probe-holder, and of the probe-holder relative to the attachment device, to be very precise, the collimator-holder and/or the attachment device may be fitted with a bubble level. The present invention also relates to a nuclear material monitoring device placed in a glove box. It includes a mechanical support and attachment device characterised in this manner, and at least one ionising radiation detection probe placed in the probe-holder, where this probe has a detector sensitive to the ionising radiation emitted from the collimator-holder, and a system for processing and displaying a signal transmitted by the ionising radiation detection probe. The detector is housed in a collimator, when the collimator is attached to the collimator-holder. The detector may advantageously be made of CdTe or CdZnTe, since these materials are sensitive to ionising radiation. The probe is preferably a probe of X and/or gamma spectrometry, where X spectrometry concerns the weaker energy levels, more specifically those of less than 120 keV. The present invention also relates to a glove box fitted with a device for monitoring nuclear material characterised in this manner. The present invention also relates to a device for monitoring nuclear material characterised in this manner, to identify one or more radioelements present in the monitored nuclear material. The present invention also relates to the use of a device for monitoring nuclear material characterised in this manner, to determine the activity of the monitored nuclear material. The present invention also relates to the use of a device for monitoring nuclear material characterised in this manner, to estimate the changes in the retention or decontamination factor of the monitored nuclear material. The present invention will be better understood on reading the description of examples of embodiment given, purely as an indication and in no sense restrictively, making reference to the appended illustrations in which: FIGS. 1A, 1B show, in three dimensions, an example of a nuclear material monitoring device with two ionising radiation detection probes forming the object of the invention, using a mechanical device for supporting and attaching the probes the attachment device of which has a bayonet fitting; FIG. 2A shows the collimator-holder of an example of a mechanical device for supporting and attaching an ionising radiation detection probe according to the invention, where the collimator-holder deliberately has no collimator; FIGS. 2B, 2C show an example of a collimator-holder and its interchangeable collimator of a mechanical device for supporting and attaching at least one ionising radiation detection probe according to the invention; FIG. 3 shows a glove box, one glove port of which is fitted with a nuclear material monitoring device according to the invention, with two ionising radiation detection probes, each of which is associated with a collimator; FIGS. 4A1, 4A2, 4B to 4D are various views showing details of the coupling between the glove port of the glove box and the device for attaching the mechanical support and attachment device, where the attachment device is of the claw type; FIG. 5 is a graph illustrating the intrinsic efficiency as a function of energy of two ionising radiation detection probes, the volume of which is 60 mm3 or 1 mm3. Identical, similar or equivalent portions of the various figures described below have the same numerical references, to make it easier to move from one figure to another. The various parts represented in the figures are not necessarily represented at a uniform scale, in order to make the figures more readable. In these figures, well-known structures are not represented in detail, in order not to overburden the description unnecessarily. Reference will be made to FIGS. 1A, 1B which show, in three dimensions, two views of a nuclear material monitoring device forming the object of the invention. The nuclear material monitoring device forming the object of the invention is intended to monitor nuclear material placed in a glove box 9. Glove box 9 can be seen only in FIG. 3. A glove box 9 is a sealed enclosure fitted with at least one pair of apertures 9.1 (of the glove port type), where each of apertures 9.1 is fitted, in a sealed manner, with handling gloves 9.2, enabling operators to intervene in the glove box without risk of contamination. The gloves can be replaced by sleeves allowing equipment or waste to be introduced or removed. In this case the sleeves are attached in sealed fashion to the apertures. FIG. 3 shows only gloves, but it may be imagined that the sleeves would be like gloves with the fingers cut off The nuclear material monitoring device includes, firstly, at least one ionising radiation detection probe 1 and, secondly, a mechanical device 10 to support and attach ionising radiation detection probe 1 in aperture 9.1 of glove box 9. Ionising radiation detection probe 1 is, naturally, connected to a system 11 to process and display a signal transmitted by ionising radiation detection probe 1. Probe 1 for detecting ionising radiation includes a detector 2 which is sensitive to the ionising radiation. In FIGS. 1A, 1B detection probe 1 is only partially visible. An X and/or gamma spectrometry probe will preferably be used. X spectrometry concerns weaker energy levels than gamma spectrometry, and more particularly levels lower than 120 keV. In the remainder of the description, when the expression “detection probe” is used, this means that this may be any type of ionising radiation detection probe. An X and/or gamma spectrometry probe 1 enables the spectrum to be known, i.e. the energy distribution of the X and/or gamma radiation emitted by the nuclear material. The spectrum obtained reflects the different elementary interactions of the X and/or gamma radiation in detector 2 fitted to X and/or gamma spectrometry probe 1. Reference will also be made to FIGS. 2A, 2B, 2C. This ionising radiation detection probe 1 is elongated in shape, extending along an axis xx′. Detector 2, which is sensitive to the ionising radiation originating from the nuclear material, is placed at one end of the probe. This detector 2 transforms the received ionising radiation into an electrical signal. Electrical conductors 1.1, intended to convey the electrical signals in order that they can be processed by processing and display system 11, are accessible at the other end of probe 1. This detector 2 may be made for example from semiconductor materials such as CdTe or CdZnTe, since these materials are sensitive to X and gamma radiation. This detector 2 may take the form of a rotationally symmetrical cylinder. This detection probe 1 is preferably collimated. Collimator 3 is intended to limit the portion of space seen by detector 2, i.e. its field of observation. Collimator 3 is characterised by the value of the solid angle delimiting the field of observation of detector 2 of detection probe 1. The volume of detector 2 can vary; however, the same collimator can be used. This volume may for example be between 1 mm3 and 1500 mm3. Detection probe 1 is intended to be used with mechanical support and attachment device 10 in a glove box which is also an object of the invention. Mechanical support and attachment device 10 of detection probe 1, which is an object of the invention, includes a probe-holder 7 ending in a collimator-holder 5 and an attachment device 6 with a glove port 9.1 of a glove box 9. Detection probe 1 can be detached from probe-holder 7. To reveal radioactive sources of very different levels a range of detection probes 1 must be available, the detectors 2 of which have different volumes. Collimator-holder 5 is intended to hold collimator 3. It can be seen in FIG. 2A that detection probe 1, placed in probe-holder 7, can operate without the collimator being present, in an open field. In this configuration collimator-holder 5 holds no collimator 3. Detector 2 of detection probe 1 emerges from collimator-holder 5. Probe-holder 7 is preferentially a tubular part manufactured around a main axis. Detection probe 1 is intended to be inserted in probe-holder 7. Probe-holder 7 may be made of stainless steel. It has a first open end which must be attached to collimator-holder 5 and a second end by which electrical conductors 1.1 from detection probe 1 can be made accessible in order to be connected electrically to processing and display system 11. Collimator-holder 5 is a tubular part. It is manufactured around a main axis and is thicker than that of probe-holder 7. Both its ends are open. It is intended to be slipped around probe 1, like probe-holder 7. It is intended to be attached, by one end, to one end of probe-holder 7, and by its other end to a collimator 3. It extends probe-holder 7. Collimator-holder 5 can be made of stainless steel, as can probe-holder 7. It must have stronger mechanical properties than those of collimator 3. Collimator 3 has a shielding function. It may be made of copper or of a copper alloy, since the copper has a better attenuation factor than steels. Collimator 3 includes an aperture through which the ionising radiation can reach detector 2, when the latter is placed in collimator 3. The remainder of the collimator, i.e. its body, surrounds the detector and has a shielding function against the ionising radiation. As shown by FIG. 2B, collimator 3 is advantageously made to be detachable, i.e. it can easily be detached from collimator-holder 5. For a given detection probe 1, there can then be several collimators 3, having apertures of different values, and therefore solid angles of different values. It is also possible for there to be no collimators, leaving collimator-holder 5 free. The use of interchangeable collimators 3, enabling variable solid angles to be used, is very useful to adapt the nuclear material monitoring device to the dimensions of the glove box, i.e., firstly, the height between the counter top of the glove box and the axis passing through the centre of the glove port, and secondly the depth of the counter top measured along this axis. FIG. 2A shows collimator-holder 5 and detection probe 1, which passes through it, revealing detector 2, with the collimator absent. FIG. 2B shows probe 1, collimator-holder 5, a collimator 3 installed in detachable fashion in collimator-holder 5, and a series of other collimators 3 with different solid angles, intended to be installed in collimator-holder 5. FIG. 2C shows, as a lengthways section along axis XX′, the different elements of FIG. 2B. Collimator 3 is a blind tubular part. It is manufactured around a main axis. It is closed at one of its ends; its other end must be attached to collimator-holder 5. The closed end may have the shape of a spherical, flat or other cap. The spherical cap is advantageous to homogenise the thickness of material around detector 2 in spatial terms. Collimator 3 is intended to house detector 2 of detection probe 1. The volume of the recess inside the collimator is chosen such that it is able to house detectors of different volumes. It includes, laterally, a through hole 3.1, which is preferably cone-shaped, so as to delimit the field of observation of detector 2. The solid angle of the cone is equal to that of collimator 3. Through hole 3.1 is manufactured around an axis which is perpendicular to that of the main axis of collimator 3. These axes can be seen in FIG. 2C. In FIGS. 2B and 2C, five different collimators 3 have been represented, having solid angles respectively of 10°, 30°, 50°, 70° and 90° degrees. The range of angles covered will therefore be between 10° to 90°, with an interval of 20°. Other values are of course conceivable. In FIGS. 1A, 1B, detection probe 1, collimator-holder 5, collimator 3 and probe-holder 7 are fitted one inside the other in coaxial fashion. Collimator 3 and collimator-holder 5 are attached to one another by fasteners 8. These fasteners 8 include, firstly, a cylinder-cylinder fitting, and secondly immobilisation means. Collimator 3 and collimator-holder 5 are installed relative to one another using the cylinder-cylinder fitting. To accomplish this, collimator 3 is fitted with a female cylindrical portion 3.1 which must penetrate into a male cylindrical portion 5.1 held by collimator-holder 5. It could, of course, be envisaged for collimator-holder 5 to be fitted with the female cylindrical portion, and for collimator 3 to be fitted with the male cylindrical portion. This installation enables the collimator to be stopped when it comes up against the collimator-holder. When fitted into one another, collimator-holder 5 and collimator 3 are prevented from sliding or rotating by immobilisation means 8.1, such as at least one ball plunger intended to pass through a radial through hole 3.2 of female cylindrical portion 3.1, and to come to a stop against a notch 5.2 in male cylindrical portion 5.1. In the figures two ball plungers 8.1 have been represented diametrically opposite one another, which enables the reliability of the rotational locking between collimator 3 and collimator-holder 5 to be improved. In male cylindrical portion 5.1 of collimator-holder 5 a series of regularly distributed notches 5.2 have been represented, which enables the angular position of through hole 3.1 of collimator 3 to be adjusted relative to collimator-holder 5. In FIG. 2A 12 notches 5.2 have been represented. This number is not restrictive. The adjustment of the angular position of the aperture of collimator 3 relative to collimator-holder 5 enables parasitic gamma radioactive sources to be avoided, by excluding them from the solid angle, and therefore from the field of observation of the detection probe. When mechanical support device 10 is designed for several detection probes 1, an adjustment of the angular position of the aperture of collimators 3 enables the field of vision of each detection probe 1 to be aligned differently, so as to cover the largest possible area of the counter top of glove box 9, as illustrated in FIG. 3. In collimator-holder 5 locking means 5.3 are also provided to prevent detection probe 1 from sliding or rotating. They are visible only in FIGS. 2B and 2C. These locking means 5.3 can comprise a screw, which penetrates radially into a bore of collimator-holder 5, and one end of which comes to a stop against detection probe 1 when locked. Mechanical support and attachment device 10 also includes an attachment device 6 to be attached to a glove port 9.1 of glove box 9. Glove 9.2 or the sleeve remain in place when the nuclear material monitoring device is slipped into glove port 9.1 of glove box 9. In this context we continue to use the term “glove port” even if it has a sleeve. Attachment device 6 includes a central portion 6.1 surrounded by a flange 6.2, which must be attached to glove port 9.1 of glove box 9. Reference is made to FIGS. 1A, 1B again, and also to FIG. 3. This glove port 9.1 is edged with a ring 9.5 which can be fitted with lugs 9.3, of which there are generally three. For its part, flange 6.2 is, in these figures, fitted with a bayonet type attachment 6.3, matching lugs 9.3. One or more probe-holders 7 traverse central portion 6.1. There is a single attachment device 6 for several probe-holders 7. Central portion 6.1 is represented in the form of a full disk, having however one or more holes into each of which one of probe-holders 7 is introduced. This central portion 6.1 is similar to a shutter. It plugs glove port 9.1. Perforated central portions would be possible, with the glove providing static sealing. The only role of central portion 6.1 is to support and position probe-holder, or each probe-holder, 7. As a variant illustrated in FIGS. 4A1 and 4A2, glove port 9.1 is edged with a ring 9.5 provided externally with one or more grooves 9.41 or one or more beads 9.42. Grooves 9.41 are recessed and beads 9.42 protruding. Flange 6.2 is provided with radial claws 6.40, 6.50 which support the outside of ring 9.2 in at least 9.42. Reference is made to FIGS. 4B, 4C, 4D. Among claws 6.40, 6.50, at least one referenced 6.50 is adjustable, as is illustrated in FIG. 4D; the other claw or claws 6.40 are fixed. Adjustable claw 6.50 is in two portions, one fixed portion 6.51 securely attached to flange 6.2, and one moving portion 6.52 with a curved end, intended to engage in a groove 9.41, in this instance the second one, the one closest to the glove box, in order for the attachment to be optimal. If instead of grooves ring 9.5 has one or more beads 9.42, as in FIG. 4A2, the moving portion 6.52 is supported by one of the beads, for example the second one, the one which is closest to the glove box. Screw clamping means 6.53 are provided to hold moving portion 6.52 against fixed portion 6.51, when the curved end of moving portion 6.52 is in place. Central portion 6.1 can be rotated relative to flange 6.2, notably when flange 6.2 is attached and prevented from rotating in glove port 9.1 of the glove box. The detector is then positioned in the glove box. Means 6.4 to prevent central portion 6.1 from rotating relative to flange 6.2 are provided. This may be at least one knurled screw 6.4 which can be screwed into flange 6.2, and the head of which, when screwed in, immobilises central portion 6.1 relative to flange 6.2. It is preferable to have several knurled screws distributed around central portion 6.1. The advantage of a knurled screw is that in its head it has a large shoulder giving it a large clamping area. Two such screws, diametrically opposite one another, have been represented in the figure. The axis of the screw is roughly parallel to axis XX′. Attachment device 6, and more particularly its central portion 6.1, are fitted with a bubble level 6.5 such that it is possible to determine the angular alignment of central portion 6.1, relative to horizontal and/or vertical. Each probe-holder 7 can slide and rotate relative to attachment device 6 before tightening. It can slide in central portion 6.1 of attachment device 6. Locking means 6.5 to prevent sliding and rotation of each of probe-holders 7 relative to attachment device 6 are provided, which enables the depth of the position of the detector in the glove box relative to the glove port to be adjusted, after attachment device 6 is securely attached to the glove box. These locking means 6.5 can be implemented, for example, for each probe-holder 7, by a thumbscrew, which can be screwed in and unscrewed easily by hand. This thumbscrew is screwed into a protrusion 6.10 on central portion 6.1 of attachment device 6. Its end engages in a groove on probe-holder 7, which prevents rotation or sliding. The groove cannot be seen in the figures. This type of means to prevent rotation or sliding is conventional in mechanics. Each detection probe 1 is, naturally, intended to be powered with high and low voltages, preferably independently; this power source is not represented in order not to overburden the figures. Each detection probe 1 is intended to be connected to a system 11 for processing and displaying a signal transmitted by the probe. Detectors made of CdZnTe or CdTe are chosen in preference, since they have greater efficiency at low energy than at high energy, as illustrated in FIG. 5, whilst having satisfactory resolution. The resolution is equal, in a spectrum, to the breadth of a peak at half-height; it must be sufficient to be able to be read. For example, in the case of americium 241, this breadth is less than 1 keV. In addition, the morphology of these detectors is sufficiently compact which means, when they are housed in a collimator, that they can be introduced, as a single unit or as several units, into a standard glove port of a glove box. FIG. 5 was obtained with detectors made of CdZnTe, of volumes 1 mm3 and 60 mm3. It can be seen that the scale of the abscissae covers the range 0 to 1400 keV. It is also recalled that actinides (radioelements the mass number of which is greater than that of actinium, namely 227) have energy gamma rays the peaks of which are between 0 and 300 keV. For example, the two characteristic lines of plutonium or of its descendants have an energy level of 59.54 keV for americium 241 and 208 keV for uranium 237. The intrinsic efficiency K(E) in cm2 at energy E of a given detector is determined, experimentally, by means of localised measurement standard sources, the activity which is known, placed at a given distance from the detector. For each significant peak of the spectrum obtained with a measurement standard source, the metering rate is calculated, and it is related to the measurement standard fluence rate at the centre of the detector. The intrinsic efficiency of the detector satisfies the formula K(E)=S(E)/Tc×Φ(E), where S(E) is the net area of the energy peak at energy level E, Tc is the acquisition time of the spectrum expressed in seconds, and Φ(E) is the fluence flow rate (gamma/cm2/s) at the centre of the detector. The spectrum is processed in a conventional fashion. It enables an operator to know how the quantity of nuclear material in the glove box has changed, in two ways. For each line present in the spectrum the corresponding energy at the top of the peak and the net area of the peak are provided. One of the ways is to obtain the FD retention or decontamination factor, and the other is a direct reading of the spectrum leading to a determination of the activity of the nuclear material contained in the solid angle of the collimator. This angle can vary in size and in position so as to cover the entire glove box. To estimate retention or decontamination factor FD for a given radioelement, the net area of the peak at significant energy level Ei of this radioelement is determined at two given instants, t0 and t1, where t1 is later than t0. It is presumed that the mass of nuclear material has changed between these two instants. These areas corrected for time (metering rates) are called N0Ei and N1Ei. Retention or decontamination factor FD for energy Ei between instant t0 and instant t1 is the ratio N0Ei/N1Ei. One or more radioelements present in the monitored nuclear material can by this means be identified, and this nuclear material is present in the glove box.
abstract
An apparatus and method for creating a beam of penetrating radiation of specified cross section that raster scans over a two-dimensional area, using a chopper wheel rotated about two axes. The wheel has a set of apertures of which at least one is illuminated to form the beam. Rotary actuators rotate the wheel about an axis of rotational symmetry of the wheel and about an axis not parallel to the axis of rotational symmetry of the wheel.
abstract
A radiation shielding material is fabricated by providing a mixture of a polyester polymer and lead oxide. The material can be formed by the open mold cast technique. A nanocomposite material comprising at least 10% lead oxide is used to provide shielding for diagnostic or medium x-rays. A formulation comprising 40% of lead oxide nanofiller embedded in a polyester matrix performed best at attenuation of diagnostic and medium x-ray levels.
abstract
There is disclosed an X-ray computerized tomography apparatus capable of accurately and promptly carrying out a navigation of an operation, by real-time reconstructing and displaying an image of a slice in which an object such as an insertion object inside a subject exists. This X-ray computerized tomography apparatus is structured to include: an X-ray detection unit for detecting transmission X-rays from a plurality of directions Irradiated from an X-ray beam generation source and transmitted through a subject, a data acquisition unit for acquiring transmission data according to the transmission X-rays detected by the X-ray detection unit, an object position detection unit for detecting a position of an object inside the subject, according to a part of the transmission data, a reconstructing range determining unit for determining a slice to be image-reconstructed, according to the position detected by the object position detection unit, and an image reconstruction unit for reconstructing a tomographic image of a slice in which the object exists, according to a transmission data acquired by the data acquisition unit, the transmission data being acquired in the slice. Thus, the X-ray computerized tomography apparatus directly detects the position of the object from the acquired transmission data, and controls the image reconstruction, display or scanning, based on the detected position.
047145846
claims
1. A device for use in a nuclear reactor which includes a pressure vessel having a vessel head, a core in the vessel, elements for controlling the reactivity of the core, drive rods which pass through the vessel head for displacing the elements, and a plurality of head adapters which pass through the vessel head, each head adapter having an inner diameter and forming part of a drive rod housing enclosing a respective drive rod, each housing enclosing a region which communicates with the interior of the vessel and which is closed at the top, said device being associated with a respective housing and constituting a housing extension including means for connecting said device to the head adapter forming part of the respective housing, said means for connecting having a substantially constant inner diameter substantially equal to that of said adapter, and means located at the lower end of said extension for forming, with the associated drive rod and within the associated housing, an annular fluid passage, said means for forming having an inner diameter less than that of said means for connecting so as to give said annular fluid passage a cross-sectional area not exceeding a selected value at least upon the occurrence of a leak in the respective housing. 2. A device as defined in claim 1, wherein said means for forming a fluid passage are carried by said connecting means. 3. A device as defined in claim 1 wherein the selected value is about 5 cm.sup.2. 4. A device as defined in claim 1, comprising a guide funnel supported by the respective housing, oriented to open toward the interior of the vessel, and defining at least part of said means for forming a fluid passage. 5. A device as defined in claim 4, wherein said means for forming a fluid passage comprise a radially inwardly extending portion of said guide funnel. 6. A device as defined in claim 4, wherein the vessel head is provided with a bore for the passage of each head adapter and further comprising means defining a radially outwardly projecting shoulder located above said funnel and having an outer diameter greater than the bore provided in the vessel head for passage of the adapter with which said device is associated, said shoulder serving to prevent ejection of said device from the vessel under the influence of the pressure within the vessel. 7. In a nuclear reactor which includes a pressure vessel having a vessel head, a core in the vessel, elements for controlling the reactivity of the core, drive rods which pass through the vessel head for displacing the elements, and a plurality of head adapters which pass through the vessel head, each head adapter having an inner diameter and forming part of a drive rod housing enclosing a respective drive rod, each housing enclosing a region which communicates with the interior of the vessel and which is closed at the top, the improvement comprising a device associated with a respective housing and constituting a housing extension located within said vessel, said device including means for connecting said device to the head adapter forming part of said respective housing, said means for connecting having a substantially constant inner diameter substantially equal to that of said adapter, and means located at the lower end of said extension and supported by said connecting means for forming, with the associated drive rod and within said associated housing, an annular fluid passage, said means for forming having an inner diameter less than that of said means for connecting so as to give said annular fluid passage a cross-sectional area not exceeding a selected value at lealst upon the occurrence of a leak in said associated housing. 8. The combination as defined in claim 7, wherein said device further comprises a guie funnel supported by said respective housing, oriented to open downwardly in said vessel, and defining at least part of said means for forming a fluid passage. 9. The combination as defined in claim 7 wherein the selected value is about 5 cm.sup.2. 10. A device for use in a nuclear reactor which includes a pressure vessel having a vessel head, a core in the vessel, elements for controlling the reactivity of the core, drive rods which pass through the vessel head for displacing the elements, and a plurality of head adapters which pass through the vessel head, each head adapter forming part of a drive rod housing enclosing a respective drive rod, each housing enclosing a region which communicates with the interior of the vessel and which is closed at the top, said device being associated with a respective housing and constituting a housing extension including means for connecting said device to the head adapter forming part of the respective housing, and means located at the lower end of said extension for forming, around the associated drive rod and within the associated housing, a fluid passage which constitutes the only path for fluid flow between the interior of the vessel and the interior of the drive rod housing above said means for forming, said means for forming comprising a flow restricting member mounted for movement relative to said connecting means from a normal position to an actuated position in response to the fluid pressure acting thereon as a result of a leak in the respective housing, and said flow restricting member being configured to cooperte with said connecting means for causing said fluid passage to have a cross-sectional area which exceeds a selected value when said flow restricting member is in said normal position and a cross-sectional area which does not exceed the selected value when said flow restricting member is in said actuated position. 11. A device as defined in claim 10 wherein the selected value is about 5 cm.sup.2. 12. A device as defined in claim 10, wherein said flow restricting member comprises a hollow cylindrical member mounted on said funnel and projecting from the narrow end of said funnel in the direction of movement of said flow restricting member from its normal position to its actuated position, said hollow cylindrical member being located within said connecting means and including a plurality of axially extending parts separated from one another by axially extending gaps and extending to the end of said hollow cylindrical member which is remote from said funnel, and said connecting means comprise an annular portion facing said hollow cylindrical member and having a camming surface positioned to deflect said axially extending parts radially inwardly when said flow restricting member moves from its normal position to its actuated position for establishing an annular gap between said parts and the drive rod which constitutes the fluid passage. 13. A device as defined in claim 10 wherein said means for forming comprises a guide funnel supported by said connecting means and oriented to open toward the interior of the vessel, said guide funnel being movable relative to said connecting means and forming a structural unit with said flow restricting member. 14. A device as defined in claim 13, wherein said flow restricting member has an upper portion enclosed by said connecting means, and said flow restricting member and said connecting means are constructed to form therebetween a portion of said fluid passage which is open when said flow restricting member is in its normal position and closed when said flow restricting member is in its actuated position. 15. A device as defined in claim 14, wherein said flow restricting member is provided with a plurality of axially extending grooves defining said portion of said fluid passage, and said connecting means comprise a flow blocking member disposed for blocking said channels when said flow restricting member is in its actuted position. 16. In a nuclear reactor which includes a pressure vessel having a vessel head, a core in the vessel, elements for controlling the reactivity of the core, drive rods which pass through the vessel head for displacing the elements, and a plurality of head adapters which pass through the vessel head, each head adapter forming part of a drive rod housing enclosing a respective drive rod, each housing enclosing a region which communicates with the interior of the vessel and which is closed at the top, the improvement comprising a device associated with a respective housing and constituting a housing extension located within said vessel, said device including means for connecting said device to the head adapter forming part of said respective housing, and means supported by said connecting means for forming, around the associated drive rod and within said associated housing, a fluid passage which constitutes the only path for fluid flow between the interior of the vessel and the interior of the drive rod housing above said means for forming, said means for forming comrpising a flow restricting member mounted for movement relative to said connecting means from a normal position to an actuated position in response to the fluid pressure acting thereon as a result of a leak in the respective housing, and said flow restricting member being configured to cooperate with said connecting means for causing said fluid passage to have a cross-sectional area which exceeds a selected value when said flow restricting member is in said normal position and a cross-sectional area which does not exceed the selected value when said flow restricting member is in said actuated position. 17. The combination as defined in claim 16 wherein the selected value is about 5 cm.sup.2.
047524413
claims
1. A modular former for use in combination with the inner barrel assembly of a pressurized water reactor having disposed therein an array of plural rod guides, said rod guides respectively housing therewithin corresponding pluralities of rods, the inner barrel assembly having a generally cylindrical sidewall and the peripheral edges of the array being spaced from the interior surface of the inner barrel assembly sidewall and defining at least one peripheral region therebetween, said guides and said rods being disposed in parallel axial relationship with each other and with the axis of the inner barrel assembly, comprising: a pair of first and second former plates each having an outer edge configured to correspond to said interior surface of said inner barrel assembly sidewall in said at least one peripheral region and an inner edge having a contoured configuration mating the peripheral edge of said array defining said at least one peripheral region; at least one column associated with said pair of former plates, each said column being of U-shaped cross-sectional configuration and having first and second ends, each of said first and second ends of each said column having a plurality of integral projections; each of said first and second plates having a plurality of slots therein respectively corresponding to and receiving therethrough the projections of the respective first and second ends of each said column for assembling said first and second plates on the respective first and second ends of each said associated column; a weld bead formed at the juncture of each said projection, as received through the corresponding said slot, and said respectively corresponding plate for rigidly interconnecting same in parallel, spaced relationship; and attachment means secured to each of said first and second plates and extending beyond said respective outer edges thereof, for insertion through corresponding holes provided therefor in said sidewall of said inner barrel assembly and attachment thereto from the exterior surface of said inner barrel assembly sidewall. a pair of first and second former plates each having an outer edge configured to correspond to said interior surface of said inner barrel assembly sidewall in said at least one peripheral region and an inner edge having a contoured configuration mating the peripheral edge of said array defining said at least one peripheral region; at least one column associated with said pair of former plates, each said column having first and second ends respectively secured to said first and second plates and extending perpendicularly therebetween for rigidly interconnecting same in parallel, spaced relationship; and first and second attachment means secured to each of said first and second plates, each said attachment means comprising a mounting block secured to the respective said plate and an integral shank extending from the mounting block and beyond the outer edge of the respective said plate, said integral shanks of said attachment means extending in parallel relationship for insertion through corresponding holes provided in said sidewall of said inner barrel assembly and extending therethrough in corresponding, parallel relationship, each said corresponding holes receiving a respectively associated said integral shank, for attachment of each said shank to said sidewall of said inner barrel assembly from the exterior surface of said inner barrel assembly sidewall. a plurality od modular formers of at least first and second different configurations respectively corresponding to said plurality of peripheral regions of said at least first and second different area configurations and disposed at the predetermined, common elevation within the respectively corresponding peripheral regions of said first and second different area configurations, in a respectively corresponding, repeating pattern of a succession of said modular formers of said at least first and second different configurations; each said modular former comprising a pair of first and second former plates each having an outer edge configured to correspond to an angular segment of the interior circumferential surface of said cylindrical sidewall associated with the corresponding peripheral region and an inner edge extending in chord-like relationship to said outer edge and having a contoured configuration mating the respective, juxtaposed peripheral edge of said array associated with the respective said peripheral region; at least one column associated with each said pair of former plates, each said column having first and second ends respectively secured to said first and second plates of said pair and extending perpendicularly therebetween for rigidly interconnecting same in parallel, spaced relationship, each said column having a cross-sectional configuration corresponding to at least a portion of the contoured configuration of said inner edges of said pair of associated plates and being secured to said plates with the cross-sectional configuration of said column in aligned relationship with the corresponding portion of the contoured inner edges of said plates; and attachment means secured to each of said first and second plates, said attachment means extending beyond the respective outer edges of said plates and through corresponding holes provided thereof in said sidewall of said inner barrel assembly and being attached thereto from the exterior surface of said inner barrel assembly sidewall. a mounting block and an integral shank extending therefrom and beyond the arcuate segment outer edge of the respective said former plate and received through a corresponding said hole provided therefor in the sidewall of said inner barrel assembly. said plurality of modular formers in each said bank thereof comprises eight modular formers in an alternating succession of four first modular formers and four second modular formers of corresponding first and second different configurations, respectively corresponding to said eight successive, alternating first and second different configurations of said peripheral regions; and said chordlike inner edges of said pairs of former plates of said respective first and second configurations are correspondingly of relatively greater and relatively shorter lengths. said chordlike inner edges of the respective said pairs of former plates of said modular formers of said first and second configurations have respective first and second different contours mating said first and second different contours of said respective first and second different peripheral edges of said array; and the respective columns of said modular formers of said first and second different configurations have corresponding first and second, different cross-sectional configurations respectively corresponding to said first and second different mating contours of said chordlike inner edges of the respectively associated pairs of former plates of the respective said first and second modular formers. the chordlike inner edges of said pair of former plates of said modular formers of said first configuration have a mating contour of relatively deeper, radially outward and more closely angularly spaced openings, and said chordlike inner edges of said pair of former plates of said modular formers of said second configuration have a mating contour of relatively shallower, radially outward and more greatly angularly spaced openings; and the respective columns of said modular formers of said first and second different configurations have cross-sectional configurations respectively corresponding to said mating contours of said chordlike inner edges of the respectively associated said pairs of former plates. each of said first and second ends of each said column includes a plurality of integral projections; each of said first and second plates of each said pair respectively associated with each said column includes a plurality of slots therein respectively corresponding to and receiving therethrough the corresponding projections of the respective first and second ends of the associated said column; and said projections at each of said ends of each said column and said corresponding slots in each of said associated pair of plates are disposed in positions corresponding to the respective said mating contours. a pressure vessel; an inner barrel assembly disposed in said pressure vessel; an array of plural rod guides respectively housing therewithin corresponding pluralities of rods, said rod guides being disposed within the inner barrel assembly sidewall with the peripheral edges of the array spaced from the interior surface of the inner barrel assembly sidewall and defining at least one peripheral region therebetween, said guides and said rods being disposed in parallel axial relationship with each other and with the axis of the inner barrel assembly; a pair of first and second former plates each having an outer edge configured to correspond to said interior surface of said inner barrel assembly sidewall in said at least one peripheral region and an inner edge having a contoured configuration mating the peripheral edge of said array defining said at least one peripheral region; at least one column associated with said pair of former plates, each said column being of U-shaped cross-sectional configuration and having first and second ends, each of said first and second ends of each said column having a plurality of integral projections; each of said first and second plates having a plurality of slots therein respectively corresponding to and receiving therethrough the projections of the respective first and second ends of each said column for assembling said first and second plates on the respective first and second ends of each said associated column; a weld bead formed at the juncture of each said projection, as received through the corresponding said slot, and said respectively corresponding plate for rigidly interconnecting same in parallel, spaced relationship; and attachment means secured to each of said first and second plates and extending beyond said respective outer edges thereof, for insertion through corresponding holes provided therefor in said sidewall of said inner barrel assembly and attachment thereto from the exterior surface of said inner barrel assembly sidewall. a pressure vessel; an inner barrel assembly disposed in said pressure vessel; an array of plural rod guides respectively housing therewithin corresponding pluralities of rods, said rod guides being disposed within the inner barrel assembly sidewall with the peripheral edges of the array spaced from the interior surface of the inner barrel assembly sidewall and defining at least one peripheral region therebetween, said guides and said rods being disposed in parallel axial relationship with each other and with the axis of the inner barrel assembly; a pair of first and second former plates each having an outer edge configured to correspond to said interior surface of said inner barrel assembly sidewall in said at least one peripheral region and an inner edge having a contoured configuration mating the peripheral edge of said array defining said at least one peripheral region; at least one column associated with said pair of former plates, each said column having first and second ends respectively secured to said first and second plates and extending perpendicularly therebetween for rigidly interconnecting same in parallel, spaced relationship; and first and second attachment means secured to each of said first and second plates, each said attachment means comprising a mounting block secured to the respective said plate and an integral shank extending from the mounting block and beyond the outer edge of the respective said plate, said integral shanks of said attachment means extending in parallel relationship for insertion through corresponding holes provided in said sidewall of said inner barrel assembly and extending therethrough in corresponding parallel relationship, each said corresponding hole receiving a respectively associated said integral shank, for attachment of each said shank to said sidewall of said inner barrel assembly from the exterior surface of said inner barrel assembly sidewall. a pair of first and seocnd former plates, each said plate having an outer edge configured to correspnd to an angular segment of the cylindrical boundary and an inner edge extending in cord-like relationship relative to said outer edge and defining therewith an interior peripheral region of a predetermined configuration, relative to the cylindrical boundary; at least one column associated with said pair of former plates, each said column having first and second ends respectively secured to said first and second plates and extending perpendicularly therebetween and rigidly interconnecting said first and second former plates in parallel, spaced relationship; and at least first and second, related cantilever attachment means secured to each said plate, each said cantilever attachment means comprising a mounting block and an axially aligned, integral shank, respective said mounting blocks of said related attachment means being secured to said respective plate with said integral shanks thereof extending beyond said outer edge of said respective plate by a predetermined distance and in mutually parallel axial relationship with respect to a radius extending from the vertical axis and centrally of the angular segment defined by said outer edge of said respective plate, said integral shanks associated with each said modular former thereby extending in mutually parallel relationship and being adapted for being inserted, substantially simultaneously, into correspondingly disposed and aligned, parallel axial receiving holes in a cylindrical wall of predetermined thickness disposed about the cylindrical boundary by translational movement of said modular former in a path parallel to the aligned axes of said integral shanks and the respective receiving holes. each said column is of U-shaped cross-sectional configuration; each of said first and second ends of each said column includes a plurality of integral projections; each of said first and second plates of each said modular former includes a plurality of slots therein respectively corresponding to, and receiving therethrough, said projections of the respective said first and second ends of said column for assembling said first and seocnd plates on the respective first and second ends of each said associated column of a given said modular formers; and a weld bead is formed at the juncture of each said projection, as recieved through the corresponding said slot, and said respectively corresponding plate. forming a plurality of modular formers, respectively corresponding to said plurality of interior regions and said respective, predetermined area configurations, within a given, said inner barrel assembly, comprising providing a pair of first and second former plates for each said modular former, each said plate having an outer edge configured to correspond to the angular segment of the interior cylindrical surface of the inner barrel assembly and an inner edge extending in core-like relationship relative to said outer edge and corresponding to the associated peripheral edge of said array and defining, with said outer edge, a configuration of said plate corresponding to said predetermined area configuration of the respective, interior region, assembling at least one column with said pair of first and second former plates so as to extend perpendicularly therebetween and contact same at its respectively, opposite ends, rigidly and permanently securing each said column at its opposite ends to the respective, said first and second former plates, and securing at least first and second, related cantilever attachment means to each said plate, each said cantilever attachment means comprising a mounting block and an axially aligned integral shank, by permanently securing said mounting block to said plate with said shank thereof extending beyond said outer edge of the respective said plate in parallel relationship to a radius extending centrally of the angular segment defined by the said respective plate; defining a plurality of mounting positions within the interior of a given inner barrel assembly, at a desired axial height and at angularly spaced relationships corresponding to said plurality of interior regions, for said plurality of respectively corresponding modular formers; at each said mounting position, forming parallel axial holes through said cylindrical sidewall of said inner barrel assembly at predetermined positions corresponding to and in axial alignment with the corresponding shanks of the attachment means of the respective said modular former; mounting each said modular former to said cylindrical sidewall of said inner barrel assembly at the respective mounting position, by aligning said respective shanks thereof with, and inserting same into, the corresponding said holes in said cylindrical sidewalls of said inner barrel assembly; and welding said shanks to said cylindrical sidewall from the exterior of said cylindrical sidewall of said inner barrel assembly. 2. A modular former as recited in claim 1, wherein said plurality of integral projections on each said end of each said column and the corresponding plurality of slots are relatively displaced in accordance with the said U-shaped cross-sectional configuration to afford a multipoint connection between each of said ends of said column and teh respective said plates. 3. A modular former as recited in claim 2, wherein each said plurality of integral projections on each said end of each said column comprises three projections relatively dispalced in accordance with said U-shaped cross-sectional configuration of said column. 4. A modular former for use in combination with the inner barrel assembly of a pressurized water reactor having disposed therein an array of plural rod guides, said rod guides respectively housing therewithin corresponding pluralities of rods, the inner barrel assembly having a generally cylindrical sidewall and the peripheral edges of the array being spaced from the interior surface of the inner barrel assembly sidewall and defining at least one peripheral region therebetween, said guides and said rods being disposed in parallel axial relationship with each other and with the axis of the inner barrel assembly, comprising: 5. A modular former as recited in claim 4, wherein each said mounting block includes a pair of legs extending from a common surface of said mounting block in parallel with each other and with the axis of said integral shank, said legs being disposed on the surface of said associated plate and welded thereto. 6. Modular formers for use in combination with the inner barrel assembly of a pressurized water reactor, adapted for installation in at least one bank of plural said modular formers at a predetermined common elevation within a corresponding plurality of peripheral regions between the inner circumferential surface of the cylindrical sidewall of the inner barrel assembly of a pressurized water reactor and the periphery of an array of plural rod guides, respectively housing therewithin corresponding pluralities of rods, disposed within said cylindrical sidewall in parallel axial relationship with the vertical axis thereof, the periphery of the array comprising a plurality of peripheral edges in a repeating pattern of a succession of at least first and second different edge configurations and said plurality of corresponding peripheral regions comprising a corresponding, repeating pattern of a succession of at least first and second, different area configurations corresponding to said respective first and second different configurations of said peripheral edges of said array, comprising: 7. Modular formers as recited in claim 6, wherein, in a given said bank thereof, said vertical columns of said modular formers are of identical height and said first and second plates of said respective, associated pairs thereof are disposed in respectively corresponding first and second horizontal planes transverse to the vertical axis of said inner barrel assembly. 8. Modular formers as recited in claim 7, wherein there are further provided first and second annular recesses within said interior surface of said inner barrel assembly sidewall at respective elevations corresponding to the respective said horizontal planes of said first and second former plates of said modular formers of said given bank, the outer edges of said first and second former plates of said plurality of modular formers of said bank being received in the respective said first and second annular recesses. 9. Modular formers as recited in claim 6, wherein each of said attachment means comprises: 10. Modular formers as recited in claim 9, further comprising a weld bead formed from the outer surface of said inner barrel assembly sidewall between the end of each said integral shank and the sidewall surrounding the corresponding said hole through which said shank is received. 11. Modular formers as recited in claim 6, wherein said array periphery comprises a plurality of peripheral edges in a pattern of eight successive, alternating first and second different edges of respectively shorter and greater lengths, defining a corresponding pattern of eight successive, alternating first and second different configurations of said plurality of peripheral regions, and wherein: 12. Modular formers as recited in claim 11, wherein said first and second peripheral edges have respective, first and second different contours as defined by the configurations of said plural rod guides as assembled within said array, and wherein: 13. Modular formers as recited in claim 12, wherein the contour of the first peripheral edges of the array comprises relatively larger radial projections in relatively smaller angular spaced relationship, and the contour of said second peripheral edges of the array comprises relatively smaller radial projections in more greatly spaced angular relationship, and wherein: 14. Modular formers as recited in claim 12, wherein: 15. A nuclear reactor system, comprising: 16. A nuclear reactor system as recited in claim 15, wherein said plurality of integral projections on each said end of each said column and the corresponding plurality of slots are relatively displaced in accordance with the said U-shaped cross-sectional configuration to afford a multipoint connection between each of said ends of said column and the respective said plates. 17. A nuclear reactor system as recited in claim 16, wherein each said plurality of integral projections on each said end of each said column comprises three projections relatively displaced in accordance with said U-shaped cross-sectional configuration of said column. 18. A nuclear reactor system, comprising: 19. A nuclear reactor system as recited in claim 18, wherein each said mounting block includes a pair of legs extending from a common surface of said mounting block in parallel with each other and with the axis of said integral shank, said legs being disposed on the surface of said associated plate and welded thereto. 20. Modular formers adapted for assembly in a bank of plural said formers disposed at a common elevation and at respective, angularly displaced, fixed positions about a vertical axis and said bank having an outer periphery defining a generally cylindrical boundary of a predetermined radius about the vertical axis, each said modular former comprising: 21. Modular formers, as recited in claim 20, wherein: 22. Modular formers as recited in claim 21, wherein said plurality of integral projectiosn on each said end of each said column and the corresponding plurality of slots in each said plate are relatively displaced in accordance with the said U-shaped cross-sectional configuration of each said column to afford a multipoint connection between each of said ends of each said column and the respective said plates of each said modular former. 23. Modular formers, as recited in claim 20, further comprising at least first and second pluralities of modular formers having respective, said pairs of said first and second former plates of respective, at least first and second different predetermined configurations and adapted for assembly in a bank in a repeating pattern of a succession of said modular formers of said at least first and second different configuration. 24. Modular formers as recited in claim 23, wherein said modular formers are adapted for assembly in a plurality of vertically-spaced banks. 25. A method of assembly and installation of formers in the inner barrel assembly of a pressurized water reactor, said inner barrel assembly defining a generally cylindrical interior surface of a predetermined radius and predetermined axial height and being designed to accommodate therein an array of plural rod guides in vertically extending, parallel axial relationship, the periphery of said array comprising a plurality of peripheral edges defining a corresponding plurality of interior regions of corresponding, predetermined area configurations between the peripheral edges of the array and the corresponding angular segments of said generally cylindrical interior surface of said inner barrel assembly, comprising:
claims
1. A detector element to detect incident x-ray radiation, the detector element comprising:a scintillation layer to convert the x-ray radiation into scintillation light;a photoactive element to convert the scintillation light into an electrical signal, the photoactive element includinga first photoactive absorption layer contacted by an electrode, anda second photoactive absorption layer contacted by a counter electrode,wherein the scintillation layer is arranged between the first photoactive absorption layer and the second photoactive absorption layer;a first electrically conductive intermediate layer arranged between the first photoactive absorption layer and the electrode; anda second electrically conductive intermediate layer arranged between the second photoactive absorption layer and the counter electrode, the first electrically conductive intermediate layer and the second electrically conductive intermediate layer being electrically conductive for charge carriers of different charge polarity,wherein the electrode is arranged, with respect to the scintillation layer, on an outside of the first photoactive absorption layer,wherein the counter electrode is arranged, with respect to the scintillation layer, on an outside of the second photoactive absorption layer, andwherein the scintillation layer is separated from the electrode by the first photoactive absorption layer and the first electrically conductive intermediate layer and the scintillation layer is separated from the counter electrode by the second photoactive absorption layer and the second electrically conductive intermediate layer. 2. The detector element of claim 1, wherein at least one of the first photoactive absorption layer and the second photoactive absorption layer is produced from an organic semiconductor material. 3. The detector element of claim 2, wherein at least one of the first photoactive absorption layer and the second photoactive absorption layer is embodied as a bulk heterojunction. 4. The detector element of claim 2, wherein the scintillation layer is formed by an optically transparent matrix in which a plurality of scintillator particles are embedded. 5. The detector element of claimed in claim 4, wherein the optically transparent matrix includes an optical refractive index matched to a wavelength of the scintillation radiation. 6. An x-ray detector, comprising:a plurality of the detector elements of claim 2, at least two of the plurality of the detector elements being fixed in a geometric arrangement in relation to one another. 7. The detector element of claim 1, wherein at least one of the first photoactive absorption layer and the second photoactive absorption layer is embodied as a bulk heterojunction. 8. The detector element of claim 7, wherein the scintillation layer is formed by an optically transparent matrix in which a plurality of scintillator particles are embedded. 9. The detector element of claimed in claim 8, wherein the optically transparent matrix includes an optical refractive index matched to a wavelength of the scintillation radiation. 10. An x-ray detector, comprising:a plurality of the detector elements of claim 7, at least two of the plurality of the detector elements being fixed in a geometric arrangement in relation to one another. 11. The detector element of claim 1, wherein the scintillation layer is formed by an optically transparent matrix in which a plurality of scintillator particles are embedded. 12. The detector element of claimed in claim 11, wherein the optically transparent matrix includes an optical refractive index matched to a wavelength of the scintillation radiation. 13. The detector element of claim 12, wherein the optically transparent matrix is electrically conductive in an ambipolar manner. 14. The detector element of claim 11, wherein the optically transparent matrix is electrically conductive in an ambipolar manner. 15. An x-ray detector, comprising:a plurality of the detector elements of claim 1, at least two of the plurality of the detector elements being fixed in a geometric arrangement in relation to one another. 16. The detector element of claim 1, wherein the first photoactive absorption layer and the second photoactive absorption layer are each produced from an organic semiconductor material. 17. The detector element of claim 1, wherein at the first photoactive absorption layer and the second photoactive absorption layer are each embodied as a bulk heterojunction. 18. A method for producing a detector element, comprising:producing a scintillation layer of the detector element from a liquid dispersion of scintillator particles and a polymer material; anddepositing out of a solution, each of a respective first photoactive absorption layer of a photoactive element of the detector element and a respective second photoactive absorption layer of a photoactive element of the detector element, directly onto respective opposite plane faces of the scintillation layer to thereby produce the detector element.
053373367
abstract
A method and apparatus decrease the release of volatile radioactive iodine from a nuclear reactor pressure vessel containing a reactor core having fuel rods submerged in water. The method includes monitoring the pressure vessel to determine an accident condition and then injecting into the pressure vessel a stable iodide in liquid solution to mix with the reactor water for decreasing vaporization of volatile radioactive iodine discharged from the fuel rods. A reservoir initially stores the stable iodide in the liquid solution and is operatively joined to the pressure vessel through a supply conduit and a normally closed valve which is selectively opened following the accident condition to inject the stored iodide liquid solution into the pressure vessel to mix with the water therein.
050135210
description
DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 shows the main vessel 1 of a fast-neutron nuclear reactor cooled by liquid sodium, suspended from a concrete closure plate 2 itself supported by a structure 3, also made of concrete. The support 4 of the reactor core 5 consisting of fuel assemblies rests on the bottom of the vessel 1. Inside the vessel 1, and in an arrangement substantially coaxial relative to this vessel, there is arranged an internal shell 6 separating the internal volume of the vessel 1 into a hot collector 7 and a cold collector 8. The internal shell 6 has an ogival toric shoulder 9 surmounted by a substantially cylindrical sleeve 10. The bottom part of the shoulder 9 rests on the core support 4, and the core assembly 5 is immersed inside the hot collector 7. The components of the reactor, such as the pumps 11 and the heat exchangers 12, pass through the ogival shoulder 9 with their bottom part, and their top parts are therefore immersed in the hot collector and their bottom parts in the cold collector. The liquid sodium filling the vessel has a free upper level 14 inside the internal shell 6, this free upper level having above it an inert gas atmosphere 15 underneath the plate 2 closing the vessel. Outside the internal shell 6 there is arranged a run-off 16 enabling the cold sodium from the bottom part of the core to be channelled so as to ensure cooling of the main vessel. This run-off 16, consisting mainly of coaxial sleeves with a vertical axis, is shown on a larger scale in FIG. 2. This device for channelling the sodium enables cold sodium to be circulated in contact with the internal surface of the main vessel 1, and the sodium then to be run off into an annular collector 18 communicating at its bottom with the cold collector 8 delimited by the internal surface of the main vessel 1 and the external surface of the internal shell 6. The liquid sodium is at a level 17 inside the cold collector, this level being situated below the free upper level 14 of the hot sodium inside the sleeve 10. The run-off system shown in FIG. 2, which allows any vibration of the main vessel 1 and its internal structures to be avoided, was the subject of U.S. Pat. No. 4,927,597. During operation of the reactor, the free upper level 14 inside the internal shell 6 moves between two defined positions, respectively corresponding to the high level 14a and the low level 14b of the hot sodium inside the vessel. The low level 14b corresponds to the level of the sodium during a reactor shut-down, the sodium being kept at a temperature close to 180.degree. C. The high level 14a corresponds to the highest position which the upper level 14 of the sodium may reach during normal operation of the reactor, i.e., in the nominal condition, the liquid sodium being at a temperature close to 600.degree. C. The top part 19 of the cylindrical sleeve 10 of the internal shell consisting of an annular-shaped reinforcement is located above the high level 14a of the sodium. The cylindrical sleeve 10 thus ensures, during all the stages of operation of the reactor, separation between the hot sodium and the cold sodium. An annular enclosure 20 is arranged at the top of the sleeve 10 and on its internal periphery. The enclosure 20 is delimited by a second sleeve 21, the diameter of which is less than the diameter of the sleeve 10 and which is arranged substantially coaxial width the top part of the sleeve 10. The top part of the annular enclosure 20 is open and its bottom part is closed by an annular-shaped base 22 which is welded both to the bottom part of the second sleeve 21 and onto the internal surface of the sleeve 10. The base 22 ensures a sealed closure of the bottom part of the annular enclosure 20 and assembly of the second sleeve 21 with the cylindrical sleeve 10 As can be seen in FIG. 2, the top end of the second sleeve 21 is arranged below the high level 14a of the sodium, while the bottom base 22 is arranged below the low level 14b. The second sleeve 21 preferably consists of a stainless steel sheet of the same quality and with the same thickness as the sheet forming the cylindrical sleeve 10. The thickness of this sheet is substantially equal to 1.5 cm. With reference to a cylindrical sleeve 10' according to the prior art without an annular enclosure delimited by a second sleeve, similar to the enclosure 20 shown in FIG. 2. FIG. 3a shows the cylindrical sleeve 10' in the case where the hot sodium inside the internal shell is at the low level 14'b, this level corresponding to a reactor shut-down, for example for the purpose of refuelling, the sodium being at a temperature close to 180.degree.. FIG. 3b shows the cylindrical sleeve 10' in contact with the hot sodium filling the internal shell up to the level 14'a. This level 14'a corresponds to the nominal operating condition of the reactor, the sodium being at a temperature of the order of 550.degree. C. FIG. 4 shows the variation in temperature of the cylindrical sleeve 10', in the axial direction, i.e., along the height of this sleeve 10' and where the level is rising. The zone of the sleeve 10' in contact with the liquid sodium, i.e. up to the level 14'b or 14'a is at a temperature substantially equal to the temperature of the liquid sodium, i.e., 180.degree. C. in the case of FIG. 3a and 550.degree. C. in the case of FIG. 3b. From the free level, the temperature of the cylindrical sleeve 10' decreases very rapidly so as to reach, at the end thereof, a value less, by .DELTA. t1 in the case of FIG. 3a and .DELTA. t2 in the case of FIG. 3b, than the temperature of the liquid sodium. It will be noted (solid- and broken-line curves in FIG. 4) that the value .DELTA. t2 and the trend of the curve showing the drop in temperature above the sodium level are different in the case where the reactor is in permanent operation (broken-line curve) and in the case where the reactor is in a transient operating condition (solid-line curve). In all cases, the axial temperature gradient is high, resulting in the appearance of high thermomechanical stresses in the cylindrical sleeve 10'. FIGS. 5a to 5e show the cylindrical sleeve 10 according to the invention comprising an annular enclosure 20 on its internal periphery and on its top part. FIGS. 5a to 5e show different stages of operation of the reactor. FIGS. 5a and 5b show the top part of the cylindrical sleeve 10 and the annular enclosure 20, in the case where the reactor is brought into service. In FIG. 5a, the level of the liquid sodium 14b inside the hot collector of the reactor corresponds to the level during the core loading stage, the sodium being at a temperature close to 180.degree. C. The annular enclosure 20 has no sodium inside it. When the reactor is brought into service and the temperature of the liquid sodium rises, the liquid sodium reaches an upper level inside the hot collector, which is shown in FIG. 5b. This upper level, which corresponds to the high level 14a, the sodium being at its operating temperature close to 550.degree. C., is located above the top end of the secondary sleeve 21 delimiting the annular enclosure 20. The liquid sodium then runs off into the enclosure 20 until the latter is completely filled. FIGS. 5c and 5d show the top part of the cylindrical sleeve 10 and the enclosure 20 during permanent operation of the reactor. In FIG. 5c, the reactor is at the end of a shut-down period, for example for the purposes of refuelling, the sodium being at a temperature close to 180.degree. C. inside the hot collector and its upper level 14b corresponding to the low level. This level 14b is situated above the bottom base 22 of the enclosure 20, such that the bottom part of this enclosure is in thermal contact with the sodium at 180.degree.. The sodium introduced into the enclosure 20 when the reactor is brought into operation is kept at a temperature which is slightly lower than 180.degree. C. and relatively constant over the entire height of the enclosure 20, as a result of thermal contact with the sodium of the hot collector and owing to convection. Thermal protection of the sleeve 10 is thus also ensured during periods where the reactor is shut down. During restoration of normal operation of the reactor after a shut-down, the sodium heats up to a temperature of the order of 550.degree. C. and its upper free level reaches the high level 14a, as shown in FIG. 5d. The level 14a is above the top edge of the secondary sleeve 21 delimiting the annular space 20, such that this annular space 20 is placed in communication with the sodium of the hot collector. The liquid sodium contained inside the annular enclosure 20 is brought to a temperature of the order of 550.degree. C., like the liquid sodium inside the hot collector. FIG. 5e shows the top part of the cylindrical sleeve 10 of the internal vessel and the enclosure 20, in the case where the reactor is in a transient operating condition, the upper level 14 inside the hot collector moving between a position corresponding to the low level and a position corresponding to the high level. The upper level of the sodium inside the enclosure 20 moves correlatively between a low position and a high position which corresponds to the top end of the secondary sleeve 21 of the enclosure 20. The degree of displacement of the upper level inside the enclosure 20 is not, however, very significant, the displacement of the sodium level being due simply to the expansion caused by the variations in temperature of the sodium contained inside this enclosure. The temperature of the sodium inside the enclosure is substantially constant, owing to the convection effects. The result in all cases is that the temperature gradient in the axial direction of the cylindrical sleeve 10 is relatively low and considerably reduced compared to the case of an internal shell according to the prior art, as shown in FIGS. 3a and 3b. FIG. 6 shows the temperature variations in the axial direction of the cylindrical sleeve 10, as shown in FIGS. 5c, 5d and 5e. The lower curve shows the variations in the temperature in the axial direction of the cylindrical sleeve 10, in the case where the sodium at 180.degree. C. is at its low level 14b. In this case, the temperature of the cylindrical sleeve 10 is substantially the same as the temperature of the sodium inside the hot collector, namely 180.degree. C., inside all the immersed part of the cylindrical sleeve 10. Above the free level 14b, the temperature drops slightly and by a total amount equal to .DELTA.'t1, up to the top end of the sleeve 10. In the case of a permanent operating condition of the reactor as shown in FIG. 5d or a transient operating condition as shown in FIG. 5e, the temperature of the cylindrical sleeve 10, in its part immersed in the sodium of the hot collector, is substantially equal to 550.degree. C., this temperature then dropping beyond the free level 14a (14), this drop by a total amount .DELTA.'t2 up to the top end of the sleeve 10 being small compared to the drop in temperature, in the case of the sleeve 10' shown in FIG. 3b. By comparing FIGS. 6 and 4, it is possible to note that .DELTA.'t2, is very much less than .DELTA. t2 and .DELTA.'t1 very much less than .DELTA. t1. This results in thermomechanical stresses which are much smaller in the top part of the internal shell according to the invention than in the top part of the internal sleeve according to the prior art. FIG. 7 shows the top part of the cylindrical sleeve 10 of an internal shell according to the invention comprising an enclosure 20, the bottom part of which is delimited by an annular-shaped base of great thickness 22' forming a reinforcement for the top part of the cylindrical sleeve 10 and of the internal shell 6. This reinforcement 22' arranged below the low level 14b of the sodium is at a temperature which always corresponds substantially to the temperature of the hot sodium and of the immersed part of the cylindrical sleeve 10. The arrangement of the reinforcement below the sodium level enables the thermal inertia and the temperature gradient effect to be reduced, compared to the case of a reinforcement on the top, constantly immersed part of the cylindrical sleeve 10. This results in the formation both of the base of the annular enclosure 20 limiting the temperature gradient in the cylindrical sleeve 10, and of the upper reinforcement of this sleeve preventing the occurrence of notable deformations or vibrations in the case of a tremor. The invention thus enables the temperature gradient and therefore the thermomechanical stresses in the top part of the internal shell of a fast-neutron nuclear reactor to be limited under any conditions, whether the reactor is in operation or shut-down, as a result of an annular enclosure and without using annexed means for supplying the enclosure with liquid metal. This arrangement also allows the operational ranges of the nuclear reactor to be broadened, as regards the extent and rapidity of the transient conditions acceptable during operation and as regards the increase in temperature upon start-up of the reactor. These new possibilities are obtained without increasing the thermomechanical stresses in the top part of the internal shell. In the case of a fast-neutron nuclear reactor of the current art, an annular enclosure 20 with a width at the most equal to 10 cm will preferably be provided, this width corresponding to the radial distance between the internal surface of the cylindrical sleeve 10 and the internal surface of the secondary sleeve 21 of the enclosure 20. The height of the secondary sleeve 21 will preferably be chosen so as to be less than 1.5 m. The top end of the second sleeve will be located preferably at a distance of the order of 10 cm below the high level 14a of the sodium inside the hot collector. It is possible to use other dimensions and other embodiments of the annular enclosure 20 limiting the temperature gradient in the top part of the internal shell. In the case where the internal shell comprises several concentric sleeves immersed in the liquid sodium and forming, for example, annular spaces for channelling the sodium, it is possible to associate, with all or some of these sleeves, annular enclosures keeping in contact with the top part of the sleeves a certain quantity of sodium limiting the temperature gradient in the top part of the corresponding sleeves. The invention is not limited to nuclear reactors cooled by liquid sodium, but may be applied to any nuclear reactor cooled by a liquid metal.
055568980
claims
1. A radiation and chemically resistant composition comprising a blend of a vinylidene fluoride polymer and from about one to about twenty percent by weight of said composition of gadolinium oxide. 2. A pressed sheet made from the composition of claim 1. 3. A pressed sheet as in claim 2 containing a fabric backing. 4. A laminate made by heat pressing the composition of claim 1 between two compatible thermoplastic polymer sheets. 5. A laminate as in claim 4 wherein the thermoplastic polymer of the outer sheets is a vinylidene fluoride polymer.
abstract
The present invention has the object of providing a charged particle beam irradiation method ideal for reducing the focus offset, magnification fluctuation and measurement length error in charged particle beam devices.
abstract
A process of treating hydrogen gas liberated from the acid or alkaline dissolution of a metal is provided. The process comprises a step of passing the liberated hydrogen gas through a reactor containing an oxidizing agent for oxidation of the hydrogen gas into water, followed by a step of regenerating the oxidizing agent. Also provided is an apparatus for carrying out the process, the apparatus comprising a reactor containing the oxidizing agent, wherein the reactor is at least partially immersed in an alumina bath.
058922385
abstract
A radiation therapy shielding assembly is disclosed. The shielding assembly includes a pair of shielding sections. The shielding sections define a cavity for receiving a patient. Each of the shielding sections define a predetermined edge surface. The predetermined edge surfaces of the pair of shielding sections are spaced from one another to define a patient treatment area.
description
The present invention relates to a correction container for binding between a Marinelli beaker and a detector of a detecting device for nuclide analysis, in particular to a Marinelli beaker correction container capable of fixing stably a Marinelli beaker or an 80 ml bottle for measuring sample on a detector of a detecting device. In general, samples for radionuclide analysis have a variety of shapes, chemical and physical properties. The radiation level may be low in a large sample, or it may be high in a very small sample. In the case where the sample is composed of a material of a high density and a high atomic number, measurement by gamma ray attenuation may be difficult. Accordingly, the sample should be mounted on the detector of the optimum condition in order that radiation spectrum can be obtained well along with reliable figures. On the other hand, in order to analyze the gamma ray emission nuclide existing in the sample, it is important how the gamma ray penetrates the substance and how the gamma ray shall be detected. High Purity Germanium Gamma Spectroscopy Systems (hereinafter referred to as “HPGe”) which show efficiency at low energy and excellent resolution across the entire energy range is widely used to meet these requirements. With the use of HPGe in Korea and other countries as described above, there have also been various types of Marinelli beakers (1 L) for charging samples so as to correspond to a detecting device installed. And since a new type of Marinelli beaker recently supplied has become larger by considering the diameter of the detecting device mounted on various HPGe products, a space is formed between the detecting device and the lower portion of the beaker where the detecting device is inserted, thereby increasing the uncertainty of the detection value. Accordingly, it is needed to develop a complementary container that can reduce the experimental error (geometrical uncertainty) occurring during mounting the sample by the space existing between the Marinelli beaker and the detecting device. The above-mentioned experimental error can be expressed by the following standard uncertainty. That is, the combined standard uncertainty (UC) is the standard uncertainty of the measurement result when the measurement result is obtained from several different input quantities and is obtained by combining the uncertainty (UA) obtained by statistically analyzing a series of observed values and the uncertainty (UB) by the mathematical method as in Equation 1 below when the uncertainty factor (input quantity) is independent. In other words, by installing the correction container in the space existing on the lower portion of the Marinelli beaker where the detector is contacted, a calibration error that occurs during the equipment calibration as well as a standard deviation that occurs during the repeated experiment can be reduced, and this is related to statistics analytical uncertainty UA.Uc=√{square root over (Ua2+Ub2)}  [Equation 1] In Equation 1, UC is the combined standard uncertainty, UA is the uncertainty by observation statistics, and UB is the uncertainty by a mathematical method. On the other hand, the correction container must be replaced since the required size becomes different in order to measure radionuclides in a sample using the small amount of sample measuring bottle. In order to measure radionuclides in a small amount of sample, a small measuring bottle having a capacity of 80 ml should be used. In order to measure radionuclides using a small measuring bottle, the bottle must be fixed steady with the height kept constant from the surface of the HPGe detecting device. In the past, there was not a correction container for sealing the space existing on the surface of the lower portion of a Marinelli beaker where the detecting device is contacted or another particular device or instrument capable of fixing on the correction container by changing a small measuring bottle. (Patent Document 1) 1. Korean Utility Model Registration No. 20-0166575 (published on Feb. 15, 2000) (Patent Document 2) 2. Korean Patent No. 10-0372755 (published on Feb. 17, 2003) The present invention provides a correction container capable of fixing stably a Marinelli beaker to a detecting device by sealing the space generated on the lower surface of the Marinelli beaker mounted on a nuclide detecting device. In addition, the present invention is intended to provide a correction container capable of attaching to a small amount of sample measuring bottle having a capacity of 80 ml, thereby, stably fixing a container of a different size depending on a change in sample amount to a detecting device. The present invention also provides a correction container capable of easily attaching and detaching a Marinelli beaker and a small amount of a sample measuring bottle having a capacity of 80 ml on a nuclide detecting device. In order to accomplish the above object, a correction container of a Marinelli beaker according to the present invention may include a container body which has been formed to have a diameter corresponding to an inner diameter of the recessed lower surface of a Marinelli beaker. Preferably, in the container body according to the present invention, a first groove which is attached to a detector of a detecting system for nuclide analysis may be formed in a lower portion of the container body, a second groove having a smaller diameter than the first groove may be formed in a upper portion of the container body, and an intake and exhaust hole may be formed through the first groove to the second groove. Preferably, the intake and exhaust hole formed in the container body may be provided with a length of 8 mm to 12 mm. Preferably, the second groove formed in the upper portion of the container body may be formed with an inner diameter corresponding to the diameter of the lower surface of the sample measuring bottle having a capacity of 80 ml used in nuclide analysis. Preferably, the container body according to the present invention may be made of a polyethylene resin. According to the present invention, a Marinelli beaker may cover a upper portion of a container body to wrap around it, and a detector of a nuclide detecting device is inserted into a first groove formed in a lower portion of the container body. By means of the correction container according to an embodiment of the present invention, the distance between the position of radionuclides in the sample in a Marinelli beaker and the detector is maintained constant. Accordingly, there is an advantage that the reliability of the detection value in nuclide analysis is improved. In addition, according to an embodiment of the present invention advantageously the uncertainty of nuclide analysis is lowered by stably fixing a Marinelli beaker in nuclide analysis, and a beaker can be easily attached and detached by the intake and exhaust hole formed in the container body. Further, in the present invention, when a small amount of 80 ml sample is to be analyzed, a second groove which a small container used is attached to is formed in the upper portion so that a small amount of sample measuring bottle having a capacity of 80 ml in addition to the Marinelli beaker can be stably fixed. Accordingly, there is an advantage that the nuclide test can be performed without replace with an extra correction container. Hereinafter, the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited by the exemplary embodiments. Same reference numerals in the drawings denote members performing substantially the same function. The objects and effects of the present invention can be understood or clarified naturally by the following description, and the objects and effects of the present invention are not limited only by the following description. In the following description, the detailed description regarding prior art related to the present invention, if it would obscure the gist of the present invention, shall be omitted. Before describing the technique according to the present invention, the technical principle of the present invention for solving the problems of the conventional art will be summarized as in the following. First, in general a Marinelli beaker is commonly used for the analysis of gamma emitting radionuclides in samples such as foods. A sample is filled into a conventional Marinelli beaker in the form of a donut and is mounted on a gamma ray detector in a HPGe (High Purity Germanium Gamma Spectroscopy System) so that nuclide analysis can be performed. However, since the diameters of detectors installed in HPGe produced by various companies are not uniform, Marinelli beakers which can be commonly used have recently been produced and started to be distributed. The detection uncertainty in the analysis is low when the contact area of the lower portion of the Marinelli beaker for installing the detector is matched with the diameter of the detector. However, since the contact area of the lower portion of the Marinelli beaker for installing the detector is larger than the diameter of the detector, the Marinelli beaker filled with the sample is unstably placed on the detector of the detecting device. As described above, if the Marinelli beaker filled with the sample is not stably placed on the detector of the detecting device, the distance between the position of the radionuclide in the sample and the detector is also changed, thereby lowering the reliability of the detection value. In the present invention, the uncertainty in the nuclide analysis is lowered by sealing the space existing in the detector mounting of the lower portion of a new Marinelli beaker that models Coaxial HPGE Detector, GC 4019 in HPGe (7500SL) by Canberra, which is most widely used domestically. On the other hand, a small measuring bottle should be used to measure radionuclides in a small amount of sample. In order to measure radionuclides in a sample using a small measuring bottle, the bottle must be fixed steady with the height kept constant from the surface of the HPGe detecting device. Depending on the amount of a sample for radionuclide analysis, the user will use either a Marinelli beaker or a sample measuring bottle with a capacity of 80 ml. In the present invention, it is possible to stably fix a Marinelli beaker and a small amount of sample measuring bottle on a detector of a detecting device with one correction container, thereby reducing uncertainty in nuclide analysis. The configuration and overall operation principle of the present invention is described in detail as follows. FIG. 1 is a perspective view of a Marinelli beaker correction container 100 according to an embodiment of the present invention. Referring to FIG. 1, a Marinelli beaker correction container 100 may comprise a container body 110 which is attached to a Marinelli beaker 20, FIG. 3 and a detector 10, FIG. 3 of the detecting device between them. In this embodiment, the container body 110 may be provided as a material of a polyethylene resin. The container body 110 is inserted and seated in the lower portion of the Marinelli beaker 20, FIG. 3. Further, the container body 110 is coupled and mounted so as to surround the detector 10, FIG. 3 of the detecting device. The container body 110 is provided to bond the Marinelli beaker 20, FIG. 3 and the detector 10, FIG. 3 of the detecting device, which don't fit into each other. When analyzing radionuclides in a small amount of sample, a sample measuring bottle 30, FIG. 3 of 80 ml smaller than the Marinelli beaker 20, FIG. 3 is used. The container body 110 according to the present embodiment can be inserted such that the Marinelli beaker 20, FIG. 3 of a large size wraps around its upper portion. And it is provided so a small amount of the sample measuring bottle 30, FIG. 3 can be inserted and seated on a second groove 140 formed on the upper portion. The container body 110 is formed with a diameter corresponding to the inner diameter of the recessed lower surface 22, FIG. 3 of the Marinelli beaker 20, FIG. 3 so that the container body 110 can be inserted and fixed to the lower portion of the Marinelli beaker 20, FIG. 3. Accordingly, the container body 110 is attached to the inside of the lower portion of the Marinelli beaker 20, FIG. 3. In the container body 110, a first groove 120, a second groove 140 and an intake and exhaust hole 130 are formed such that the detector 10, FIG. 3 and a small amount of the sample measuring bottle 30, FIG. 3 can be attached to the container body. The first groove 120 may be formed at the lower portion of the container body 110 and may be attached to the detector 10 of the detecting device, FIG. 3 for analyzing nuclides. Accordingly, it is preferable that the inner diameter of the first groove 120 is formed to correspond to the diameter of the detector 10, FIG. 3. The lower surface of the container body 110 is recessed to a predetermined depth by the first groove 120. The second groove 140 may be formed on the upper portion of the container body 110 with a smaller diameter than the first groove 120. When a small amount of radionuclide is analyzed, a sample measuring 80 ml bottle 40, FIG. 3 is generally used. In this case, a small amount of the sample measuring bottle 30, FIG. 3 is generally provided so that its diameter is designed to be smaller than the detector 10, FIG. 3. The second groove 140 where a small amount of sample measuring bottle 30, FIG. 3 is seated is designed to have a smaller inner diameter than the diameter of the detector 10, FIG. 3 and the first groove 120. More preferably, the second groove 140 is provided with an inner diameter corresponding to the diameter of the lower surface of the 80 ml sample measuring bottle used in nuclide analysis. In this embodiment, the second groove 140 may be formed at a depth of 1 cm on the upper portion of the container body 110. As the second groove 140 is formed on the upper portion of the container body 110, the container of a different size can be stably fixed to the detector 10 in FIG. 3 of the detecting device. First, since the diameter of the container body 110 corresponds to the inner diameter of the recessed lower surface 22, FIG. 3 of the Marinelli beaker 20, FIG. 3, the Marinelli beaker 20, FIG. 3 of a relatively large size may be attached to the container body 110 in such a way that the container body is enclosed with the Marinelli beaker. Secondly, a small amount of sample measuring bottle 30, FIG. 3 of a relatively small size may be inserted into the second groove 140 formed in the upper portion of the container body 110. Accordingly, it is possible to perform nuclide analysis according to the sample amount even without changing the correction container 100. The first groove 120 and the second groove 140 are spaced apart from each other by a predetermined distance on the inner side of the container body 110. The spaced distance refers to the distance between a small amount of sample measuring bottle 30, FIG. 3 and the detector of the detecting device 10, FIG. 3, which can be suitably designed according to the experimental conditions of nuclide analysis. The intake and exhaust hole 130 may be formed through the first groove 120 to the second groove 140. The intake and exhaust hole 130 may be formed through the center of the bottom surface of the second groove 140 to the center of the upper surface of the first groove 110. The intake and exhaust of the air through the intake and exhaust hole 130 happens such that the attachment and detachment can be made smoothly when the container body 110 is attached to or detached from the recessed lower surface 22, FIG. 3 of the Marinelli beaker of the beaker or a small amount of sample measuring bottle 30 is attached to or detached from the second groove 140. The intake and exhaust hole 130 is used to exhaust the air pressure or intake the air by the vacuum pressure during attachment to/detachment from the Marinelli beaker 20, FIG. 3, a small amount of sample measuring bottle 30, FIG. 3 or the detector 10, FIG. 3. Accordingly, the Marinelli beaker 20, FIG. 3 or a small amount of sample measuring bottle 30, FIG. 3 can be easily attached to or detached from the container body 110, and the container body 110 can be easily attached to or detached from the detector 10, FIG. 3. The length of the intake and exhaust hole 130 refers to a separation distance between the sample measuring bottle 30, FIG. 3 and the detector 10, FIG. 3. It is desirable to maintain a distance of 1 cm between the detector and the container when radionuclide analysis is performed for a small amount of sample. In this embodiment, the intake and exhaust hole 130 may be provided in a length of 8 mm to 12 mm. In this case, it is more preferable that the length of the intake and exhaust hole 130 is 10 mm. FIG. 2 is a view of longitudinal section of a Marinelli beaker correction container 100 according to the embodiment of FIG. 1. Referring to FIG. 2, the first groove 120, the second groove 140, and the intake and exhaust hole 130 are formed on the container body 110 with different diameters. The container body 110 has a first groove 120 formed at a lower portion thereof to be attached to a detector 20 of the detecting device for nuclide analysis, a second groove 140 having a smaller diameter than the first groove 120 at a upper portion thereof, and the intake and exhaust hole 130 which is formed through the first groove 120 to the second groove 140. The first groove 120 may be formed deeper than the second groove 140 so that the container body 110 can be stably fixed to the detector 20, FIG. 3. The vertical length of the container body 110 is preferably longer than the depth of the recessed lower surface 22, FIG. 3 of the Marinelli beaker. FIG. 3A is a perspective view of uninstalling a Marinelli beaker by a Marinelli beaker correction container according to an embodiment of the present invention, and FIG. 3B is a perspective view of uninstalling a small amount of sample measuring bottle of 80 ml by a Marinelli beaker correction container. Referring to FIG. 3, it can be appreciated that different specifications, such as a Marinelli beaker 20 and a small amount of sample measuring bottle 30, can be fixed with a single correction container 100. FIG. 4 shows the attachment and detachment of a Marinelli beaker according to the embodiment of FIG. 3A. FIG. 5 shows the attachment and detachment of a sample measuring bottle having a capacity of 80 ml according to the embodiment of FIG. 3B. Referring to FIGS. 1-5, the correction container 100 according to the present embodiment can allow a Marinelli beaker 20 made large in consideration of a diameter of a detector installed in various HPGe company products and a small amount of sample measuring bottle to be stably fixed to the detector 10 of the detecting device. While the present invention has been described in detail with reference to exemplary embodiments thereof, it is to be understood by those who are skilled in the art that the invention is not limited to the disclosed exemplary embodiments. Therefore, the scope of the present invention should not be limited to the above-described embodiments, but should be determined by all changes or modifications derived from the scope of the accompanying claims and equivalents thereof. 10: detector of the detecting device 20: Marinelli beaker 22: recessed lower surface of a Marinelli beaker 30: a small amount of sample measuring bottle 32: lower surface of a small amount of sample measuring bottle 100: Marinelli beaker correction container 110: container body 120: first groove 130: intake and exhaust hole 140: second groove
abstract
The invention concerns a package for transporting and/or storing radioactive materials. The package comprises a wall element (26) and a cooling element (31, 32) attached to the wall element (26) and protruding from the wall element (26) towards the outside of the package. The cooling element (31, 32) comprises a base (40) and at least one fin (35) rigidly connected to the base (40). The base (40) extends to either side of the fin (35) respectively towards two opposing lateral ends of the base, each of the lateral ends being attached to the wall element (26) via a weld.
abstract
A steam generator having a heat transfer tube group formed of a plurality of U-shaped heat transfer tubes; an annular channel formed to cover the circumference of the heat transfer tube group; the annular channel having an opening that communicates with the heat transfer tube group; a water supply unit disposed at an upper portion of the annular channel and supplies water to a descending-side portion; a steam/water separator disposed above the heat transfer tube group; and an air bubble removing member for removing air bubbles provided in the annular channel.
051679116
summary
BACKGROUND OF THE INVENTION The present invention relates to a fuel assembly and a nuclear reactor, especially, to a fuel assembly to be loaded into a boiling water reactor, a lower tie plate of the fuel assembly, a reactor core composed of the fuel assembly, and the boiling water reactor. In the boiling water reactor, a plurality of fuel assemblies are loaded into a reactor core which is located in the middle of a pressure vessel, and a plurality of cross shaped control rods, of which insertion are regulated by an apparatus of control rod drive, are installed between the fuel assemblies. Exothermic reaction in a nuclear reactor is maintained by chain reactions of nuclear fission. That is, in the nuclear reactor, a thermal neutron collides with uranium and causes a fission. Kinetic energy of a fission fragment of the uranium is converted mainly to thermal energy. Further, two or three fast neutrons are generated by the fission of uranium. The fast neutron collides with hydrogen atoms in moderator (light water of coolant in the boiling water reactor) several times and is moderated to thermal equilibrium state with atoms composing of the reactor core, and causes next fission of uranium. Subsequently, uranium continues burning by the chain reaction. The reaction is called a nuclear fission. Collision of the uranium with the neutron does not always cause the nuclear fission. Among uranium isotopes existing naturally, the isotope which causes the nuclear fission by collision with the neutron is uranium-235. Isotopic composition ratio of the uranium-235 is about 0.7%, and the rest is uranium-238 which does not cause nuclear fission reaction. Therefore, main commercial nuclear reactors are using enriched uranium, wherein uranium-235 is enriched, as a fuel material. In a conventional boiling water reactor, a cross-shaped control rod is used to regulate the chain reaction of the nuclear fission by insertion between the fuel assemblies. The control rod contains B.sub.4 C, an absorbing material of neutron. By changing the insertion rate of the control rod into the reactor core, absorption of neutron by the control rod is regulated and the nuclear fission reaction is controlled. Especially, to maintain a scheduled reactivity all through an operation cycle to end of the operation cycle, the fuel material has high reactivity latently at beginning of the operation cycle. In addition to difference of reactivity between at reactor operation and at reactor cold shut down, the high reactivity has possibility to reduce reactor cold shut down margin. Therefore, in view of regulation of excess reactivity at the beginning of the operation cycle and certain holding of the reactor shut down margin, a method to mix a burnable poison such as gadolinia etc. with the fuel material for regulation of reactivity has been adopted in addition to the regulation of reactivity by using control rods. Further, as another method to regulate the excess reactivity, a method to vary fraction of steam volume in the reactor core (hereinafter called void fraction) is provided. For example, the void fraction in the reactor core is changed by alteration of flow rate of coolant in the reactor core. The change of the void fraction causes variation of moderating effect of the neutron by hydrogen atoms in the moderator and, consequently, variation of reactivity. Accordingly, a method to change void fraction can be utilized for regulation of the reactivity of the reactor core. The void fraction is generally changeable to be large at beginning of the operation cycle and small at end of the cycle. Such change of the void fraction makes harder of neutron spectrum at beginning of the operation cycle than the neutron spectrum at end of the operation cycle, and consequently yield of plutonium as a fissile material is increased. The increasing of yield of plutonium means increment of fissile material in the reactor core, and it makes possible to extend operation period of the nuclear reactor. A method of operation to alter neutron spectrum during the operation cycle so as to extend the operation period is called "spectral shift operation". Methods of the spectral shift operation based on alteration of ratio of hydrogen to uranium (H/U ratio) during the operation cycle are disclosed in JP-A-57-125390 (1982) (U.S. application Ser. No. 217,275, filing date; Dec. 16, 1980), and JP-A-57-125391 (1982) (U.S. application Ser. No. 217,061, filing date; Dec. 16, 1980). Publications described above are indicating that the spectral shift operation is performed with using a water displacer rod which is installed separately in addition to a control rod. That is, the water displacer rod is inserted into a fuel assembly to decrease the H/U ratio at beginning of an operation cycle, and the water displacer rod is withdrawn from the fuel assembly to increase the H/U ratio at end of the operation cycle. At the beginning of the operation cycle when the H/U ratio is small, yield of fissile plutonium is increased as same as the case of the large void fraction. The prior art described above necessitates a driving apparatus to handle the water displacer rod separately in addition to a control rod driving apparatus to handle the control rod, and consequently structure of the nuclear reactor becomes complex. A plurality of water displacer rods are installed instead of only one rod. A fuel assembly with which the spectral shift operation is possible by altering void fraction in the fuel assembly is disclosed in EP-A-0205162. The fuel assembly has an orifice having a plurality of round rods which are installed in a way to cross a coolant path at inside of a lower tie plate. JP-A-57-125390 (1982) and JP-A-57-125391 (1982) indicate a spectral shift operation of a pressurized water reactor, while EP-A-0205162 indicates a spectral shift operation of a boiling water reactor. EP-A-0205162 describes a structure installing the orifice at a coolant path inside of fuel support fittings which support the fuel assembly as another example. JP-A-60-177293 (1985) discloses a structure to perform the spectral shift operation by altering void fraction in a gap (hereinafter called water gap region) which is formed between each of loaded fuel assemblies in a reactor core of a boiling water reactor, concretely saying, water gap region which is formed between channel boxes of each fuel assembly. Coolant flows in the water gap region as well as in the fuel assembly. The coolant is leaked into the water gap region from a gap between a lower tie plate of the fuel assembly and the channel box, between the lower tie plate and a fuel support fitting, and between the fuel support fitting and a reactor core support plate, and so on. In JP-A-60-177293 (1985), a through hole connecting to the water gap region is provided at the reactor core support plate, and further a flow regulating valve is installed at the reactor core support plate to alter the void fraction in the water gap region. The flow regulating valve regulates the flow rate of the coolant from the through hole to the water gap region. That is, the valve disc of the flow regulating valve closes the through hole by action of a spring which is attached to the valve. The condition described above is caused at a case when flow rate of the coolant to the fuel assembly is small. When the flow rate of the coolant is increased, the valve disc moves upward to open the hole and coolant is provided to the water gap region through the hole. At beginning of an operation cycle, the through hole is closed by the valve disc and void fraction in the water gap region is increased. At end of the operation cycle, the through hole is opened and the void fraction in the water gap region is decreased. Effect of the spectral shift operation is larger when void fraction outside of the fuel assembly, namely void fraction in the water gap region, is altered than a case when void fraction in side of the fuel assembly is altered. The method disclosed in JP-A-60-177293 uses a simpler structure than other prior art which uses water displacer rod. However, the flow regulating valve which is disclosed in JP-A-60-177293 uses recovering power of a spring and causes a problem that the spring loses its recovering power as a result of neutron irradiation. SUMMARY OF THE INVENTION The object of the present invention is to provide a fuel assembly and a boiling water reactor which are able to regulate void fraction in a gap being formed between fuel assemblies without using mobile members. Another object of the present invention is to provide a fuel assembly which is able to increase thermal margin at beginning of an operation cycle. The present invention is characterized in providing a through hole on a side wall of the lower tie plate, through which a portion of the effective current leak out, and installing a resistant means, of which the pressure loss coefficient depends on the flow velocity of the coolant passing through the hole of the lower tie plate.
summary
claims
1. A seismic-resistant nuclear fuel storage system comprising:a fuel pool comprising a base slab and plurality of vertical sidewalls collectively defining a cavity configured for wet storage of nuclear fuel;a fuel rack comprising a plurality of vertically elongated tubular cells each defining a prismatic cavity configured for storing nuclear fuel therein, the cells attached to a common baseplate;a plurality of pedestals attached to and protruding downwardly from the baseplate;a plurality of spaced apart embedment plates fixedly anchored to the base slab, each embedment plate comprising an upwardly open receptacle having receptacle walls defining a receptacle depth, each receptacle receiving and entrapping one of the pedestals therein;wherein the embedment plate receptacles are configured such that lateral movement of the fuel rack along the base slab in the event of a seismic event is constrained by engagement between the receptacle walls of each receptacle and the pedestals. 2. The fuel storage system according to claim 1, wherein the pedestals elevate the baseplate of the fuel rack above the base slab creating a bottom plenum to allow pool water to circulate beneath the fuel rack for cooling the nuclear fuel. 3. The fuel storage system according to claim 1, wherein the cells are formed by a plurality of upwardly open storage tubes fixedly attached to the baseplate and collectively defining lateral sides of the fuel rack. 4. The fuel storage system according to claim 3, wherein the baseplate protrudes horizontally outwards beyond the lateral sides of the fuel rack creating a protruding peripheral ledge that extends around a perimeter of the fuel rack. 5. The fuel storage system according to claim 1, wherein the receptacle depth is 1 to 2 inches deep. 6. The fuel storage system according to claim 1, wherein the receptacle is positioned in a central region of the embedment plate and surrounded by a flat top wall of the embedment plate. 7. The fuel storage system according to claim 1, wherein the embedment plate includes an upwardly open second receptacle spaced apart from the receptacle for receiving and entrapping a pedestal from a second fuel rack. 8. The fuel storage system according to claim 1, wherein a horizontal gap formed between the receptacle walls and lateral sides of the pedestal is less than ¼ of a maximum transverse cross sectional dimension of the pedestal. 9. The fuel storage system according to claim 1, further comprising a pool liner disposed on the base slab of the fuel pool beneath the fuel rack, the pool liner extending between the plurality of spaced apart embedment plates and having a thickness less than the embedment plate, wherein there is no relative movement between embedment plates and the pool liner in the event of a seismic event. 10. The fuel storage system according to claim 9, wherein the embedment plates are each hermetically seal welded around their perimeters to the pool liner at all lateral sides to form an impervious barrier to outward leakage of pool water from the fuel pool. 11. The fuel storage system according to claim 10, wherein the embedment plates protrude upwards beyond a top surface of adjacent portions of the pool liner around each embedment plate. 12. The fuel storage system according to claim 10, wherein the embedment plates are embedded directly into the base slab of the fuel pool such that there is no pool liner interposed between the embedment plates and the base slab. 13. The fuel storage system according to claim 10, wherein the embedment plates are each disposed on top of the pool liner and anchored to the base slab of the fuel pool by one or more through anchors extending from each embedment plate through the pool liner into the base slab. 14. A fuel rack stabilization system for seismic-resistant storage of nuclear fuel, the system comprising:a fuel pool comprising a base slab and plurality of vertical sidewalls collectively defining a cavity configured for submerged wet storage of nuclear fuel;a plurality of fuel racks supported on the base slab, each fuel rack comprising a plurality of vertically elongated tubes each defining a prismatic cavity configured for storing nuclear fuel therein, the tubes attached to a common baseplate;each fuel rack comprising a plurality of spaced apart pedestals protruding downwardly from the baseplate;a plurality of spaced apart embedment plates fixedly anchored to the base slab, each embedment plate comprising at least one upwardly open embedment cavity having cavity walls, the cavities each receiving and entrapping a respective one of the pedestals of the fuel racks therein;a pool liner secured to the base slab of the fuel pool, the pool liner extending between the plurality of spaced apart embedment plates and having a thickness measured between top and bottom surfaces of the pool liner less than a thickness of the embedment plate measured between top and bottom surfaces of the embedment plate outside of the embedment cavity;wherein a perimeter of the embedment plates is hermetically seal welded to the pool liner around all lateral sides of the embedment plates to form an impervious barrier to outward leakage of pool water from the fuel pool;wherein the embedment plate cavities are configured such that lateral movement of the fuel rack along the base slab caused by a seismic event is restricted by engagement between the cavity walls of each cavity and the pedestal such that laterally acting seismic forces are not transmitted to the pool liner. 15. The fuel rack stabilization system according to claim 14, wherein the baseplates of the fuel racks are arranged substantially coplanar with each other and protrude laterally beyond vertical sidewalls of the tubes of each fuel rack to form horizontal protruding ledges around a perimeter of the fuel racks on all sides. 16. The fuel rack stabilization system according to claim 15, wherein peripheral edges of mating horizontal protruding ledges between adjoining fuel racks in the fuel pool are in mutual abutting engagement. 17. The fuel rack stabilization system according to claim 16, wherein the peripheral edges of the mating horizontal protruding ledges are mutually engaged for a majority of a length of the peripheral edges. 18. The fuel rack stabilization system according to claim 14, wherein the fuel racks are arranged so that mating baseplates between adjoining fuel racks are horizontally spaced apart no more than ¼ inch between peripheral edges of the mating baseplates. 19. The fuel rack stabilization system according to claim 14, wherein the cavity walls are cylindrical or rectilinear in configuration.
claims
1. An extreme ultraviolet light generation apparatus, comprising:a chamber configured to cause a target substance to be turned into plasma with laser light radiated to a plasma generation region at an internal space of the chamber;a light concentrating mirror configured to concentrate extreme ultraviolet light generated by the turning of the target substance into plasma;a gas supply unit configured to supply gas into the chamber;a magnetic field generation unit configured to generate a magnetic field including a magnetic field axis that crosses a light path of the extreme ultraviolet light;a first exhaust port arranged at a position through which the magnetic field axis passes in the chamber;a second exhaust port arranged at a position opposite to the light concentrating mirror in the chamber with reference to a plane passing through the first exhaust port and being perpendicular to an optical axis of the light concentrating mirror; anda gas exhaust amount adjustment unit configured to adjust a ratio between an exhaust amount of first exhaust gas exhausted from the first exhaust port and an exhaust amount of second exhaust gas exhausted from the second exhaust port. 2. The extreme ultraviolet light generation apparatus according to claim 1,wherein a pair of the first exhaust ports are arranged, andthe pair of first exhaust ports are arranged at positions facing each other along the magnetic field axis in the chamber. 3. The extreme ultraviolet light generation apparatus according to claim 1,wherein the gas exhaust amount adjustment unit includes a first valve arranged in a first exhaust path between the first exhaust port and a first exhaust pump that exhausts the first exhaust gas from the first exhaust port, and a second valve arranged in a second exhaust path between the second exhaust port and a second exhaust pump that exhausts the second exhaust gas from the second exhaust port. 4. The extreme ultraviolet light generation apparatus according to claim 1,wherein the gas exhaust amount adjustment unit includes a first valve arranged in a first exhaust path, through which the first exhaust gas flows and through which flow of the second exhaust gas is suppressed, between the first exhaust port and an exhaust pump that exhausts the first exhaust gas from the first exhaust port and the second exhaust gas from the second exhaust port, and a second valve arranged in a second exhaust path, through which the second exhaust gas flows and through which flow of the first exhaust gas is suppressed, between the second exhaust port and the exhaust pump. 5. The extreme ultraviolet light generation apparatus according to claim 1,wherein the gas exhaust amount adjustment unit includes a second valve arranged in a second exhaust path, through which the second exhaust gas flows and through which flow of the first exhaust gas is suppressed, between the second exhaust port and an exhaust pump that exhausts the first exhaust gas from the first exhaust port and the second exhaust gas from the second exhaust port, andno valve is arranged in the first exhaust path, through which the first exhaust gas flows and through which flow of the second exhaust gas is suppressed, between the first exhaust port and the exhaust pump. 6. The extreme ultraviolet light generation apparatus according to claim 1,further comprising a control unit configured to control the gas exhaust amount adjustment unit to adjust the ratio based on an amount of the gas supplied into the chamber. 7. The extreme ultraviolet light generation apparatus according to claim 6,further comprising a supply gas amount adjustment unit configured to adjust an amount of the gas supplied from the gas supply unit,wherein the control unit controls the supply gas amount adjustment unit to adjust the amount of the gas supplied into the chamber based on intensity of the laser light. 8. The extreme ultraviolet light generation apparatus according to claim 7,wherein the gas supply unit includes a first gas supply unit configured to supply the gas along a reflection surface the light concentrating mirror from an outer periphery of the light concentrating mirror, and a second gas supply unit configured to supply the gas from a through hole formed in the light concentrating mirror, andthe control unit controls the supply gas amount adjustment unit to adjust an amount of the gas supplied from the second gas supply unit. 9. The extreme ultraviolet light generation apparatus according to claim 8,wherein the second gas supply unit supplies the gas in a direction away from the light concentrating mirror. 10. The extreme ultraviolet light generation apparatus according to claim 1,wherein the target substance is tin and the gas contains hydrogen. 11. An electronic device manufacturing method, comprising:generating extreme ultraviolet light using an extreme ultraviolet light generation apparatus;emitting the extreme ultraviolet light to an exposure apparatus; andexposing a photosensitive substrate to the extreme ultraviolet light in the exposure apparatus to produce an electronic device,the extreme ultraviolet light generation apparatus including:a chamber configured to cause a target substance to be turned into plasma with laser light radiated to a plasma generation region at an internal space of the chamber;a light concentrating mirror configured to concentrate the extreme ultraviolet light generated by the turning of the target substance into plasma;a gas supply unit configured to supply gas into the chamber;a magnetic field generation unit configured to generate a magnetic field including a magnetic field axis that crosses a light path of the extreme ultraviolet light;a first exhaust port arranged at a position through which the magnetic field axis passes in the chamber;a second exhaust port arranged at a position opposite to the light concentrating mirror in the chamber with reference to a plane passing through the first exhaust port and being perpendicular to an optical axis of the light concentrating mirror; anda gas exhaust amount adjustment unit configured to adjust a ratio between an exhaust amount of first exhaust gas exhausted from the first exhaust port and an exhaust amount of second exhaust gas exhausted from the second exhaust port.
047330899
summary
This invention relates to a radiographic intensifying screen and a process for the preparation of the same. More particularly, this invention relates to a radiographic intensifying screen comprising a support and at least one phosphor layer comprising a binder and a phosphor dispersed therein, and a process for the preparation of the same. The radiographic intensifying screen is generally employed in close contact with one or both surfaces of an X-ray film for enhancing the photographic sensitivity of the film in a variety of radiographys such as medical radiography and industrial radiography. The radiographic intensifying screen consisits essentially of a support and a phosphor layer provided thereonto. Further, a transparent film is generally provided onto the free surface of the phosphor layer to keep the phosphor layer from chemical and physical deterioration. The phosphor layer comprises a binder and a phosphor dispersed therein. The phosphor is in the form of small particles, and emits light of high luminance when excited by radiation such as X-rays. The light of high luminance emitted by the phosphor is in proportion to the dose of radiation energy transmitted through an object. The X-ray film positioned in close contact with the intensifying screen is exposed to the light emitted by the phosphor layer, as well as being exposed directly to the radiation energy transmitted through the object. Accordingly, the X-ray film receives radiation energy enough for formation of the radiation image of the object, even if the radiation is applied to the object at a relatively small dose. In view of the above-described characteristics of the radiographic intensifying screen, it is desired that the screen shows a high radiographic speed, as well as provides excellent image characteristics such as sharpness and graininess. For the reason, various proposals have been previously given for the improvement of radiographic speed and image characteristics of the radiographic intensifying screen. For instance, U.S. Pat. No. 4,207,125 describes an X-ray intensifying screen including an anti-reflecting surface at the back side of the luminous layer in which a plurality of randomly positioned leaflets extend from the surface, in which the layer is typically formed of a microstructured layer of boehmite, a hydrated aluminum oxide. U. S. Pat. No. 4,236,061 describes an image intensifying screen comprising an antireflecting surface formed by subjecting a substantially planner aluminum surface on a support layer to a steam treatment to convert the aluminum surface to a microstructured surface of boehmite, a hydrated aluminum oxide, having a plurality of randomly positioned leaflets extending from the surface. The radiographic intensifying screen also is ought to be so mechanically strong enough to keep itself from separation between the support and the phosphor layer when receives mechanical shocks such as bending in the course of radiographic procedures. The intensifying screen is chemically and physically resistant to radiographic rays, whereby the screen is employable for a long period even under the conditions of repeated uses. For this reason, the screen ought to be resistant to mechanical shocks given in the procedure for changing an X-ray film or other procedures so that it is free from separation between the support and the phosphor layer. Accordingly, a primary object of the present invention is to provide a radiographic intensifying screen improved in the sharpness, and a process for the preparation of the same. Another object of the invention is to provide a radiographic intensifying screen improved in the mechanical strength, particularly, strength in the adhesion between the support and the phosphor layer, and a process for the preparation of the same. There is provided by the invention a radiographic intensifying screen comprising a support and at least one phosphor layer comprising a binder and a phosphor dispersed therein, in which the support is provided on the surface facing the phosphor layer with a great number of pits having a mean depth of at least a maximum depth of more than 1 .mu.m to 100 .mu.m and a mean diameter at the opening of at least 1 .mu.m. The radiographic intensifying screen of the invention can be prepared by a process comprising applying hard solid particles onto the surface of the support at high speed to form the pits.
abstract
Disclosed herein are embodiments of a radiation shielding lid of a radiation shielding container (e.g., auxiliary radiation shield) designed to house a radioisotope generator assembly.
description
This application claims the benefit of U.S. Provisional Application No. 62/250,609, filed on Nov. 4, 2015, the entire disclosure of which is incorporated by reference herein. The present invention relates generally to isotope tagging and more particularly to isotope tagging for workpiece authentication. Artwork forgeries have always posed a problem. Recently, some have theorized that synthetic DNA tagging of paintings or sculptures could possibly provide authentication for artists. This DNA concept, however, may still be prone to copying or altering by sophisticated forgers with scientific knowledge. Others have attempted to use chemical or isotope markers. Such conventional constructions are disclosed in: U.S. Pat. No. 8,931,696 entitled “Counterfeit Detection System and Method” which issued to Hood on Jan. 13, 2015; U.S. Pat. No. 8,864,038 entitled “Systems and Methods for Fraud Prevention, Supply Chain Tracking, Secure Material Tracing and Information Encoding using Isotopes and Other Markers” which issued to Marka et al. on Oct. 21, 2014; and U.S. Pat. No. 5,177,360 entitled “Devices and Method to Confirm the Authenticity of Art Objects” which issued to Fernandez-Rubio on Jan. 5, 1993. These prior methods, however, use relatively inexpensive and common isotopes which can be easily obtained by a sophisticated forger with access to common medical laboratories. For example, the Hood patent mixes a liquid form of the marker with paint applied to a canvas or a dye applied to a textile, or weaves a solid form of the marker into clothing. The Marka patent pelletizes the marker for placement into bulk manufactured items. The Fernandez-Rubio patent pipetts the marker into a sealed metal enclosed cavity which is adhered to an art object. Accordingly, these conventional methods are not well suited to prevent sophisticated forgeries of unique, one-of-a-kind items. In accordance with the present invention, a method of assisting with authenticating a workpiece is provided. In another aspect, ions are generated, accelerated in an accelerator (for example, a cyclotron), an isotope is created, and then the isotope is implanted within a workpiece to assist with authenticating of the workpiece. A further aspect includes a workpiece substrate, a visual marker and an isotope internally located within the substrate adjacent the visual marker. Another aspect employs one or more isotopes having a half-life of at least three months, a precise and measurable alpha and/or gamma decay emission, and a unique isotope signature. In still another aspect, a system includes a heavy ion source, a cyclotron accelerator, an isotope separator, an optional cryogenic gas stopper, an optional fragmented isotope reaccelerator, and a rare isotope tagging station for tagging a high value workpiece with the rare isotope. Yet a further aspect uses a unique isotope, a pattern of one or more isotopes, and/or a combination of isotopes, to tag a high value workpiece for later authentication. The present method, workpiece and system are advantageous over conventional approaches. For example, rare and expensive to produce isotopes are employed which can only be created and implanted in the workpiece in a few expensive facilities, which is well beyond the financial means and technical knowledge of forgers. Furthermore, the present method, workpiece and system allow for extremely accurate and unique authentication and identification tagging or marking. Moreover, the present isotope tagging has a long and predictable lifetime, a precise and measurable decay signature, a unique decay signature, is nonhazardous to people, and will not harm the workpiece. The present method, workpiece and system have the rare isotope implanted within the workpiece after the workpiece is created. Advantageously, the present system implants small quantities of rare isotopes into a workpiece and these isotopes can only be produced by extremely expensive equipment, which are not accessible to forgers. Additionally, the authentication via detection of the decay signatures of the implanted rare ions can be performed completely non-destructively via portable gamma ray detectors with sufficient energy resolution. Additional advantages and features of the present invention will be apparent from the following description and appended drawings. The present method, workpiece and system are shown in FIGS. 1-3. A superconducting cyclotron facility 21 includes an ion source 23, a K500 cyclotron 25, a K1200 cyclotron 27, an A1900 fragment separator 29, a momentum compression ANL gas catcher 31, an optional cryogenic gas stopper 33, a low energy beam line EBIT cooler buncher helium jet 35, an optional linear reaccelerator 37, and an isotope tagging station 39. The preceding items are all computer controlled. Ion source 23 includes an electron cyclotron resonance (“ECR”) source or an electron beam ion source (“EBIS”), such a using an ion gun employing microwaves in a low pressure gas or thermionic emissions of electrons to ionize the base material in its gaseous state. Superconducting cyclotron facility 21 is of the type disclosed in Hausmann, M., et al., “Design of the Advanced Rare Isotope Separator ARIS at FRIB,” Nucl. Instr. Meth. B 317 (Jul. 4, 2013) 349-353; and “Experimental Equipment Needs for the Facility for Rare Isotope Beams (FRIB)—whitepaper” (Feb. 13, 2015). Facility 21 uses projectile fragmentation and induced in-flight fission of heavy-ion primary beams at energies of 100 MeV and preferably at least 200 MeV/u and at a beam power of at least 1 kW and preferably at least 400 kW, to generate rare isotope beams. More particularly, reaccelerator 37 is a superconducting—RF driver, linear accelerator. Fragment separator 29 is preferably a three-stage fragment separator including a first stage vertically bending preseparator followed by two horizontally-bending second and third stages using multiple superferric magnet dipoles and quadruples to focus the beam and/or correct image aberrations. FIG. 1 illustrates the equipment layout of the National Superconducting Cyclotron Laboratory with the proposed location of the isotope tagging station within the accelerator complex, but alternate layouts may be employed. A high value workpiece 51 is an original artwork, such as a painting, print, photograph, sculpture, vase, tapestry, document or the like. Alternately, workpiece is an antique, jewelry, watch, vintage automobile component such as an engine block, or other such expensive or one-of-a-kind object that is prone to having forgeries or false reproductions made thereof. In the painting workpiece 51 example used herewith, a substrate 53 is canvas with an aesthetic painted layer 55 on a front surface. If a sculpture, substrate 53 includes the clay or ceramic material. If jewelry or an automobile component, substrate 53 may be a metal structure. First, a visual marker 57 is placed in a small area on a backside of workpiece 51, such as by printing, painting or any other manner which will last for decades without significant degradation or harm to aesthetic painted layer 55. Marker 57 provides a visual point for the authenticator to begin seeking the isotope tag. One or more metallic masks 59 are temporarily placed against marker 57. Each mask 59 is a lead plate of about 2-10 mm thick with one or more holes 61 therethrough. Workpiece 51 is then placed in a fixture within isotope tagging station 39. A hollow and elongated beam pipe 63 is sealed against mask 59. A beam of heavy ions is generated from source 23 and accelerated to approximately half the speed of light by cyclotrons 25 and 27. Nuclear reactions occur at the beginning of the fragment separator 29 to create the desired isotope. The desired isotope 71 is selected by the fragment separator and then transported for use in a beam pipe or optionally travel through catcher 31 and are slowed down in helium gas stopper 33. Optionally, isotopes 71 are thereafter re-accelerated in linear accelerator 37 to create a precise workpiece-penetration speed. Isotopes from the fragment separator or optionally reaccelerated isotopes 71 then travel through pipe 63 and those isotopes aligned with holes 61 in mask 59, penetrate into and are implanted between 5 mm and 1 micron deep, and more preferably at or between 1 mm and 10 microns inside workpiece 51 relative to the backside surface thereof adjacent pipe 63. Multiple masks 59 with different hole quantities or patterns (as shown in FIG. 3) may be employed to provide unique or customized identifiers. Moreover, different combinations of rare isotopes 71 may be implanted through a single or different combinations of mask holes 61 to provide unique or customized identifiers. In the example shown in FIG. 3, at least four and more preferably sixteen different isotope locations are provided for a single workpiece. The identifier may be published in a reference guide for each original workpiece. Since a rare isotope is chosen that can only be implanted in expensive accelerator facilities (i.e., >$500 million (2015 USD)), the present approach is too expensive and technically difficult for a forger. However, the present approach is feasible for a physicist with legitimate access to such a system. The authenticator uses a gamma ray detector 73 with keV energy resolution or the like to identify the type of isotope and position of the isotope in a nondestructive manner, to assist in authentication (which includes identification) of the workpiece. Referring to FIGS. 4A-4F, desired rare isotopes are those that are accelerated with an energy of at least 100 A-MeV and with a beam power of at least 1 kW. Furthermore, the desired rare isotopes have a half-life decay rate of at least three months, have a measurable and precise alpha or gamma decay emission (but not a beta decay emission), and have a unique and repeatable isotope signature which cannot be imitated by other isotopes. Nonlimiting exemplary desired isotopes include 64148Gd, 76194Os, 2660Fe, 50126Sn, 88228Ra, 82210Pb, and the like. Other such rare isotopes may be employed beyond those specifically identified. However, 1432Si, for example, is not desired since it is a pure beta emitter which makes it difficult to identify the specific isotope due to a lack of unique energies. While various embodiments have been disclosed, other embodiments may fall within the scope of the present invention. For example, the mask can have alternate external and/or hole shapes, such as elongated slots of straight or curved shapes. Additional or alternate accelerator, separator, catcher, stopper and jet equipment may be used as long as the facility is not commonly available and can produce rare isotopes accelerated with the above-specified energies and beam powers; such alternate equipment may lead to difference rates of isotope production as compared to FIGS. 4B-4F discussed hereinabove. Additional modifications can be made which fall within the scope and spirit of the present invention.
claims
1. An apparatus for controlling a plurality of driving mechanisms each differently connected to one of a plurality of control rods located in a nuclear reactor,each driving mechanism driving a connected control rod to be inserted and withdrawn with a high-pressure driving water by opening and closing a plurality of control valves of the driving mechanism, the apparatus comprising:a control rod-driving time measurement unit configured to operate to store driving time data of unlatch, insertion, withdrawal and settle of each control rod,wherein the driving time data of each control rod is respectively measured by performing a test of insertion and withdrawal to each control rod at a periodical inspection before starting operation of the nuclear reactor;a control rod selection unit configured to operate to select at least one from the plurality of control rods, based on a command to select and drive a control rod;a timing table creation unit configured to operate to create a timing table that prescribes timings to open and close each control valve to unlatch, insert, withdraw and settle a selected control rod, based on the driving time data of the selected control rod stored in the control rod-driving time measurement unit; anda control rod-driving control unit configured to operate to drive the selected control rod, based on the timing table. 2. The apparatus according to claim 1, further comprising:a record unit is configured to operate to record data of the timing table created by the timing table creation unit; anda display unit is configured to operate to display position information of the selected control rod. 3. The apparatus according to claim 1, whereinthe timing table creation unit is configured to operate to set an upper limit and a lower limit used for prescribing the timings to open and close each control valve. 4. A method for controlling a plurality of driving mechanisms each differently connected to one of a plurality of control rods located in a nuclear reactor,each driving mechanism driving a connected control rod to be inserted and withdrawn with a high-pressure driving water by opening and closing a plurality of control valves of the driving mechanism, the method comprising:operating a control rod-driving time measurement unit located at the nuclear reactor, to store driving time data of unlatch, insertion, withdrawal and settle of each control rod,wherein the driving time data of each control rod is respectively measured by performing a test of insertion and withdrawal to each control rod at a periodical inspection before starting operation of the nuclear reactor;operating a control rod selection unit located at the nuclear reactor, to select at least one from the plurality of control rods, based on a command to select and drive a control rod;operating a timing table creation unit located at the nuclear reactor, to create a timing table that prescribes timings to open and close each control valve to unlatch, insert, withdraw and settle a selected control rod, based on the driving time data of the selected control rod stored in the control rod-driving time measurement unit;operating a control rod-driving control unit located at the nuclear reactor, to drive the selected control rod, based on the timing table; andoperating a display unit to display position information of the selected control rod,wherein each of the respective units is distinct from each other respective unit. 5. An apparatus for controlling a plurality of driving mechanisms each differently connected to one of a plurality of control rods located in a nuclear reactor,each driving mechanism driving a connected control rod to be inserted and withdrawn with a high-pressure driving water by opening and closing a plurality of control valves of the driving mechanism, the apparatus comprising:an all control rods-driving time measurement unit configured to operate to store driving time data of unlatch, insertion, withdrawal and settle of each control rod,wherein the driving time data of all control rods are collectively measured by simultaneously performing a test of insertion and withdrawal to all control rods at a periodical inspection before starting operation of the nuclear reactor;a control rod selection unit configured to operate to select at least one from the plurality of control rods, based on a command to select and drive a control rod;a timing table creation unit configured to operate to create a timing table that prescribes timings to open and close each control valve to unlatch, insert, withdraw and settle a selected control rod, based on the driving time data of the selected control rod stored in the all control rod-driving time measurement unit; anda control rod-driving control unit configured to operate to drive the selected control rod, based on the timing table. 6. A method for controlling a plurality of driving mechanisms each differently connected to one of a plurality of control rods located in a nuclear reactor,each driving mechanism driving a connected control rod to be inserted and withdrawn with a high-pressure driving water by opening and closing a plurality of control valves of the driving mechanism, the method comprising:operating an all control rods-driving time measurement unit located at the nuclear reactor, to store driving time data of unlatch, insertion, withdrawal and settle of each control rod,wherein the driving time data of all control rods are collectively measured by simultaneously performing a test of insertion and withdrawal to all control rods at a periodical inspection before starting operation of the nuclear reactor;operating a control rod selection unit located at the nuclear reactor, to select at least one from the plurality of control rods, based on a command to select and drive a control rod;operating a timing table creation unit located at the nuclear reactor, to create a timing table that prescribes timings to open and close each control valve to unlatch, insert, withdraw and settle a selected control rod, based on the driving time data of the selected control rod stored in the all control rods-driving time measurement unit;operating a control rod-driving control unit located at the nuclear reactor, to drive the selected control rod, based on the timing table; andoperating a display unit to display position information of the selected control rod,wherein each of the respective units is distinct from each other respective unit.
abstract
A microscope stage with a flexural axis may exhibit predictable flexure characteristics and limited cross-coupling translations. Z motion of a Z plate proximate to a Z actuator may be substantially linear, while a distal side of the Z plate may be allowed to rotate about a hinge axis associated with a flexural component.
claims
1. A method for managing at least one service level of a service provided by a service provider to a customer of the service provider under a service level agreement, said service level agreement being a contract between the service provider and the customer, said method comprising:retrieving, by a processor, measurement data in an electronic format and at least one adjudication element, wherein said measurement data and said at least one adjudication element are stored in a datastore coupled to the processor, said measurement data comprising at least one data point measured on a respective resource that provides the service, wherein said at least one adjudication element is selected from the group consisting of a clause of the service level agreement, an input by a human service manager through an adjudication console, an input generated by a service management system, and combinations thereof, said at least one adjudication element providing information relating how to correct said measurement data for a service level of said at least one service level, each adjudication element of said at least one adjudication element comprising a respective reason for adjudication and a respective creator indicating a source of said each adjudication element, wherein the respective reason for adjudication is presented to the customer such that each adjudication element is agreed by the customer, wherein the respective creator is selected from the group consisting of a first clause of the service level agreement, a first human service manager, a first input by the service management system, and combinations thereof;adjudicating, by the processor, the retrieved measurement data for the service level in accordance with said at least one adjudication element associated with the service level such that said adjudicating generates a respective adjudicated data point for each data point of said measurement data for the service level, wherein the respective adjudicated data point comprises a list of references, the list identifying, in an order of application, at least one applied adjudication element of said at least one adjudication element that is applied in said adjudicating;transforming, by the processor, the respective adjudicated data point into operational data by reorganizing the respective adjudicated data point based on a service time profile comprising a service level time logic and a business logic defined in each service level of said at least one service level, wherein the service level time logic defines a respective service level target for each service level period in the service level agreement, such that said operational data comprises at least one operational data group of the respective adjudicated data points, wherein an operational data group of said at least one operational data group comprises said respective adjudicated data points that are monitored from the respective resource during said each service level period;evaluating, by the processor, the operational data by applying a formula to the operational data, resulting in the operational data being configured for being subsequently qualified for specified service level targets corresponding to the service level associated with the service level period, wherein the formula specifies an input selected from the operational data, a data type of the input, and an operation to be performed upon the input to produce a summary intermediate result and a top service level attainment result; andqualifying, by the processor, the operational data after said evaluating, said qualifying comprising loading a qualification rule for each evaluated operational data group, said qualification rule corresponding to each service level and each service level period for said each evaluated operational data group, wherein the qualification rule is a set of executable tests for data points in said each evaluated operational data group to satisfy the specified service level targets in the service level agreement, said qualifying comprising executing said qualification rule pertaining to each data points of said each evaluated operational data group, said qualifying comprising comparing the evaluated operational data with the specified service level targets for said at least one service level period during which the service has been performed, said qualifying comprising identifying, from said comparing, data points selected from the group consisting of good data points of the operational data meeting the specified service level targets, bad data points of the operational data not meeting the specified service level targets, and combinations thereof. 2. The method of claim 1, wherein the specified service level targets are time varying during the at least one service level period. 3. The method of claim 2, wherein the method further comprises sealing said adjudicating for a first service level period of the at least one service level period such that additional adjudicating cannot be subsequently performed for the first service level period. 4. The method of claim 1, wherein the at least one adjudication element comprises a clause that was in the service level agreement when the service level agreement was executed. 5. The method of claim 1, wherein the at least one adjudication element comprises a first adjudication element that was agreed upon between the service provider and the customer after the service level agreement was executed. 6. The method of claim 1, wherein the method further comprises generating modification history chain by:initially establishing the modification history chain as being empty; andadding an identification of each adjudication element applied to each data point of the measurement data to the modification history chain. 7. The method of claim 1, wherein each adjudication element is locked into an unchangeable state after being used in said adjudicating. 8. The method of claim 1, wherein said qualifying further comprises:determining differentials by which the identified data points differ from the specified service level targets;determining, from the differentials, a margin by which the identified data points contribute to meeting or not meeting the specified service level targets; andstoring the differentials, the margin, or differentials and the margin in the datastore. 9. The method of claim 1, wherein the service is an always-on service selected from the group consisting of providing water, electricity, gas, telephone, and e-service. 10. A system for managing at least one service level of a service provided by a service provider to a customer of the service provider under a service level agreement, said service level agreement being a contract between the service provider and the customer, said system comprising a processing engine for performing:retrieving, by a processor, measurement data in an electronic format and at least one adjudication element, wherein said measurement data and said at least one adjudication element are stored in a datastore coupled to the processor, said measurement data comprising at least one data point measured on a respective resource that provides the service, wherein said at least one adjudication element is selected from the group consisting of a clause of the service level agreement, an input by a human service manager through an adjudication console, an input generated by a service management system, and combinations thereof, said at least one adjudication element providing information relating how to correct said measurement data for a service level of said at least one service level, each adjudication element of said at least one adjudication element comprising a respective reason for adjudication and a respective creator indicating a source of said each adjudication element, wherein the respective reason for adjudication is presented to the customer such that each adjudication element is agreed by the customer, wherein the respective creator is selected from the group consisting of a first clause of the service level agreement, a first human service manager, a first input by the service management system, and combinations thereof;adjudicating, by the processor, the retrieved measurement data for the service level in accordance with said at least one adjudication element associated with the service level such that said adjudicating generates a respective adjudicated data point for each data point of said measurement data for the service level, wherein the respective adjudicated data point comprises a list of references, the list identifying, in an order of application, at least one applied adjudication element of said at least one adjudication element that is applied in said adjudicating;transforming, by the processor, the respective adjudicated data point into operational data by reorganizing the respective adjudicated data point based on a service time profile comprising a service level time logic and a business logic defined in each service level of said at least one service level, wherein the service level time logic defines a respective service level target for each service level period in the service level agreement, such that said operational data comprises at least one operational data group of the respective adjudicated data points, wherein an operational data group of said at least one operational data group comprises said respective adjudicated data points that are monitored from the respective resource during said each service level period;evaluating, by the processor, the operational data by applying a formula to the operational data, resulting in the operational data being configured for being subsequently qualified for specified service level targets corresponding to the service level associated with the service level period, wherein the formula specifies an input selected from the operational data, a data type of the input, and an operation to be performed upon the input to produce a summary intermediate result and a top service level attainment result; andqualifying, by the processor, the operational data after said evaluating, said qualifying comprising loading a qualification rule for each evaluated operational data group, said qualification rule corresponding to each service level and each service level period for said each evaluated operational data group, wherein the qualification rule is a set of executable tests for data points in said each evaluated operational data group to satisfy the specified service level targets in the service level agreement, said qualifying comprising executing said qualification rule pertaining to each data points of said each evaluated operational data group, said qualifying comprising comparing the evaluated operational data with the specified service level targets for said at least one service level period during which the service has been performed, said qualifying comprising identifying, from said comparing, data points selected from the group consisting of good data points of the operational data meeting the specified service level targets, bad data points of the operational data not meeting the specified service level targets, and combinations thereof. 11. The system of claim 10, wherein the specified service level targets are time varying during the at least one service level period. 12. The system of claim 10, wherein the at least one adjudication element comprises a clause that was in the service level agreement when the service level agreement was executed. 13. The system of claim 10, wherein the at least one adjudication element comprises a first adjudication element that was agreed upon between the service provider and the customer after the service level agreement was executed. 14. The system of claim 10, wherein each adjudication element is locked into an unchangeable state after being used in said adjudicating. 15. The system of claim 10, wherein said qualifying further comprises:determining differentials by which the identified data points differ from the specified service level targets;determining, from the differentials, a margin by which the identified data points contribute to meeting or not meeting the specified service level targets; andstoring the differentials, the margin, or differentials and the margin in the datastore. 16. The system of claim 10, wherein the service is an always-on service selected from the group consisting of providing water, electricity, gas, telephone, and e-service.
description
The present application claims priority benefits under 35 U.S.C. §119 to Korean Patent Application No. 10-2011-0091160, filed Sep. 8, 2011. 1. Field of the Invention The present invention relates to a passive auxiliary condensing apparatus of a nuclear power plant, and more particularly to a passive auxiliary condensing apparatus, which is an auxiliary feedwater system for cooling a nuclear core of a nuclear power plant in an event of an accident. 2. Description of the Related Art An auxiliary feedwater system is provided in a nuclear power plant to ensure safety in operating a nuclear reactor. Such auxiliary feedwater system includes a passive auxiliary feedwater system (PAFS), which is a well known passive auxiliary cooling system in which a steam pipe is connected to outlet of a steam generator and the steam pipe is configured to pass through a cooling water tank, which is separately provided, to condense a steam outputting from the steam pipe, thereby supplying a feedwater. Meanwhile, a prior art emergency core cooling system is disclosed in Korea Patent Publication No. 2002-0037105, which is published May 18, 2002. In order to secure safety in the nuclear power plant, a method of cooling a secondary system of the nuclear reactor may be used. However, such conventional cooling system is of an active type, which can be operated only by an electricity supply. Thus, the conventional cooling system has a problem such that it cannot be operated when an accident occurs in which the electricity supply is interrupted. In order to solve the above described problem, as shown in FIG. 1, a conventional passive auxiliary feedwater system (PAFS) 10 includes a steam generator 30 connected to a reactor vessel 20 and a cooling tank 50 including a heat exchanger 40 installed therein, wherein the heat exchanger 40 is connected to the steam generator 30. A steam outputted from an upper portion of the steam generator 30 is introduced to the heat exchanger 40 and passes through the cooling tank 50 so that the steam is condensed so that a water liquid returns to a lower portion of the steam generator 30. However, in the conventional passive feedwater system 10 described above, a water, which is generated by the steam passing through the heat exchanger 40 and the cooling tank 50 and is recovered by the steam generator 30 is not a 100% liquid water but is a mixture of a water and steam. Therefore, a vibration can be caused during when the mixture of the water and steam is re-introduced to the steam generator 30 and a shock wave can be generated during when a remaining steam is condensed into the water, thereby damaging the system. Also, a quality of a cooling water re-introduced into the steam generator 30 can be lowered. Accordingly, the present invention has been made keeping in mind the above mentioned problems occurring in the related art, and an object of the present invention is to provide a passive auxiliary condensing apparatus, which can avoid generation of a vibration or a shock wave by preventing a steam from being mixed into a water to be re-produced into a steam generator during when removing a core decay heat (or residual heat) in a passive manner, even in a case where an electricity supply is interrupted due to an accident. Here, the steam-water separation tank preferably includes a steam water inflow pipe positioned on a lower side portion of the steam-water separation tank and connected to the water cooled heat exchange unit, and a water discharge pipe positioned on a lower surface of the steam-water separation tank and connected to the steam generation unit. The steam-water separation tank is preferably provided in a longer cylindrical shape in a longitudinal direction. A steam pipe positioned between the steam generation unit and the water cooled heat exchange unit is preferably provided to connect therebetween. A bypass pipe positioned between the steam pipe and the steam-water separation tank is preferably provided. The bypass pipe preferably includes a control valve and a check valve. Exemplary embodiments of the present invention will be described herein below with reference to the accompanying drawings. As shown in FIGS. 2 and 3, a passive auxiliary condensing apparatus 100 of a nuclear power plant according to an exemplary embodiment of the present invention can apply to a passive auxiliary feedwater system (PAFS) that is used to secure safety of a nuclear reactor. The passive auxiliary condensing apparatus 100 includes a steam generation unit 110 connected to a reactor vessel 105, wherein a water provided from a steam-water separation tank 140, which will be described later, is heated to turn into a steam by a heat generated during an operation of a nuclear reactor, a water cooled heat exchange unit 120 connected to the steam generation unit 110, wherein the water cooled heat exchange unit 120 includes a cooling water 1 stored therein to condense a steam provided from the steam generation unit 110, and the steam-water separation tank 140 having a first side connected to the water cooled heat exchange unit 120 and a second side connected to the steam generation unit 110 such that a water 3 and a steam 5 can be separated to provide only the water 3 to the steam generation unit 110 when a mixture of the water 3 and the steam 5 is introduced therein from the water cooled heat exchange unit 120. Accordingly, a steam is generated by the steam generation unit 110 through a heat exchange among primary systems (reactor-hot leg pipe-steam generation unit-coolant pump-cold leg pipe-nuclear reactor). The generated steam passes through the water cooled heat exchange unit 120 of the passive auxiliary feedwater system (PAFS) to be condensed into a water, which is introduced to the steam-water separation tank 140. Accordingly, the passive auxiliary condensing apparatus 100 of the nuclear power plant can be operated in a passive manner in an auxiliary feedwater system such that a nuclear core decay heat (or residual heat) can be cooled down even when an electricity supply is interrupted due to an accident. Also, the steam is prevented from mixed into the water reintroduced to the steam generation unit 110 of the passive auxiliary condensing apparatus 100 by using the steam-water separation tank 140, thereby avoiding generation of a vibration or a shock wave. Further, a cooling water re-introduced to the steam generation unit 110 may have an improved quality. As shown in FIG. 3, according to an exemplary embodiment of the present invention, the steam-water separation tank 140 is provided in a longer cylindrical shape in a longitudinal direction such that, when the mixture of the water 3 and the steam 5 is received from the water cooled heat exchange unit 120, the water 3 and the steam 5 may be separated to provide only the water 3 to the steam generation unit 110. The water cooled heat exchange unit 120, as shown in FIG. 2, includes a cooling tank 121 for storing the cooling water 1 and a heat exchanger 123 installed in the cooling tank 121, wherein the heat exchanger 123 is submerged in the cooling water 1 and connected to the steam generation unit 110, thereby condensing the steam provided from the steam generation unit 110. The steam-water separation tank 140, as shown in FIGS. 2 and 3, includes a steam water inflow pipe 141, which connects an inlet hole 142 formed in a side wall of the steam-water separation tank 140 and an outlet of the heat exchanger 123 of the water cooled heat exchange unit 120 to allow an inflow of the water produced by condensing the steam passing through the heat exchanger 123, and a water discharge pipe 143, which connects an outlet hole 144 formed in a bottom wall of the steam-water separation tank 140 and a lower portion of the steam generation unit 110 to supply only the water 3 to the steam generation unit 110. Accordingly, even when the mixture of the steam 5 and the water 3 is introduced from the heat exchanger 123 to the steam-water separation tank 140 through the steam water inflow pipe 141, the steam 5, which has a relatively lower density, is carried upward in the steam-water separation tank 140 and the water 3, which has a relatively heavier weight, is carried downward due to convection, so that only the water 3 can be discharged by the water discharge pipe 143 provided on the lower surface of the steam-water separation tank 140. Here, the steam 5 carried upward in the water steam separation tank 140 can be slowly condensed to the water 3 at a boundary between the steam 5 and the water 3, thereby facilitating a water supply to the steam generation unit 110. Specifically, it is preferable that the steam-water separation tank 140 has a diameter which is equal to or less than one tenth of a diameter of the cooling tank 121. In addition, as shown in FIG. 2, the nuclear reactor cooling system 100 according to the present invention further includes a steam pipe 130, which is positioned between the steam generation unit 110 and the heat exchanger 123 of the water cooled heat exchange unit 120 such that the steam generation unit 110 is connected to the heat exchanger 123 of the water cooled heat exchange unit 120. Meanwhile, as shown in FIG. 4, according to another embodiment of the present invention, the nuclear reactor cooling system 100 may further include a bypass pipe 150, which is separated from the steam pipe 130 and connected to the steam-water separation tank 140. Here, the bypass pipe 150 preferably includes a control valve 160 and a check valve 170. In addition, the bypass pipe 150 is preferably connected to an upper portion of the steam-water separation tank 140. Accordingly, it is possible that the steam 5 collected in the steam-water separation tank 140 is reintroduced to the steam pipe 130 to be recovered by the water cooled heat exchange unit 120. A method of operating the passive auxiliary condensing apparatus 100 of the nuclear power plant according to the present invention having the above described configuration will be described with reference to FIGS. 2 through 4. First, the steam 5 generated in the steam generation unit 110 has a relatively lower density so that the steam rises upward due to convection and is introduced to the heat exchanger 123 of the water cooled heat exchange unit 120 through the steam pipe 130. Next, the steam introduced to the heat exchanger 123 passes through the heat exchanger 123 and allows extraction of heat by the coolant 1 stored in the cooling tank 121 such that the steam is condensed into water, which is pulled downward by gravity. Next, the condensed water 3 is introduced into the steam water tank 140 through the water steam inlet pipe 141. Here, since the water 3 introduced to the steam-water separation tank 140 is usually not completely condensed, and thus, the mixture of the water 3 and the steam 5 is introduced to the steam water tank 140. The steam 5 rises upward within the steam-water separation tank 140 and the water 3 is moved downward to be discharged through the water discharge pipe 143 provided on the lower surface of the steam-water separation tank 140 to be reintroduced to the steam generation unit 110. According to the present invention, the steam 5 is prevented from mixing with the water 3, which is reintroduced to the steam generation unit 110 of the passive auxiliary condensing apparatus 100, by using the steam-water separation tank 140, thereby avoiding the generation of the vibration or the shock wave. Also, the quality of the cooling water reintroduced to the steam generation unit 110 can be improved. Although the preferred embodiment of the present invention has been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims.
claims
1. Guide tube for a nuclear reactor fuel assembly which has a substantially constant outside diameter and at least one main part having a first wall thickness and, in a fraction of the length of said guide tube, a reinforced part having a second wall thickness exceeding said first wall thickness, said guide tube comprising, between said at least one main part and said reinforced part, at least one transition region having a length exceeding 100 mm in which said at least one transition region said wall of said guide tube has a thickness varying progressively between said first wall thickness and said second wall thickness. 2. Guide tube according to claim 1 , wherein the length of said reinforced part of said guide tube having said second wall thickness is between 10 and 30% of the total length of said guide tube. claim 1
053176138
abstract
A reactor core with each fuel rod surrounded by an individual cylindrical channel is disclosed. This individual channel on each fuel rod provides thermal hydraulic and heat transfer advantages to enable all fuel rods within the fuel bundle to uniformly approach their own thermal limits. The preferred fuel rod pitch is a triangular pitch between the individual fuel rods as they are discretely surrounded by their own channel. The new triangular geometry provides for more uniform (flat) power distributions within all fuel rods--and hence all groups of fuel rods. Bypass flow is introduced uniformly between the fuel rod channels, rather than heterogeneously in the channel gap and water rods as in present BWR fuel designs. Individual fuel rod channels can be orificed differently, as required, to match inlet flow to fuel rod power output to maintain uniformity between all fuel rods as they approach their respective thermal limits. Gaps between bundles can be eliminated, or at least minimized, yielding even higher power density. Spacers with flow diverting structure vanes are applied within the fuel rod channel to limit relative displacement between the channel wall and fuel rod cladding and to serve as turbulent flow promoters.
description
1. Field of the Invention The present invention relates to a charged particle beam application apparatus suitably used to machine semiconductors, and in particular, to a sample movement mechanism in a charged particle beam application apparatus. 2. Background Art With an increase in the degree of integration of semiconductor devices and a decrease in their size, it has become essential in the market to improve the yield of semiconductor devices by eliminating defective processes. A process of manufacturing semiconductor devices using wafers generally analyzes defects using an inspection-scanning electron microscope (SEM) that compares and checks circuit pattern images using a SEM, a critical dimension scanning electron microscope (CD-SEM) that measures the width or the like of circuit patterns using a SEM, or a transmission electron microscope (TEM) having a higher resolution. Further, to use a transmission electron microscope to observe and analyze defects in a circuit pattern, an ion beam machining apparatus using a focused ion beam (FIB) is used as means for producing a sample. For example, JP Patent Publication (Kokai) No. 05-52721 discloses a method of separating a sample from a wafer. The series of inspection steps require the early discovery of defects and the quick feedback of this information to the process. Accordingly, an apparatus using a plurality of charged particle beams is desired which eliminates a time loss resulting from differences in the time of delivery and reception of wafers or samples between apparatuses or differences in operability between the apparatuses to put the apparatuses together or make them inline. For example, JP Patent Publication (Kokai) No. 11-213935 discloses a dual beam apparatus using charged particles from an ion particle beam machining apparatus and a scanning electron microscope. As shown in FIG. 6, this apparatus has an ion particle beam machining apparatus column 601 and a scanning electron microscope column 602 arranged at a certain angle. A machined or observed point of a sample 607 on a stage 618 is irradiated with charged particle beams drawn out of an ion source 603 and an electron gun 609. The stage 618 is a U-centric staged with four axes for horizontal two-dimensional movements, rotations, and inclinations. A stage control section 619 is controlled by a host controller 614. In this case, the U-centric function is effective only if the observed point of the ion charged beam machining apparatus coincides with the observed point of the scanning electron microscope. Even if the ion charged particle beam machining apparatus and the scanning electron microscope are centered, the observed points may deviate from each other when the optical conditions for the ion charged particle beam machining apparatus and scanning electron microscope are changed. Further, it is assumed that the U-centric function does not have a stage (Z stage) for the direction of height of the sample. If for example, the sample is a semiconductor wafer of φ300 mm, it may be bent by 200 μm in the direction of the sample height. Accordingly, it may be disadvantageous in a practical sense to electrically control the optical system to focus the sample surface because an optical resolution (magnification) is in inverse proportion to the range of the control. Various well-known examples have been disclosed in connection with the focal distance of the ion particle beam machining apparatus and scanning electron microscope as well as a method of controlling an optical condition, that is, the focal distance. For example, JP Patent Publication (Kokai) No. 7-176285 discloses an automatic focusing mechanism of the scanning electron microscope. As shown in FIGS. 7 and 8, in the automatic focusing mechanism of the scanning electron microscope disclosed in JP Patent Publication No. 7-176285, an objective 703 focuses an electron beam 701 generated by an electron gun (not shown) and irradiates a sample 704 with the electron beam 701. A stage 715 on which a sample is placed receives coordinate data on a point to be measured from a wafer information file 716 in which the coordinates of the measured point are registered. The stage 715 moves the wafer to the appropriate measured point. X deflection coils 702X and 702Y are used to scan the electron beam 701 over the sample. A detector 714 detects secondary electrons 714 generated by the sample 704 and transmits them to a focused point detecting device 710 via an amplifier 706. The focused point detecting device 710 is composed of a focus control device that sequentially varies an excitation current for the objective 703 step by step, a signal intensity differential device that differentiates the intensity signal, and a peak detecting device that determines a peak of a differential value of the intensity signal. These arrangements enable the focal distance, that is, the focal height of an electron beam shown in FIG. 8 to be automatically adjusted by determining the differential value of the secondary electron signal to control the objective. If the charged particle beam is not applied perpendicularly to the sample surface as in the case of the previously described well-known example (JP Patent Publication (Kokai) No. 11-213935), a change in focal distance changes the focus position in a horizontal direction of the sample surface. Accordingly, the system must be complicated in order to correct optical conditions for the deflection coils in addition to the objective. JP Patent Publication (Kokai) No. 200-251823 discloses a method of inclining a sample (sample stage) to change the focal distance n the basis of the sample and a charged particle beam and using an image shift function to correct the amount of bending. This publication describes a method of correcting bending in the X and Z directions or in the Y and Z directions which may occur when an axis of tilt is changed particularly if there is a deviation between the observed position of the sample and an U-centric axis as shown in FIGS. 9 and 10. The correction is carried out on the basis of optical conditions, that is, by using an electric image shift function or using a motor to mechanically drive the stage. However, this method must use a certain method of measurement to prestore, for each inclination, the relationship between the X and Z coordinates, which is a basis for conversions of the amount of bending. However, this relationship is a mechanical specific parameter, so that if the relationship between the sample and the axis of tilt of the stage is changed as a result of replacement of the sample or the like, the parameter must be measured again. [Patent 1] JP Patent Publication (Kokai) No. 05-52721 [Patent 2] JP Patent Publication (Kokai) No. 11-213935 [Patent 3] JP Patent Publication (Kokai) No. 7-176285 [Patent 4] JP Patent Publication (Kokai) No. 2000-251823 It is a first object of the present invention to provide a charged particle beam application apparatus in which if a charged particle beam is not applied perpendicularly to a sample, an observed point of the sample on a display screen, which is a target, remains the same (the same target remains in the center of the display screen) even when the focal distance of the charged particle beam changes. It is a second object of the present invention to provide a charged particle beam application apparatus in which if the apparatus is provided with a plurality of charged particle beams, an observed point of the sample on a display screen, which is a target, remains the same (the same target remains in the center of the display screen) even when the charged particle beam used is switched because the focal distances of the respective charged particle beams are not equal on the sample. It is a third object of the present invention to provide a charged particle beam application apparatus in which if the apparatus is provided with a plurality of charged particle beams, an observed point of the sample on a display screen, which is a target, remains the same (the same target remains in the center of the display screen) even when the charged particle beam used is switched while changing the focal distances of the charged particle beams, that is, their workpiece distances. According to the present invention, there is provided a charged particle beam application apparatus having a stage device used to move a sample in at least three axial directions, a charged particle beam optical system having an optical axis inclined from a surface of the sample to irradiate the sample with a charged particle beam, and a display device that displays an image formed by the charged particle beam optical system, the apparatus comprising a correcting table indicating a relationship between both focal distance and optical conditions for the charged particle beam optical system and a position of the sample, and an arithmetic section that calculates the position of the sample, the arithmetic section calculating the amount of correction for the position of the sample so that when the focal distance of the charged particle beam changes, a position of a target on the sample is placed in the center of a visual field of a screen of the display device. With reference to FIG. 1, description will be given of an example of a charged particle beam application apparatus according to the present invention. The charged particle beam application apparatus in the present example has an ion particle beam machining optical system 100 having the functions of an ion particle beam machining apparatus, a scanning electron microscope optical system 200 having the functions of a scanning electron microscope, a stage device 300 that moves a sample (wafer) 10 in a tertiary direction while rotatively moving it around a Z axis, a control device that controls these devices, a display device 23 that displays images obtained by the ion particle beam machining optical system and scanning electron microscope optical system, and a calculator 24 that executes various calculations and image processes. The charged particle beam application apparatus is used to machine semiconductor wafers. The ion particle beam machining optical system has a function to machine a predetermined circuit pattern in a semiconductor wafer and cut the wafer into pieces of an arbitrary size as samples. The scanning electron microscope optical system observes the predetermined circuit pattern in the semiconductor wafer to measure the size of the pattern. The charged particle beam application apparatus in the present example machines semiconductor wafers of φ300 mm. The accuracy with which the stage device 300 is mechanically stopped is at most ±1 μm from a target value. The ion particle beam machining optical system 100 has an ion source 101, an ion particle beam condenser lens 103 and an ion particle beam objective 104 that focus an ion particle beam 102 from the ion source, an ion particle beam deflector 105 that scans the focused ion particle beam 102, and an ion particle beam detector 106 that detects a secondary particle beam generated from the sample (wafer) 10. These components are installed in an ion particle beam mirror piece 107. The ion particle beam machining optical system is supplied with power from an ion particle beam lens power source 108. The scanning electron microscope optical system 200 has an electron gun 201, a scanning electron microscope condenser lens 203 and a scanning electron microscope objective 204 that focus an electron beam 202 from the electron gun, a scanning electron microscope deflector 205 that scans the focused electron beam 202, and a scanning electron microscope detector 206 that detects a secondary particle beam generated from the sample (wafer) 10. These components are installed in a scanning electron microscope mirror piece 207. The scanning electron microscope optical system is supplied with power from a scanning electron microscope lens power source 208. The stage device 300 has a sample stage 301 that supports the sample (wafer) 10, an R stage 302d that rotatively moves the sample stage 301 around a Z axis, an X stage 302a and a Y stage 302b that moves the sample stage 301 in a horizontal direction, and a Z stage 302c that moves the sample stage 301 in a vertical direction. These components are provided in a vacuum chamber 303. The stage device 300 further has a laser measuring machine 311 and a mirror 312 which are used to measure the movement of the sample stage 301 in the horizontal direction, a Z sensor 313 that measures the amount of changes in the sample (wafer) 10 in the vertical direction, and a stage control device 315 to which outputs from the laser measuring machine 311 and Z sensor 313 are inputted and which controls the movements of the R stage 302d, an X stage 302a, a Y state 302b, and the Z stage 302c. The ion particle beam 102 from the ion source 101 is focused by the ion particle beam condenser lens 103 and ion particle beam objective 104. The ion particle beam 102 is then scanned by the ion particle beam deflector 105 and then applied to a target on the sample (wafer) 10. The ion particle beam detector 106 detects a secondary particle beam generated from the sample (wafer) 10 and transmits an output signal to the calculator 24 via the control device 22. The calculator 24 executes image processing to generate an image signal and then transmits the signal to the display device 23. The display device 23 displays an image generated by the ion particle beam machining optical system. At the same time, the electron beam 202 from the electron gun 201 is focused by the scanning electron microscope condenser lens 203 and scanning electron microscope objective 204. The electron beam 202 is then scanned by the scanning electron microscope deflector 205 and then applied to a target on the sample (wafer) 10. The scanning electron microscope detector 206 detects a secondary particle beam generated from the sample (wafer) 10 and transmits an output signal to the calculator 24 via the control device 22. The calculator 24 executes image processing to generate an image signal and then transmits the signal to the display device 23. The display device 23 displays an image generated by the scanning electron microscope optical system. The calculator 24 has a correction table as described later. When the focal distance is changed in the ion particle beam machining optical system 100 or scanning electron microscope optical system 200, the calculator 24 calculates the movement of the sample stage so that the target position on the sample, that is, a machined or observed point is always located in the center of a visual field of a screen of the display device 23. If the focal distances of the ion particle beam machining optical system 100 and scanning electron microscope optical system 200 are not equal on the sample and if the ion particle beam machining optical system 100 is switched to the scanning electron microscope optical system 200, the calculator 24 calculates the movement of the sample stage so that the target position on the sample, that is, the machined or observed point is always located in the center of the visual field of the screen of the display device 23. As shown in FIG. 4A, the ion beam 102 and the electron beam 202 are arranged at 90° from each other in a horizontal plane. As shown in FIG. 4B, the ion beam 102 and the electron beam 202 are inclined from the sample (wafer) 10 through 45° The ion beam 102 is focused on the sample (wafer) 10 by allowing the control device 22 to control the ion beam lens power source 108 and adjusting the strength of the ion objective lens 104. The electron beam 202 is focused on the sample (wafer) 10 by allowing the control device 22 to control the scanning electron microscope lens power source 208 and adjusting the lens intensity of the scanning electron microscope objective 204. The same point of the sample (wafer) 10 is irradiated with the ion particle beam 102, the electron beam 202, and a particle beam or light beam from the Z sensor 313. The amount by which the ion particle beam 102 and the electron beam 202 deviate from the focus is corrected by moving the R stage 302c. The present example comprises the R stage 302d, and JP Patent Publication (Kokai) No. 2002-148159 discloses a method of producing a sample using the R stage 302d. The method of sample production disclosed in this publication comprises inclining the ion particle beam mirror piece 207 from the sample (wafer) 10 and rotating the R stage 302d to extract sample pieces. Now, with reference to FIG. 2, description will be given of a method of calculating correction tables for the ion particle beam machining optical system 100. As shown in FIG. 2, a target mark 30 is formed on a surface of the sample (wafer) 10. In the present example, the target mark 30 is shaped like a cross of length 10 μm and width 10 μm. FIG. 2 schematically shows an image of the target mark 30 obtained with an optical magnification of about 2,500. First, the sample 10 is moved in the horizontal direction using the X stage 302a and the Y stage 302b and to an arbitrary height using the Z stage 302c so that the target mark 30 can be observed on the screen of the display device 23. Thus, the sample 10 is placed at an initial position. The Z sensor 313 is used to measure the position of the target mark 30 in the Z direction. The value obtained is defined as Z(0). Then, the ion particle beam 102 is focused on the target mark 30 while observing the target mark 30 on the screen of the display device 23. At this time, optical system conditions for the ion particle beam machining optical system 100 are defined as FP(0). Here, the optical system conditions are parameters such as voltages applied to the objective lens, the polarizer, and the condenser lens to control the lens strength and the charged particle beam. Then, on the basis of the results of image processing executed by the control device 22 and calculator 24, the stage control device 315 finely adjusts the X stage 302a and Y stage 302b such that the center of the target mark 30 coincides with the center of the visual field of the screen. In this case, the laser measuring machine 311 is used to measure the positions of the sample stage in the X and Y directions. The values obtained are defined as FX(0) and FY(0). Then, the Z stage 302c is moved by a predetermined specified amount 31. The Z sensor 313 is then used to measure the position of the target mark 30 in the Z direction. The value obtained is defined as Z(1). When the Z stage 302c is moved by the predetermined specified amount 31, the focus of the ion particle beam 102 deviates from the target mark 30. The target mark 30 thus deviates from the center of the visual field of the screen of the display device 23. A broken cross 30′ shows the target mark 30 moved on the screen of the display device 23. Accordingly, the focus of the ion particle beam 102 is made to coincide with the target mark 30. The optical system conditions for the ion particle beam machining optical system at this time are defined as FP(1). The X stage 302a and the Y stage 302b are finely adjusted so that the positionally deviating center of the target mark 30 coincides with the center of the visual field of the screen. In this case, the laser measuring machine 311 is used to measure the positions of the sample stage in the X and Y directions. The values obtained are defined as FX(1) and FY(1). This operation is repeated n times to obtain n+1 sets of data composed of the positions FX(0), FY(0), FX(1), FY(1), . . . , FX(n), FY(n) of the sample stage in the X and Y directions, the position Z(0), Z(1), . . . , Z(n) of the target mark 30 in the Z direction, and the optical system conditions FP(0), FP(1), . . . , FP(n) for the ion particle beam machining optical system. The position of the target mark 30 in the [Z] direction is assumed to be expressed using a linear expression with the position [FX] of the sample stage in the X direction, the position [FY] of the sample stage in the Y direction, and the optical conditions [FP] for the ion particle beam machining optical system. [Equation 1][Z]=cF·[FX]+dF  (51)[Z]=eF·[FY]+fF  (53)[Z]=cO·[FP]+dO  (55) cF, dF, eF, fF, cO, and dO are coefficients. The coefficients cF, dF, eF, fF, cO, and dO are calculated by the calculator 24 using the above n+1 sets of data. Substituting the calculated coefficients cF, dF, eF, fF, cO, and dO into Equations (51), (53), and (55) yields the relationship between the position [Z] of the target mark 30 in the Z direction and the position [FX] of the sample stage in the X direction, the relationship between the position [Z] of the target mark 30 in the Z direction and the position [FY] of the sample stage in the Y direction, and the relationship between the position of the target mark 30 in the Z direction [Z] and the optical system conditions [FP] for the ion particle beam machining optical system. FIG. 5 shows correction tables 51, 53, and 55 for the ion particle beam machining optical system. The correction tables 51, 53, and 55 for the ion particle beam machining optical system are graphical representations of Equations (51), (53), and (55). With reference to FIG. 3, description will be given of a method of calculating correction tables for the scanning electron microscope 200. This method is similar to the method of calculating correction tables for the ion particle beam machining optical system. However, this method proceeds in the opposite direction. First, the sample 10 is moved so that the target mark 30 can be observed on the screen of the display device 23. Then, the Z stage 302c is used to move the sample 10 to an arbitrary position. The Z sensor 313 is then used to measure the position of the target mark 30 in the Z direction. The value obtained is defined as Z(n). The focus of the electron beam 202 is adjusted while observing the target mark 30 on the screen of the display device 23. The optical condition of the scanning electron microscope 200 at this time is referred to as SP(n). Then, the center of the target mark 30 is made to coincide with the center of the visual field of the screen. At this time, the positions of the sample stage in the X and directions are defined as SX(n) and SY(n). Then, the Z stage 302c is returned, that is, moved in the opposite direction by the specified amount 31. At this time, the position of the target mark 30 in the Z direction is defined as Z(n−1). The focus of the electron beam 202 is made to coincide with the target mark 30. The optical system conditions for the scanning electron microscope 200 at this time are defined as FP(n−1). The X stage 302a and the Y stage 302b are finely adjusted so that the positionally deviating center of the target mark 30 coincides with the center of the visual field of the screen. At this time, the positions of the sample stage in the X and directions are defined as FX(n−1) and FY(n−1). This operation is repeated n times to obtain n+1 sets of data composed of the positions SX(n), SY(n), SX(n−1), SY(n−1), . . . , SX(0), SY(0) of the sample stage in the X and Y directions, the position Z(n), Z(n−1), . . . , Z(0) of the target mark 30 in the Z direction, and the optical system conditions SP(n), SP(n−1), . . . , SP(0) for the scanning electron microscope optical system. The position of the target mark 30 in the [Z] direction is assumed to be expressed using a linear expression with the position [SX] of the sample stage in the X direction, the position [SY] of the sample stage in the Y direction, and the optical conditions [SP] for the ion particle beam machining optical system. [Equation 2][Z]=cS·[SX]+dS  (52)[Z]=eS·[SY]+fS  (54)[Z]=aO·[SP]+bO  (56) cS, dS, eS, fS, aO, and bO are coefficients. The coefficients cS, dS, eS, fS, aO, and bO are calculated by the calculator 24 using the above n+1 sets of data. Substituting the calculated coefficients cS, dS, eS, fS, aO, and bO into Equations (52), (54), and (56) yields the relationship between the position [Z] of the target mark 30 in the [Z] direction and the position [SX] of the sample stage in the X direction, the relationship between the position [Z] of the target mark 30 in the Z direction and the position [SY] of the sample stage in the Y direction, and the relationship between the position of the target mark 30 in the Z direction [Z] and the optical system conditions [SP] for the ion particle beam machining optical system. FIG. 5 shows the correction tables 52, 54, and 56 for the scanning electron microscope 200. The correction tables 52, 54, and 56 for the ion particle beam machining optical system are graphical representations of Equations (52), (54), and (56). In the present example, the calculation of the correction tables is premised on the previous individual adjustments of the ion particle beam machining optical system and scanning electron microscope optical system. Further, in the present example, the correction tables are linear. However, polynomials may be used for corrections. Moreover, correction tables may be similarly calculated for the R stage 302d so that the X, R, Z stages or the Y, R, and Z stages can be used to create correction tables. Additionally, at least two of Equations (51), (53), and (55), expressing the correction tables for the ion particle beam machining optical system 100, are subjected to an addition or a subtraction to obtain a linear relationship between the position [Z] of the target mark 30 in the Z direction and at least two of the three variables [FX], [FY], and [FP]. At least two of Equations (52), (54), and (56), expressing the correction tables for the scanning electron microscope optical system 200, are subjected to an addition or a subtraction to obtain a linear relationship between the position [Z] of the target mark 30 in the Z direction and at least two of the three variables [SX], [SY], and [SP]. The linear relationships thus obtained may be expressed in a graph to create correction tables. Moreover, Equations (51), (53), and (55), expressing the correction tables for the ion particle beam machining optical system 100, and Equations (52), (54), and (55), expressing the correction tables for the scanning electron microscope optical system 200, are subjected to an addition or a subtraction to obtain a linear relationship between the position [Z] of the target mark 30 in the Z direction and the six variables [FX], [FY], [FP], [SX], [SY], and [SP]. This results in a correction table for the ion particle beam machining optical system and scanning electron microscope optical system. Description will be given with reference to FIG. 4. FIG. 4A is a diagram showing the ion particle beam 102 from the ion particle beam machining optical system 100 and the electron beam 202 from the scanning electron microscope 200 both projected on an xy plane. FIG. 4B is a diagram showing the ion particle beam 102 from the ion particle beam machining optical system 100 and the electron beam 202 from the scanning electron microscope 200 both projected on an xz plane. As shown in FIG. 4, the mechanical optical axis of the ion particle beam machining optical system 100 crosses the mechanical optical axis of the scanning electron microscope optical system at a point 49. It is assumed that the foci of the ion particle beam 102 and electron beam 202 coincide with each other at the point 49. First, the ion particle beam machining optical system 100 moves the target on the sample (wafer) in the horizontal and vertical directions to place it at the initial position. At the initial position, the position in the Z direction is defined as Z(0), and the positions in the X and Y directions are defined as FX(0) and FY(0), respectively. Then, the focus of the ion particle beam 102 is changed from the point 49 to a point 47 on the sample 10. Thus, the focus of the ion particle beam 102 coincides with the target on the sample. Next, it is assumed that the scanning electron microscope optical system 400 is used to observe the same target on the sample 10 without changing the height of the sample. In this case, it is only necessary to move the sample in the X and Y directions, while changing the focus of the electron beam 202 from the point 49 to a point 48. The movements of the sample in the X and Y directions are expressed by the amounts of differentials (dX, dY) as shown in Equations (61) and (62). [Equation 3]dX=SX(1)−FX(1)  (61)dY=SY(1)−FY(1)  (62) Now, description will be given of a procedure of applying the correction tables. The correction tables for the ion particle beam machining optical system are used for the ion particle beam machining optical system 100 if the focal distance of the particle beam 102 is changed. The calculator 24 calculates the amounts of correction for the positions in the X, Y, and Z directions in association with the movement of the focus. The calculator 24 then outputs data on these amounts to the stage control device 315 to move the sample stage. Thus, even if the focal distance of the particle beam 102 changes, the target on the sample, that is, the machined or observed point remains in the center of the visual field of the screen of the display device 23. The scanning electron microscope correction tables are used for the scanning electron microscope optical system 200 if the focal distance of the electron beam 202 on the sample is changed. The calculator 24 calculates the amounts of correction for the positions in the X, Y, and Z directions in association with the movement of the focus. The calculator 24 then outputs data on these amounts to the stage control device 315 to move the sample stage. Thus, even if the focal distance of the electron beam 202 changes, the target on the sample, that is, the machined or observed point remains in the center of the visual field of the screen of the display device 23. The correction tables for the ion particle beam machining optical system and scanning electron microscope optical system are used if the optical system used is switched between the ion particle beam machining optical system 100 and the scanning electron microscope optical system 200. The calculator 24 calculates the amounts of correction for the X and Y directions corresponding to the height of the sample after switching. The calculator 24 then outputs data on these amounts to the stage control device 315 to move the sample stage. Further, the calculator 24 calculates the amount of correction on the basis of the optical conditions for the ion particle beam machining optical system or scanning electron microscope optical system to which the apparatus is to be switched. Thus, even after the optical system is switched, the machined or observed point on the sample remains in the center of the visual field of the screen of the display device 23. Additionally, depending on the setting of the optical conditions for the ion particle beam machining optical system or scanning electron microscope optical system, it is possible to make the center of the visual field to coincide with the focus even if the focal distance of the ion particle beam machining optical system is different from that of the scanning electron microscope optical system and if the work distance is changed to switch the machining or observing operation between the ion particle beam machining optical system and the scanning electron microscope optical system. The examples of the present invention have been described. However, the present invention is not limited to above examples. Those skilled in the art will appreciate that various changes may be made to the examples without departing from the scope of the invention set forth in the claims. According to the present invention, in a charged particle beam application apparatus having one or more charged particle beams inclined from a sample, even if the focal distance of the charged particle beam used is changed, a processed or observed point that is a target can be reliably placed in the center of a visual field of a display screen. According to the present invention, in the charged particle beam application apparatus having one or more charged particle beams inclined from the sample, even if the charged particle beam used is switched, the processed or observed point, which is a target, can be reliably placed in the center of the visual field of the display screen. According to the present invention, in the charged particle beam application apparatus having one or more charged particle beams inclined from the sample, even if the focal distance of the charged particle beam used is changed, a sample stage can be moved to a predetermined position in a short time. According to the present invention, in the charged particle beam application apparatus having one or more charged particle beams inclined from the sample, even if the charged particle beam used is switched, the sample stage can be moved to the predetermined position in a short time.
summary
abstract
A container system, for radioactive waste and method for using the same is provided. The system includes a canister configured for holding radioactive waste and a lid system. In one embodiment, the lid system comprises a two-part lid assembly including a confinement lid and a shielded lifting lid. The confinement lid is detachably mounted to the confinement lid. In use, the lifting lid supports die confinement lid for lifting and placement on the canister. The lifting lid further shields operators while the confinement lid is mounted to the canister. Thereafter, the lifting lid is removed and may be reused for confinement lid mountings on other canisters. In one embodiment, the confinement lid is bolted to the canister. The canister may be disposed in a protective overpack for transport and storage.
abstract
A device for removing foreign objects from nuclear reactor vessel includes a suction pipe; a suction opening structure disposed at a lower end of the suction pipe, an electric valve disposed at a connection of the suction pipe and the suction opening structure, a filter mesh and a suction pump sequentially located in the suction pipe and above the electric valve. The suction opening structure has a suction opening thereon, a water inlet of the suction pump is communicated with the suction opening, and a water outlet of the suction pump is communicated with the outside space of the suction pipe though a drainage pipe. The device further includes a touch switch disposed on the filter mesh which is in operative connection with the electric valve. A foreign object impact force to the filter mesh triggers the touch switch to close which causes the electric valve to close.
description
This application is based upon and claims the benefit of priority from the Japanese Patent Application No. 2006-150385, filed on May 30, 2006, the entire contents of which are incorporated herein by reference. The present invention relates to a charged particle beam photolithography apparatus which writes a pattern of an LSI or the like on a target object such as a mask or a wafer, and more particularly, to a writing error diagnosis method for a charged particle beam photolithography apparatus and a charged particle beam photolithography apparatus, which easily specify a cause of a pattern writing error in occurrence of the pattern writing error. A lithography technique which leads development of micropatterning of a semiconductor device is a very important and an only process which generates a pattern in semiconductor manufacturing processes. In recent years, with a high degree of integration of an LSI, a line width of circuit required for a semiconductor device is gradually miniaturized every year. In order to form a descried circuit pattern on the semiconductor device, a high-precision master pattern plate (also called a reticle or a mask) is necessary. An electron beam pattern writing technique using an electron beam (included in charged beams) has an essentially excellent resolution. For this reason, the electron beam pattern writing technique is used in production of a high-precision master pattern plate or a mask. Prior to pattern writing of an electron beam photolithography apparatus, a layout of a semiconductor integrated circuit serving as a base of a pattern to be written is designed, and layout data (design data) is generated. The layout data is converted to generate internal control format data for the electron beam photolithography apparatus. Furthermore, in the pattern writing circuit, the internal control format data is divided into shot data of a format of the electron beam photolithography apparatus. The shot data is written on a target object on the basis of predetermined writing conditions. FIG. 7 is a conceptual diagram for explaining an operation of a conventional variable-shaped electron beam photolithography apparatus. An opening 702 having a rectangular shape, for example, an oblong shape to shape an electron beam is formed in a first aperture plate 700 in the variable-shaped electron beam photolithography apparatus (EB photolithography apparatus). In a second aperture plate 704 formed is, for example, an arrow-shaped variable-shaped opening 706 to shape the electron beam having passed through the opening 702 of the first aperture plate into a desired rectangular or triangular shape. An electron beam 710 emitted from a charged particle source 708 and having passed through the opening 702 of the first aperture plate is deflected by a deflector (not shown). The deflected electron beam 710 passes through a part of the variable-shaped opening 706 of the second aperture plate 704 to irradiate the electron beam on a target object 712 placed on a stage which continuously moves in a predetermined direction (for example, an X direction). More specifically, a rectangular shape corresponding to an electron beam which can pass through both the opening 702 of the first aperture plate 700 and the variable-shaping opening 706 of the second aperture plate 704 is written in a pattern writing region of the target object 712 placed on a stage which continuously moves in the X direction. A method which causes the electron beam to pass through both the opening 702 of the first aperture plate 700 and the variable-shaping opening 706 of the second aperture plate 704 to form a variable shape is called a variable-shaped beam method. In such a method using a variable-shaped beam, the number of times of exposure or shot can be made smaller than that in a method using a fixed size spot beam. For this reason, a throughput advantageously increases. As a technique which increasing a throughput, a stage continuously moving scheme is also proposed. This technique is a scheme which performs pattern writing without stopping a stage having a target object placed thereon. According to this scheme, stepping or moving time for a conventional step & repeat scheme which stops the stage during pattern writing can be reduced. Furthermore, a so-called vector scanning scheme is also proposed which divides a region to write into small regions called sub-fields and deflects and irradiates a variable-shaped beam on only a portion on which a pattern must be written. On the other hand, a conventional one-dimensional scanning scheme scans all writing region with beam off for no-pattern area. For this reason, an increase in throughput can be achieved after all (see Published Unexamined Japanese Patent Application No. 10-284392, for example). As described above, an increase in throughput and improvement of a writing resolution have been designed in an electron beam photolithography technique. In addition to the improvement of the pattern writing technique, it is important to rapidly specify a cause of error in occurrence of a pattern writing error. This is because, once a pattern writing error occurs, not only the mask with the error cannot be used but also production of masks is stopped until a cause of writing error is specified and a countermeasure against the pattern writing error is made, thereby resulting in tremendous damage. A pattern writing error (pattern error) is a phenomenon in which a pattern designed by a user cannot be exactly written on a target object. As one of main causes of writing error, abnormal processing in a pattern writing circuit is known. More specifically, in the abnormal processing, a normal output (processing result) cannot be obtained from a pattern writing circuit due to a defect, a defective operation, or the like in a circuit board or an element which constitutes the pattern writing circuit. Essentially, even though a pattern writing error occurs in a prior art, there is no effective means for easily recognizing apparatus states when a pattern writing error occurs, i.e., writing data, a pattern writing condition, a writing pattern. As a result, time is consumed to specify a cause of error. The present invention has been made in consideration of the above circumstances, and has as its object to provide a writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus, which can specify an error cause (error occurrence state) within a short period of time when a pattern writing error occurs. A writing error diagnosis method for a charged beam photolithography apparatus which irradiates a charged beam on a target object to write a desired pattern, according to an embodiment of the present invention, comprises: collecting processing result data of a pattern writing circuit at a position where a pattern writing error occurs after the pattern writing error occurs; and comparing the collected processing result data with correct data. A charged beam photolithography apparatus which irradiates a charged beam on a target object to write a desired pattern, according to another embodiment of the present invention, comprises: collecting means which collects processing result data of a pattern writing circuit at a position where a pattern writing error occurs after the pattern writing error occurs; and comparing means which compares the collected processing result data of the pattern writing circuit at the position where the pattern writing error occurs with correct data. According to the embodiments of the present invention, a writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus, which can specify an error cause (error occurrence state) within a short period of time when a pattern writing error occurs. Embodiments of a writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus according to the present invention will be described below by using an electron beam photolithography apparatus as an example with reference to the accompanying drawings. FIG. 2 is a schematic block diagram of an electron beam photolithography apparatus according to a first embodiment. An electron beam photolithography apparatus 200 is constituted by a pattern writing unit 202 and a writing control unit 204 which controls a pattern writing operation of the pattern writing unit 202. Layout data 206 (design data) of a semiconductor integrated circuit to be drawn is input and stored in advance in the electron beam photolithography apparatus 200. In the pattern writing unit 202, a stage 212 on which a target object 210 such as a mask is placed is accommodated in a target object chamber 208. The stage 212 is driven in an X direction (left and right directions on the paper) and a Y direction (back and forth directions on the paper) by the writing control unit 204. An electron beam optical system 214 is arranged above the target object chamber 208. The electron beam optical system 214 is constituted by an electron gun assembly 216, various lenses 218, 220, 222, 224, and 226, a blanking deflector 228, a beam size varying deflector 230, a main deflector 232 for scanning a beam, a sub-deflector 234 for scanning a beam, a first aperture plate 236 for shaping a beam to write a pattern by a variable-shaped beam, a second aperture plate 238, and the like. In actual pattern writing, an electron beam emitted from the electron gun assembly 216 is controlled by the beam size varying deflector 230 and the first aperture plate 236 and second aperture plate 238 for shaping a beam to have a variable shape. A pattern writing process is performed by a vector scanning scheme (two-dimensional scanning scheme) and a stage continuously moving scheme. Now, a pattern writing process will be described below a diagram for explaining a pattern writing method using the vector scanning scheme and the stage continuously moving scheme employed in the embodiment in FIG. 3. First, a pattern 302 to be written on a target object 300 is divided into strip-shaped regions called frames 304. The frame 304 is further divided into regions called sub-fields 306. A pattern is written on only a necessary portion in the regions by deflecting a variable-shaped beam 308 shaped by the first aperture plate 236 and the second aperture plate 238 shown in FIG. 2. A pattern writing process is performed while continuously moving the stage 212 (FIG. 2). At this time, a two-step deflector constituted by the main deflector 232 and the sub-deflector 234 (FIG. 2) is used. The sub-fields 306 are positioned by the main deflector 232 (FIG. 2) according to main deflection position data sent from a deflection control arithmetic circuit unit 258. Writing in the sub-fields 306 is performed by the sub-deflector 234 according to sub-deflection position data and shot size data also sent from the deflection control arithmetic circuit unit 258. Upon completion of the pattern writing of one of the sub-fields 306, pattern writing of the next one of the sub-fields 306 is started. Upon completion of pattern writing of the frame 304 serving as a set of the plurality of sub-fields 306, the stage 212 which continuously moves in an X direction is moved in steps in a Y direction. The above process is repeated to sequentially write patterns on the respective frame regions. In this case, the frame 304 is a strip-shaped writing region determined by a deflection width of the main deflector 232 (FIG. 2). The sub-field 306 is a unit writing region determined by a deflection width of the sub-deflector 234 (FIG. 2). The pattern writing control unit 204 which controls a pattern writing process of the pattern writing unit 202 will be described below with reference to FIG. 2. The pattern writing control unit 204 is constituted by a control computer 250 and a pattern writing circuit 252. The layout data 206 is converted into internal control format data unique to this electron beam photolithography apparatus by processing performed in the control computer 250. At this time, the regions of the sub-fields 306 are formed. Thereafter, the internal control format data is given to the pattern writing circuit 252 together with a pattern writing parameter file 114. Internal control format data 112 (FIG. 1) is obtained by converting layout data including a predetermined pattern into data for the electron beam photolithography apparatus. More specifically, used are, for example, main deflection position data for specifying the frame 304 (FIG. 3) including the predetermined pattern and the sub-fields 306 (FIG. 3) and sub-deflection position data for specifying writing positions of pattern figures in the sub-fields (FIG. 3). The pattern writing parameter file 114 (FIG. 1) is a pattern writing condition applied in a pattern writing circuit 111. Specific examples of parameters constituting the pattern writing parameter file include a pattern figure dividing parameter used in a shot data arithmetic circuit unit 254, a proximity effect correction coefficient used in a dose control circuit unit 256, a fogging effect correction coefficient, a main deflection distortion correction coefficient used in a deflection control arithmetic circuit unit 258, and a sub-deflection distortion coefficient. The shot data arithmetic circuit unit 254 constituting the pattern writing circuit 252 divides, according to a pattern figure dividing parameter, a pattern FIG. 307 into figures each having a size and a shape which can be irradiated or shot on a target object surface by irradiating the variable-shaped beam 308 once. The pattern FIG. 307 is a pattern the size and shape of which can be arbitrarily designated by a user in the internal control format data. The dose control circuit unit 256 adds beam irradiation time calculated from a result of proximity effect correction, fogging effect correction, and the like to each of the divided figures of the pattern FIG. 307 divided by the shot data arithmetic circuit unit 254. The addition of the beam irradiation time is performed to write more accurate patterns. The deflection control arithmetic circuit unit 258 generates control data to control the pattern writing unit 202 on the basis of an output result (processing result) from the dose control circuit unit 256 and correction coefficients such as the main deflection distortion correction coefficients and the sub-deflection distortion correction coefficients. The shot data arithmetic circuit unit 254, the dose control circuit unit 256, and the deflection control arithmetic circuit unit 258 are further divided into a plurality of circuits depending on the functions. These writing circuits have storage means called maintenance memories in which processing result data obtained by the respective circuits are temporarily stored. However, in general, the memory capacities of the storage means are so small that only processing result data corresponding to regions of several to several ten sub-fields can be stored in the storage means. As will be described below in detail, the electron beam photolithography apparatus 200 according to the embodiment includes, in the pattern writing control unit 204, collecting means and comparing means. The collecting means collects maintenance memory data serving as processing result data of the pattern writing circuit, i.e., shot data or control data at a position where a pattern writing error occurs after the pattern writing error occurs. The comparing means compares the collected maintenance memory data with correct data. A writing error diagnosis method according to the embodiment will be described below. FIG. 1 is an operational diagram of a writing error diagnosis mechanism which realizes the writing error diagnosis method according to the embodiment. The writing error diagnosis mechanism according to the embodiment which realizes the writing error diagnosis method includes three modules, i.e., a circuit diagnosis preparing module 102, a pattern writing control software module 104, and a circuit diagnosis module 106. In this manner, the writing error diagnosis mechanism is divided into three modules to clarify the roles of the respective modules in the embodiment to simplify writing error diagnosis. In this case, the circuit diagnosis preparing module 102 is a module which automatically performs preparation to execute the circuit diagnosis module 106 serving as a main body of the writing error diagnosis mechanism according to an instruction from a user 100. The circuit diagnosis preparing module 102 also has a function of outputting a writing error (pattern error) diagnosis result of the circuit diagnosis module 106. The pattern writing control software module 104 is a module which creates the diagnosis internal control format data 112 for use in the circuit diagnosis module 106 serving as the main body of the writing error diagnosis mechanism on the basis of layout data. Layout data which is externally input data has various formats. However, a diagnosis process of the pattern writing circuit performed by this writing error diagnosis mechanism does not depend on the format of the layout data to be input. The circuit diagnosis module 106 serving as the main body of the writing error diagnosis mechanism reproduces a pattern writing process at a position where a pattern writing error occurs by using the internal control format data 112 which is created by the writing control software module 104 to give position information in occurrence of the pattern writing error and the pattern writing parameter file 114 which gives a pattern writing condition in occurrence of the pattern writing error. The maintenance memory data serving as the processing result data of the pattern writing circuit is collected. The internal control format data 112 is used to perform simulation. In this manner, the circuit diagnosis module 106 is a module which specifies a pattern writing error (pattern error) cause. More specifically, diagnosis functions possessed by the writing error diagnosis mechanism are executed by software stored in the control computer 250 in the pattern writing control unit 204 shown in FIG. 2 or a combination of the software and hardware arranged on the pattern writing control unit 204. In other words, the units possessed by the electron beam photolithography apparatus according to the embodiment to realize the diagnosis function are constituted by software stored in the control computer 250 in the pattern writing control unit 204 or constituted by a combination of the software and hardware arranged on the pattern writing control unit 204. An outline of a writing error diagnosis method will be described below with reference to FIG. 1. When it is found due to inspection by a mask inspection apparatus that a pattern writing error (pattern error) occurs in a mask, the user 100 first sends an instruction for executing writing error diagnosis to the circuit diagnosis preparing module 102. In this case, the circuit diagnosis preparing module 102 creates the pattern writing parameter file 114 which gives pattern writing error analyzing layout data 107 and a pattern writing condition in occurrence of an error in order to enable the writing control software module 104 or the circuit diagnosis module 106 to reproduce a state in occurrence of the pattern writing error, and prepares for diagnosis. The circuit diagnosis preparing module 102 refers to the prepared file, and the writing control software module 104 starts the pattern writing circuit 111 to perform a pattern writing circuit process. The internal control format data 112 is created from the pattern writing error analyzing layout data 107 generated from original layout data. The original layout data used here is original layout data including a pattern at a position where a pattern writing error is found. The circuit diagnosis preparing module 102 issues a command to the circuit diagnosis module 106 to collect the internal control format data 112 created by the writing control software module 104. The circuit diagnosis module 106 collects and stores the internal control format data 112, so that the internal control format data 112 can be used as an input to pattern writing circuit simulation in writing error diagnosis. The pattern writing circuit 111 is started to cause the internal control format data 112 and the pattern writing parameter file 114 to flow to perform a pattern writing circuit process. The circuit process is stopped at predetermined coordinates of a position where a pattern writing error (pattern error) occurs to make it possible to collect maintenance memory data 118 reproduced at the position where the pattern writing error (pattern error) occurs. In this manner, the obtained maintenance memory data 118 at the position where the pattern error occurs is compared with simulation result data 120 serving as collect data to make it possible to specify a pattern writing error (pattern error) cause. The comparison result is edited and stored in a report file 122 and reported to the user 100. In the pattern writing circuit process, pattern writing is not necessarily performed on an actual target object, and so-called virtual pattern writing may be performed by starting only the pattern writing circuit. Subsequently, operation steps of the circuit diagnosis preparing module 102 will be described below in detail with reference to FIG. 4. When a pattern writing error (pattern error) of a mask is found, the user 100 issues an execution instruction to diagnose the pattern writing error to the circuit diagnosis preparing module 102. The circuit diagnosis preparing module 102 performs system initialization such as a free area check of a work directory in the control computer 250 (FIG. 2) in response to an execution instruction of the user 100 (S402). The circuit diagnosis preparing module 102 requests the user 100 to input information such as a pattern writing ID number of a mask on which a pattern writing error occurs and error coordinates (X coordinate and Y coordinate) (S404). On the basis of the input pattern writing ID number, a predetermined directory in the control computer is searched for corresponding layout data (S406). The circuit diagnosis preparing module 102 cuts out a region including the selected pattern writing error coordinates to create new layout data for analyzing a pattern writing error (S410). The layout data to be cut out has various formats depending on design software of CAD created by the user. However, an operation of the circuit diagnosis preparing module 102 does not depend on the format of the layout data. The circuit diagnosis preparing module 102 is referred in diagnosis of a pattern writing error by the writing control software module 104 or the circuit diagnosis module 106 to generate a pattern writing parameter file (FIG. 1) to reproduce a state of a pattern writing circuit in occurrence of the pattern writing error (S412). The circuit diagnosis preparing module 102 starts the writing control software module 104 to urge the user 100 to check execution of internal control format data conversion of newly created layout data for analyzing the pattern writing error (S414). The writing control software module 104 converts the writing error analyzing layout data into internal control format data. The internal control format data 112 (FIG. 1) is obtained by converting a predetermined pattern, in this case, layout data including a pattern at a position where an error occurs into data for the electron beam photolithography apparatus. In the embodiment, the internal control format data 112 consequently also gives position information of the pattern writing error. More specifically, used are, for example, main deflection position data which specifies the frame 304 including the predetermined pattern and the sub-fields 306 (FIG. 3) and sub-deflection position data which specifies pattern writing positions of pattern figures in the sub-fields. The pattern writing parameter file 114 (FIG. 1) is a pattern writing condition applied to the pattern writing circuit 111. In the embodiment, the pattern writing parameter file 114 gives a pattern writing condition to reproduce an operation and a processing result of the pattern writing circuit in occurrence of a pattern writing error. The pattern writing condition is generated by referring to a pattern writing log or the like in occurrence of the pattern writing error and collecting information from the pattern writing circuit. Specific examples thereof include a pattern figure diving parameter used in the shot data arithmetic circuit unit 254, a proximity effect correction coefficient used in the dose control circuit unit 256, a fogging effect correction coefficient, a main deflection distortion correction coefficient used in the deflection control arithmetic circuit unit 258, and a sub-deflection distortion coefficient. In this case, in order to reproduce the processing result of the pattern writing circuit in occurrence of an error, it is very important to make the parameters equal to those obtained in occurrence of a pattern writing error. The user 100 is urged to check the end of internal control format data conversion of the writing control software module 104 (S416). The circuit diagnosis module 106 is designated to be started (S418). Finally, an execution result of the circuit diagnosis module 106 is read and displayed on a display device (S420), and the operation is ended (S422). Subsequently, operation steps of the circuit diagnosis module 106 will be described below in detail with reference to FIG. 5. First, a pattern writing parameter file created by the circuit diagnosis preparing module 102 is acquired (S502), and internal control format data 550 created by the writing control software module 104 is collected by the writing control software module 104 (S504). Upon completion of the collection of the internal control format data 550, the circuit diagnosis module 106 initializes the pattern writing circuit 111 (S506). A pattern writing parameter file and the collected internal control format data 550 (also collectively called evaluation data) are set in the pattern writing circuit 111 (S508). In collection and setting of the internal control format data, with reference to pattern writing circuit stop coordinates or the like which indicate a coordinate position of an error occurrence position designated by the user, only patterns arranged before the designated coordinates in order of pattern writing are extracted. The extraction is performed while searching for respective pattern coordinates recorded in the internal control format data 112 (FIG. 1) in order to stop the pattern writing process near the stop coordinates. Such a processing allows the processing of the pattern writing circuit to be automatically stopped near arbitrary coordinates to obtain processing result data of the pattern writing circuit from the maintenance memory of the pattern writing circuit. In addition to the extraction of data by comparison with the designated coordinates, a method which extracts data of a specific sub-field to the specific number of pattern figures is known. Furthermore, a method is available which sets a specific pattern writing parameter file to stop the pattern writing circuit itself by the designated coordinates or the specific sub-field and the number of pattern figures in the circuit diagnosis module 106. In addition, a method is also available which sequentially monitors the contents of the maintenance memory of the pattern writing circuit, confirms that the processing reaches the specific coordinates or the specific sub-field and the number of pattern figures, and then forcibly immediately stops the processing of the pattern writing circuit. Upon completion of the setting of the pattern writing parameter file and the internal control format data (also collectively called evaluation data) (S508), the pattern writing circuit 111 is started to execute a pattern writing process (S510). The pattern writing parameter file and the internal control format data 550 flow into the pattern writing circuit 111. Upon completion of the processing of the pattern writing circuit, the maintenance memory data 118 of the pattern writing circuit 111 is collected (S512). The maintenance memory data 118 is processing result data of the pattern writing circuit at a position where a pattern writing error occurs because the pattern writing process is stopped near stop coordinates input in advance. In this case, the maintenance memory which holds the maintenance memory data 118 is generally arranged each of a plurality of circuits constituting the shot data arithmetic circuit unit 254, the dose control circuit unit 256, and the deflection control arithmetic circuit unit 258 (FIG. 2) which are included in the pattern writing circuit 111. The maintenance memories temporarily hold processing result data obtained from respective circuit boards. In this manner, the maintenance memory data 118 serving as processing result data of the pattern writing circuit is read from the maintenance memory serving as storage means to be collected. Upon completion of the collection of the maintenance memory data 118, the circuit diagnosis module 106 inputs the corrected internal control format data 550 and the collected pattern writing parameter file and execute simulation of the pattern writing process in the pattern writing circuit 111 to create correct data (S514). The simulation result data of outputs from the circuits constituting the pattern writing circuit 111 is output. The simulation result data is output for each of the circuit boards constituting the pattern writing circuit 111 in the same data format as that of the actual maintenance memory data 118. The collected maintenance memory data 118 (maintenance memory data) and the simulation result data serving as correct data are compared with each other in each of the circuit boards (S516). A report file is created so as to cause the user to easily recognize the comparison result (S518), and the operation is ended (S552). A comparison result of the maintenance memory data and the simulation result data in each of the circuit boards is displayed in the report file. When both the results are equal to each other as a comparison result, it can be confirmed that the pattern writing circuit 111 is normal. If the results are different from each other, it can be instantaneously confirmed that a circuit having the difference is abnormal. The maintenance memory data and the simulation result data can display how an electron beam is focused on a target object when these data are actually written on a display device. When the displayed result is compared with an observation result of the pattern writing error unit, a state of the error can be visually recognized. Specific examples of detection of an abnormal position by the report file include a pattern writing error which the size of a part of a pattern on a target object is temporarily larger than a design value. As a cause of the error, the followings are conceived. That is, a pattern size increases due to abnormality of the shot data arithmetic circuit unit 254 or the deflection control arithmetic circuit unit 258, or a does may increase due to abnormality of the dose control circuit unit 256. In this case, an abnormal portion can be easily separated by displaying a comparison result of the portion and the collected maintenance memory data in the report file output from the mechanism. The embodiment has described an aspect in which the processing result data of the pattern writing circuit is stored as the maintenance memory data 118 in the maintenance memory arranged in the pattern writing circuit. However, the method of storing the processing result data of the pattern writing circuit is not limited to the aspect. For example, an aspect in which processing result data on all circuit boards are stored in a storing apparatus arranged outside the photolithography apparatus at once may be used. When a mask in which a pattern writing error occurs is written by multi-pass pattern writing, collection of the maintenance memory data 118 related to a plurality of pattern writing operations and comparison with the correct data may be performed by one process. In this case, the multi-pass pattern writing is a technique which reduces a random error and a systematic error in shaping or positioning of an electron beam to assure mask writing accuracy. More specifically, the multi-pass pattern writing means that the same pattern is written twice or more while shifting a pattern writing region. In the embodiment, the electron beam photolithography apparatus using the variable-shaped beam scheme, the vector scanning scheme, or the stage continuously moving scheme has been described. However, since the present invention is effectively applied to an electron beam photolithography apparatus using the step & repeat scheme or the like, the embodiment is not limited to the electron beam photolithography apparatus using the variable-shaped beam scheme, the vector scanning scheme, or the stage continuously moving scheme. In the embodiment, the configuration divided into three modules, i.e., the circuit diagnosis preparing module, the circuit diagnosis module, and the pattern writing control software module has been described. However, the configuration is an example to simplify writing error diagnosis, and the configuration is not a necessary requirement for the present invention. In a prior art, even though a pattern writing error (pattern error) of a mask is found by inspection of a mask defect inspecting apparatus, a lot of time is consumed to specify a cause of error. In general, causes of an error are roughly classified into 1) abnormality of layout data itself, 2) abnormality and an erroneous operation in the pattern writing circuit, and 3) abnormality and a defective operation in an analog system such as a DAC amplifier. If abnormality in the pattern writing circuit can be specified as a result of the separation, a method of further separating and specifying a position and a board of abnormality occurring in the pattern writing circuit is employed. However, there is no method of easily separating and specifying abnormality, especially, in a pattern writing circuit, long time is required to specify a position where an error occurs. More specifically, for example, in order to check an apparatus state of the electron beam photolithography apparatus in occurrence of an pattern writing error, layout data including a pattern writing error occurrence position is first cut with manual operation. Internal control format data, etc. based on the layout data is caused to flow into the pattern writing circuit with manual operation. Predictably, a pattern writing circuit process is stopped near the error position with manual operation. Furthermore, an operation of collecting an execution result of the pattern writing circuit with manual operation is required. In this operation, in particular, it is very difficult to stop the pattern writing circuit at a desired position manually. For this reason, in order to obtain necessary data, huge amounts of time are consumed to repeat a trial and error process. In verification of the collected execution result of the pattern writing circuit, there is no correct data, and therefore, it must be experimentally determined whether the pattern writing circuit is normal or abnormal, and efficiency and accuracy are insufficient. In particular, abnormality of the pattern writing circuit and a defective operation may be reproduced at 100%, and a test may be required to be performed many times. In such a case, it is a further serious problem that long time is required to perform analysis one time. The presence/absence of abnormality of the layout data is checked by using display of the layout data, an analyzing tool, and the like. The embodiment enables collection of final processing result data in a pattern writing circuit corresponding to a pattern error as well as easy collection and creation of the correct data (simulation data or the like). The comparison makes it possible to rapidly determine whether the pattern writing is abnormal upon completion of processing in the pattern writing circuit. When abnormality is not detected in this stage, it is determined that the pattern writing circuit is normal as a whole. It is found that a downstream analog system is probably abnormal. According to the embodiment, when a user inputs position information of a pattern writing error and a pattern writing condition in occurrence of a pattern writing error, processing result data can be automatically reproduced and collected by the pattern writing circuit corresponding to the pattern writing error. Furthermore, correct data can be obtained by simulation based on the pattern writing condition in occurrence of the pattern writing error. The collected processing result data and the correct data of the simulation result data are automatically compared with each other to make it possible to efficiently verify an execution result of the pattern writing circuit in occurrence of a pattern writing error in comparison with a prior art. Therefore, as described above, it is possible to provide a writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus, which can rapidly determine a specific circuit board on which abnormality occurs in the pattern writing circuit in occurrence of a pattern writing error by verifying the pattern writing circuit data, and which can specify an error cause within a short period of time. A second embodiment of the present invention will be described below. The second embodiment is the same as the first embodiment except that maintenance memory data which reproduces a collected state in which a pattern writing error occurs is compared with processing result data (also called advance executed pattern writing circuit processing result data) 130 (FIG. 1) of a pattern writing circuit obtained by executing a pattern writing process in advance as a correct data not the simulation result data 120 (FIG. 1) as correct data. The same description will be omitted. FIG. 6 is a diagram for explaining operation steps of a circuit diagnosis module 106 according to the embodiment. Since the operation steps up to the step (S512) of collecting the maintenance memory data 118 are the same as those in the first embodiment, a description thereof will be omitted. After the collection of maintenance memory data (S512), the circuit diagnosis module 106 urges a user to select advance executed pattern writing circuit processing result data to be compared with the collected maintenance memory data 118 (S602). In this case, the advance executed pattern writing circuit processing result data 130 (FIG. 1) is maintenance memory data stored in the circuit diagnosis module 106. The maintenance memory data is the maintenance memory data 118 which is collected from the pattern writing circuit by executing the circuit diagnosis module 106 by using the same internal control format data and a pattern writing parameter in advance. The maintenance memory data 118 collected by the circuit diagnosis module 106 is compared with the maintenance memory data stored as the advance executed pattern writing circuit processing result data 130 as correct data (S516). Thereafter, a report file is created so as to enable a user to easily recognize a comparison result (S518), and the operation is ended (S520). This point is the same as that in the first embodiment. In this manner, when the maintenance memory data stored in the circuit diagnosis module 106 is used as correct data, simulation to obtain correct data to be compared can be omitted. Accordingly, it becomes possible to provide a charged beam photolithography method for an electron beam photolithography apparatus and an electron beam photolithography apparatus which can specify an error cause within a short period of time. According to this method, reproduction in occurrence of a pattern writing error and verification of a pattern writing circuit can be performed twice or more within a short period of time. For this reason, the method becomes operative when reproducibility of occurrence of an error is checked or when it is confirmed that an error does not reappear after a specific portion where abnormality occurs is exchanged. The first and second embodiments of the present invention have been described with reference to specific examples. In the descriptions of the first and second embodiments, descriptions of parts or the like which are not directly required to explain the present invention are omitted in the writing error diagnosis method for a charged beam photolithography apparatus and the charged beam photolithography apparatus. However, required elements related to the writing error diagnosis method for a charged beam photolithography apparatus and the charged beam photolithography apparatus can be appropriately selected and used. All writing error diagnosis methods for charged beam photolithography apparatuses and all charged beam photolithography apparatuses which include the elements of the present invention and which can be appropriately changed in design by a person skilled in the art are included in the spirit and scope of the invention.
summary
description
The present invention is directed, in general, to a method for manufacturing a semiconductor device and, more specifically, to a method for monitoring critical dimension (CD) variations of a reticle. Current demands for high density and performance associated with ultra large scale integration require submicron features, increased transistor and circuit speeds, and improved reliability. Such demands require formation of device features with high precision and uniformity, which in turn necessitates careful process monitoring, including frequent and detailed inspections of the devices while they are still in the form of semiconductor wafers. One important process requiring careful inspection is photolithography, wherein masks are used to transfer circuitry patterns to semiconductor wafers. Typically, a series of such masks are employed in a preset sequence. Each photolithographic mask includes an intricate set of geometric patterns corresponding to the circuit components to be integrated onto the wafer. Each mask in the series is used to transfer its corresponding pattern onto a photosensitive layer (i.e., a photoresist layer), which has been previously coated on a layer, such as a polysilicon or metal layer formed on the silicon wafer. The transfer of the mask pattern onto the photoresist layer is conventionally performed by an optical exposure tool such as a scanner or a stepper, which directs light or other radiation through the mask to expose the photoresist. The photoresist is thereafter developed to form a photoresist mask, and the underlying polysilicon or metal layer is selectively etched in accordance with the mask to form features such as lines or gates. Fabrication of the mask follows a set of predetermined design rules set by processing and design limitations. These design rules define the space tolerance between devices and interconnecting lines and the width of the lines themselves, to ensure that the devices or lines do not overlap or interact with one another in undesirable ways. The design rule limitation is referred to as the critical dimension (“CD”, defined as the critical width of a line or the critical smallest space between two lines. The CD for most ultra large scale integration applications is on the order of a fraction of a micron. As design rules shrink and process windows (i.e., the margins for error in processing) become smaller, inspection and measurement of surface features' CD, as well as their cross-sectional shape (“profile”) are becoming increasingly important. Deviations of a feature's CD and profile from design dimensions may adversely affect the performance of the finished semiconductor device. One problem currently experienced in the art is that of systematic variation of CD in the reticles used to pattern the aforementioned photoresist mask, and resulting device feature. As those skilled in the art are well aware, any systematic variation of CD, normally less than about 40 nm in range, in the reticles will ultimately be projected to the final semiconductor features through the photoresist mask. Accordingly, reticle imperfections, such as systematic variation of CD in the reticle, can be costly to the manufacturing process. It is believed that the systematic variation of CD in the reticles is caused by the inability of the device patterning the reticle (e.g., a reticle writing tool, such as vector-scan, shaped e-beam tools, JBX series of Jeol, EBM series of Toshiba, and HL series of Hitachi) to accurately calibrate itself after a required shift in the stage holding the reticle during patterning. Generally, the device patterning the reticle can only pattern a portion of the reticle before the stage must shift to continue patterning. During this shift, however, if the device is not re-calibrated accurately, a systematic shift in CD is created for the portion of the reticle formed after the shift. If not corrected, at least two different CD measurements may exist for the same feature. Unfortunately, by nature of the photolithographic process, these CD variations are transferred to the wafer. Even more unfortunate is the fact that no current reticle or mask inspection tools are capable of detecting such small CD errors in the reticle or mask. Accordingly, what is needed in the art is a simple, cost-effective method or device that allows these systematic variation of CD in the reticles to be detected or corrected. To address the above-discussed deficiencies of the prior art, the present invention provides a reticle for use in a lithographic process. The reticle, in one embodiment, includes a patterned layer located over a reticle substrate. The reticle may further include a test pattern located over the reticle substrate, wherein a portion of the test pattern is within a step-distance of a portion of the patterned layer. In this embodiment, a variance in the test pattern is indicative of a variance in the patterned layer. The present invention further provides a method for monitoring critical dimension (CD) variations of a reticle. Among other things, the method includes providing the aforementioned reticle, patterning a material using the reticle, and then visually inspecting the material for light and dark regions. In this embodiment, the light and dark regions represent the variance in the patterned layer. In another embodiment, a method for making a semiconductor device using the aforementioned reticle is provided. The method may include, among other steps: 1) patterning a resist material using the aforementioned reticle, and 2) using the patterned resist material to form a feature of a semiconductor device. The foregoing has outlined preferred and alternative features of the present invention so that those skilled in the art may better understand the detailed description of the invention that follows. Additional features of the invention will be described hereinafter that form the subject of the claims of the invention. Those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiment as a basis for designing or modifying other structures for carrying out the same purposes of the present invention. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the invention. Turning initially to FIG. 1, illustrated is a reticle 100 for use in a lithographic process, which has been constructed in accordance with the principles of the present invention. The reticle 100 illustrated in FIG. 1 includes a patterned layer 110 located over a reticle substrate. The patterned layer 110, in one embodiment, comprises what is left of a blanket deposited reflective layer, energy absorbent layer, or another similar layer, after patterning thereof. In the particular embodiment shown, the dark colored lines represent regions where the aforementioned reflective layer, energy absorbent layer, or other similar layer, has been removed. For purposes of describing the present invention, a limited number of dark colored lines have been illustrated. It should be noted, however, that it is quite common for the patterned layer to be covered with dark colored lines, each representing a region where the layer has been removed. Those skilled in the art understand how to form the patterned layer 110. For instance, in one embodiment particularly germane to the present invention, the patterned layer 110 has been formed using a shaped e-beam mask writer. One known mask writer that might be used is a 50 keV JEOL JBX 9000, which might be obtained from JEOL USA, Inc., at 11 Dearborn Rd., Peabody, Mass. 01960. Common to many mask writing devices, and particularly e-beam mask writing devices, is the requirement that the stage holding the reticle substrate step to accommodate the large surface area of the reticle 100 during writing. Accordingly, shown in FIG. 1 are various step-areas A-X, each having a step-distance, which represent the area the mask writing device may pattern without the stage having to shift. The step-distance is quite dependent on the type of mask writing device used, and therefore has a wide range of values. For instance, the aforementioned JBX 9000 has a step-distance of about 500 μm, in both the x and y directions. Because of the shift in the stage, individual patterns, such as individual pattern 120, may be formed in two different step-areas A and B. In this example, the individual pattern 120 is therefore divided into a first patterned portion 120a and a second patterned portion 120b, located in step-areas A and B, respectively. As detailed in the background of the invention section, forming an individual pattern in two different step-areas encounters certain issues. One issue is the ability/inability of the mask writing device to accurately re-calibrate itself to the new step-area. If the mask writing device is unable to accurately re-calibrate itself, which is often the case, then a variance in the individual pattern 120 may result. The variance, which may arise in the form of a shift in critical dimension (CD) values between the first patterned portion 120a and second patterned portion 120b, may be particularly problematic to the operation of the circuit upon which the individual pattern 120 is ultimately located. Often this CD is systematic. As indicated above, often this variance goes undetected. Unfortunately, if the variance in the patterned layer 110 goes undetected, this faulty reticle 100 might be used to manufacture a plurality of integrated circuits, each integrated circuit having sub-par operating characteristics due to the variance. Accordingly, and in accordance with the principles the present invention, a test pattern 130 is formed over the reticle substrate. Of importance is the fact that at least a portion of the test pattern 130 is located within a step-distance of a portion of the patterned layer. For instance, in the embodiment of FIG. 1, the test pattern 130 may be separated into two portions, a first test pattern portion 130a located within step-area A and a second test pattern portion 130b located within step-area B. Accordingly, the first test pattern portion 130a is within a step distance of first patterned portion 120a, and a second test pattern portion 130b is within a step-distance of second patterned portion 120b. As described in more detail in later FIGUREs, the test pattern 130, and particularly the first and second test pattern portions 130a, 130b, may be used to observe a variance in the patterned layer 110. Particularly, the first and second test pattern portions 130a, 130b, can be used to observe a variance in the test pattern 130, which as a result of being formed in the same step-distance, and thus step-area, have the same characteristics as the individual pattern 120. Accordingly, the variance in the test pattern 130 can be correlated to a variance in the individual pattern 120. As indicated in FIG. 1, any number of test patterns may be located over the reticle substrate. Actually, the greater the number of test patterns that are used, the more accurate the variance measurement for the entire reticle is. In one embodiment, the test pattern 130 (or test patterns) may be located just inside the pellicle frame 140 of the reticle 100. In a similar embodiment not shown, the test pattern 130 could line the entire reticle 100, just inside the pellicle frame 140. Alternatively, as shown, the test pattern 130 may be located horizontally or vertically. It is important, however, that the test pattern not generally be located in an area where a patterned feature might be located. For instance, in most known circumstances it would not be advisable to have the test pattern 130 be interposed upon the individual pattern 120, or any other pattern for that matter. It is believed that such a feature, when transferred to the semiconductor wafer, could cause a short or other undesirable issue. Accordingly, it is common for the test pattern 130 to be located within a scribe region 150 defined by the patterned layer 110. While the scribe region 150 is not typically shown on the reticle 100, those skilled in the art generally know where these scribe regions are located during the design phase of the reticle. If placed within the scribe region 150 as just mentioned, test patterns may be located within the interior of the reticle 100, without affecting the integrity of the process and/or resulting semiconductor features. The test patterns, similar to above, may be located either horizontally or vertically along the scribe region 150. Turning briefly to FIG. 2, illustrated is one exemplary embodiment of a test pattern 200, which might be similar to the test pattern 130 in FIG. 1. In the illustrative embodiment of FIG. 2, the test pattern 200 comprises a reoccurring line/space structure. Other test patterns are, however, within the broad scope of the present invention. For example, it is believed that many other patterns, many of which are reflective grating type structures, may also be used. The test pattern 200 should have certain design parameters such that it works properly for its task at hand. For example, the length (L) of the test pattern 200 should be sufficient so that it can split at least two of the aforementioned step-areas A-X. In an optimal situation, the test pattern 200 would be sufficiently placed such that its length (L) would be rather important. This is not altogether practical, as many times the accurate placement of the test pattern 200 can be tricky. Therefore, in one embodiment, if the length (L) of the test pattern 200 is longer than the prescribed step-distance of the mask writing device, no situation could exist for the test pattern 200 to not be located within at least two step-areas A-X. For example, the JBX 9000discussed above has a prescribed step-distance of about 500 μm. If one were using the JBX 9000, then a test pattern length of greater than 500 μm would assure that the test pattern 200 was in at least two step-areas. Accordingly, the minimum test pattern 200 length is often dictated by the writing tool forming the patterned layer. Similarly, the pitch (P) of the test pattern 200 is of importance. The magnitude of the variance in the CD of the patterned material as designed depends on the pitch (P). It is believed that the pitch (P) of the test pattern 200 is related to the optical wavelength of exposure tool used to pattern the wafer. As a general guideline, the pitch (P) can be (+/−10%): Wavelength in exposure toolPitch on wafer scale (1X)363 nm0.50 um248 um0.34 um193 nm0.26 um157 nm0.21 umIn one embodiment the reoccurring line/space structure may have a pitch (P) of less than about 3/2 the wavelength in use. Other pitches (P), however, will also work. The width (W) of the test pattern 200 is generally not important. In certain embodiments, however, the width (W) should be kept minimal, such that the test pattern can be easily positioned within the aforementioned scribe region. Similarly, the individual line/space structures need not be either completely horizontal or vertical. For example, while the test feature as a whole is preferably either horizontal or vertical, the individual line/space structures could be at an angle, such as a 45 degree angle. Turning briefly to FIG. 3, illustrated are two views 300, 310 that might result from using a test feature similar to that disclosed above. It should initially be noted that each of the views 300, 310, are of the same layer, however, the focus of the optical microscope is being adjusted to provide the different contrast levels. Notice how the different light and dark regions occur. This is indicative of a variation in the patterned features that resulted from the mask writing device not accurately re-calibrating itself. Also, notice how the light and dark regions occur in squares. As one would imagine, these squares are representative of the step-area and step-distance of the mask writing tool forming the patterned layer. Because the squares represent the amount of reflected light from the patterned layer, the larger the contrast between two squares, the larger the variance between those squares. As an example, the variance between squares 320 and 330 would be larger than the variance between squares 320 and 340. As indicated above, often the focus on the optical microscope can be adjusted to highlight these differences. Turning now to FIG. 4, illustrates is a flow chart 400 depicting how one might monitor a CD of a reticle, or if taken a few steps further, make a semiconductor device using the reticle, in accordance with the principles of the present invention. The flow chart 400 of FIG. 4, begins with a start step 410. In an initial step 420 a reticle substrate is provided. Often a glass wafer acts as the reticle substrate. The material composition of the reticle substrate, however, is inconsequential. In a step 430, a blanket layer of reflective coating is deposited over the reticle substrate. As indicated above, the blanket layer need not always be a reflective layer, but it may be an energy absorbent layer or other similar layer. Further, the blanket layer may have any known or hereafter discovered thickness. Subsequent to step 430, and in a step 440, the reflective layer is patterned. As can be envisioned, the reflective layer may be patterned to include actual circuit patterns as well as at least one of the test patterns. The location of the actual circuit patterns and test patterns may vary. Certain embodiments of some acceptable locations of the test pattern were disclosed above with respect to FIG. 1. Once again, at least a portion of the test pattern should be located within a step-distance of a portion of the patterned layer. Other small processes may be conducted on the reticle substrate or patterned layer. Nonetheless, at this point the reticle is ready to pattern a resist layer. Thus, in a step 450, the reticle may be used to expose a resist layer. The resist exposure step, which is conventional, causes the pattern in the reticle to transfer to the resist material. This pattern, as one would expect, also includes the test pattern. Those skilled in the art understand the reduction in scale between the reticle, and more specifically the patterned reticle features, and the resist layer, and more specifically the patterned resist features. Often the reduction is four fold (4×), however, reductions ranging from two fold (2×) to six fold (6×), as well as others, exist. After step 450, and in a step 460, the resist layer is conventionally developed. As those skilled in the art are well aware, the developing causes the areas not exposed, or vice-versa, to be removed. What results, is a pattern on the resist layer similar to the pattern in the reticle. This resist pattern, therefore, includes the test pattern. In an important step 470, the patterned resist layer is visually inspected using an optical inspection tool. The optical inspection tool, in one embodiment, is an optical microscope. By visually inspecting the patterned resist layer, and particularly the test pattern that is located in at least two step-areas, one can look for the light and dark regions. If the variance is big enough, the light and dark regions could be observed by microscope inspection on the reticle itself. If the light and dark regions are not observed, then it may be assumed that there is substantially no variance across the test feature. Accordingly, if substantially no variance across the test feature exists, it can be assumed that there is substantially no variance across the patterned features located in the same step-areas as the test feature. If, however, light and dark regions are observed, then one can assume that there is a variance across the test feature. Accordingly, it can be assumed that a similar variance would exist across the patterned features located in the same step-areas as the test feature producing the light and dark regions. At this point, the manufacturing process would most likely stop for a moment as the severity of the variance is attained. If it was deemed that the severity was unacceptable, the process would quit and a solution would be decided upon. If, however, it was deemed that the severity was acceptable, the process would resume. Therefore, in a step 480, the resist layer would be used to form a semiconductor feature or features. In a subsequent step not depicted, the feature or features could be electrically contacted, thereby providing an operational integrated circuit. After completing step 480, the process could stop in a step 490. The present invention, therefore, provides a number of benefits not currently available in the art. For example, the present invention provides a novel method for monitoring CD variations in a reticle not previously available in the prior art. The method, and the reticle used to implement the method, have been proven effective. Additionally, the test pattern is easy to implement into many company's existing production reticles, and often at no additional cost. Further, variations in the CDs are generally easy to inspect with a focus exposure matrix test. Although the present invention has been described in detail, those skilled in the art should understand that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the invention in its broadest form.
summary
description
This application is a National Stage of International patent application PCT/EP2011/067308, filed on Oct. 4, 2011, which claims priority to foreign French patent application No. FR 1058149, filed Oct. 7, 2010, the disclosure of which are incorporated by reference in their entirety. The field of the invention is that of fourth-generation fast neutron reactors, designed to operate with fuels based on uranium and plutonium, and studied in order to meet the increasing worldwide demand for energy, in the overall context of global warming, with increased objectives in terms of performances and safety. Several reactor designs are being studied and proposed, with different technological solutions for the [fuels/cladding/coolant] systems. The SFR (Sodium-cooled Fast Reactor) concept, cooled with liquid sodium (operating temperature of the coolant typically 500° C.), is the subject of an international consensus. Two opinions are envisaged for the nuclear fuel: oxide fuel (U,Pu)O2, by way of reference, and metal fuel, for example UPuZr (for example U-20Pu (20% of Pu)-10Zr (10% of Zr)), as an alternative. Imagined are, on the one hand, high-power SFR/oxide cores and small- or medium-power SFR/metal alternative cores corresponding to local and remote energy demands. For SFR/metal alternative cores, “battery” reactor designs are, for example, proposed, without refueling during the life of the reactor (lifetime fuelling), with heightened intrinsic safety requirements of the fuel. Unlike the designs of current power-generating nuclear reactors (pressurized water reactors or boiling water reactors, with an oxide fuel) for which the fuel pin is made from metal cladding made of a zirconium-based alloy (zircaloy), the metal cladding of the fuels for sodium-cooled reactors is made of Fe—Cr or Fe—Cr—Ni stainless base for austentic or ferritic-martensitic alloys that are more or less sophisticated or improved (examples: grades EM10, T91, HT9, D9, ODS, or, more simply, 316L). The metal fuel has particular features that pose at least three technological problems: its swelling under neutron flux in the reactor, which creates a strong interaction that is damaging to the cladding (that is also encountered between the oxide fuels (fuel (or pellet)-cladding interaction) and zircaloy claddings, in current pressurized water reactors; a “classic” and recurrent problem). For metal fuel forms, the swelling today appears to be able to be controlled with a more resistant cladding, by an ad hoc metal fuel design and the choice of a lower density for the metal actinide alloy, so that the gaseous fission products can escape en masse into the plenum (free space left in the pin), whilst the generated and original porosity makes it possible to accommodate the deformation: its low melting point (of the order of 1000° C.) making it a priori weaker during reactivity excursions and, more generally, temperature excursions; the existence of eutectics (formation of a mixture of given composition during the interaction between two species or elements) between Fe, constituent element of the cladding, and U and Pu from the fuel material, with very low melting points (725° C. for the U—Fe eutectic [Journal of Alloys and Compounds 271-273 (1998) pp. 636-640], 420° C. for the Pu—Fe eutectic [Journal of Nuclear Materials 383, Vol. 1-2 (2008), pp. 112-118], of the order of 600° C. when U and Pu are alloyed with Zr or depending on the grade of the cladding), which may greatly degrade the performances of the first barrier by thinning and the margins with respect to safety. This eutectic also and finally limits the operating temperatures that it is possible to achieve, reducing the energy efficiency that could theoretically be obtained according to the laws of thermodynamics and the Carnot cycle. In the procedure for forming the eutectic, Zr has a very particular role since it makes it possible to increase the resistance to melting, and thereby makes it possible to increase the margins. Unfortunately, under neutron flux in the reactor, the Zr of the fuel migrates toward the center of the pin which is concomitantly depleted in this element in its peripheral portion. Instead of helium for filling the gaps and spaces between the fuel and the cladding (He-bonding), it is possible to use sodium (Na-bonding) which greatly optimizes the thermodynamics of the system. This makes it possible to reduce the temperature gradient between the center of the fuel and the cladding and to obtain large safety margins, with respect to the melting, but unfortunately hampers the procedure for the release of gaseous fission products, and complicates the management of the irradiated fuel with respect to reprocessing. Na-bonding does not eliminate the risks linked to the formation of eutectics. One of the major problems for the use of metal fuel is therefore the formation of this eutectic and, more generally, its low melting point. To help to resolve this problem, three major technological principles are generally proposed, studied or implemented, beyond the filling of the rod with sodium: the modification of the composition of the fuel metal alloy; the choice of stainless grades that represent the best compromise between mechanical strength, resistance to irradiation and increase of the eutectic temperature; the use of metal liners, which have been the subject of many patents, some of which are commented on below. Indeed, there are many patents on nuclear fuel composite claddings comprising an inner liner which is usually of metallic nature, made of Zr in particular, with a diffusion barrier function, but also sometimes a specific role relating to the thermodynamics, to the internal corrosion resistance, or as a support for consumable neutron poisons, etc. Apart from a few exceptions, these patents are for the most part oriented toward use for the oxide fuel and the power-generating reactors that operate with pressurized water as coolant. Regarding the use of metal fuel, notably for the application to sodium-cooled fast reactors, patent EP 0 595 571 B1 (1997) describes the use of a composite coaxial cladding, [(outer) stainless alloy/(inner)zirconium alloy], with the inner cladding of elliptical shape, in order to optimize the thermodynamics and to minimize the occurrence of direct contact between the fuel metal alloy and the stainless cladding. The spaces created within the composite coaxial cladding may be filled with He and Na separately for optimization of the thermodynamics. It is a patent presented as an improvement of U.S. Pat. No. 4,971,753 from 1990 (EP A-0 409 405) where the concept of the composite coaxial cladding with a Zr liner is already presented. These two patents are explicitly oriented toward the use of metal fuels. These patents refer to U.S. Pat. No. 4,894,203 (1990) where the Zr liner is modified in order to improve the internal corrosion resistance. U.S. Pat. No. 5,227,129 (1993) itself mentions the use of zirconium nitride as a liner and also a physical method for applying it. U.S. Pat. No. 5,412,701 (1995) presents the possibility of using alkali metal silicates on a zirconium base, as a support for neutron poisons. U.S. Pat. No. 5,301,218 describes a particular technology for a liner in the shape of a rolled metal foil (several foils, like a roll of paper) and that is closed around the cylindrical fuel and welded on the outside by a particular technology (“tack welding of an inner rolled metal fuel”), everything being within the cladding. The winding turns may be deformed and become closer under the effect of a pressure or mechanical load which would be generated by the fuel. Most of these patents directly refer, in terms of improvement, to two patents from 1996, set out in which are the technological principles of the composite coaxial cladding integrating a metallic diffusion barrier. U.S. Pat. No. 3,230,150 (1966) for the nuclear fuel UO2, has a cladding formed of an inner liner (tube) made of Cu, and from an outer part made of stainless steel, which fit together (“multi-tubular cladding”). U.S. Pat. No. 3,291,700 (1966), finally, for the metal fuel of U type or alloys thereof, notably UAlx alloys, describes a method for limiting or suppressing the interactions with the metal, notably Al, cladding. The technique presented consists in winding around the fuel, irrespective of its physical form (plate or cylinder) or its chemical nature (metallic or ceramic), a metal bandage chosen in order to completely wrap it before cladding it. The method of manufacture consists in using technological systems of simple design, made of bobbins or rollers of wrapping sheets, which distribute said material in order to wrap the fuel using given rotational movements. For high-temperature applications (for example fourth-generation gas-cooled fast reactors [GFRs]) of these designs for advanced nuclear fuel claddings comprising linings, or more generally composite material solutions, the ceramic options are preferred, due to their more refractory character which are therefore better performing than the metal options. Patent WO 2007/017503 (2007) describes, for example, a composite honeycombed plate design, made of monolithic and fibrous SiC, and metal liners based on refractory alloys, for nuclear fuels, for example for U, Pu carbide typically, which can operate in GFRs and that operate at very high temperature, with a very restrictive specification. For high-temperature applications, patent application WO 2006/076039 A2 (EP 1 774 534) from 2006 is also known for an SiC—SiC composite multilayer tube that is supposed to meet the specifications of fuel elements for fourth-generation lead/lithium-cooled or gas-cooled reactors, and also for the applications of fossil-fuelled power stations. Finally, the SiC is used in an original manner as a sponge material in U.S. Pat. No. 4,710,343 (1987), for cesium notably, for a fuel pin design for a fast reactor comprising, in the upper part, silicon carbide SiC in a large extended surface area form for trapping cesium. It emerges, in summary, that for all of the solutions proposed in the known art for the application to metal fuel for SFR reactors, a certain number of problems remain and notably: under nominal conditions at an operating temperature of around 500° C., physico-chemical interactions between the fuel and the cladding and more particularly of the eutectic between the UPuZr metal fuel and the stainless cladding based on Fe—Cr—Ni or Fe—Cr; under “incidental” conditions, i.e. at a temperature above the melting point of the fuel which may typically be of the order of 1000° C., the possibility of maintaining a large volume fraction in the solid state within the cladding, with good thermal properties by reducing the local neutron reactivitiy by decreasing the fissile atom concentration while promoting the maintenance of the performances of the cladding and its geometry. In most of the patents cited, beyond the technical effects, the questions of ease of manufacture, robustness, and cost are faced immediately for the designs of fuel pins or elements comprising liners. For the “all ceramic” or “ceramic-metal” options in particular, the question of the assembly (manufacture, more broadly), and of the thermomechanical qualification, pose basic problems. For the “all metal” options, if the manufacturing issues are surmountable, the operational side and the amounts of metallic material to be used also pose a basic question regarding the availability and the recycling of the raw material, and therefore regarding the cost, and also regarding the methods for managing and handling fuel elements which are heavier. The applicant starts from the principle that a significant decoupling of the functions on a system that is complex and as constrained as a nuclear fuel element under operating conditions is potentially a source of harmful technical effects, which are all the more unpredictable the larger the number of elements forming it, their interactions or couplings, and proposes in the present invention a solution that is simple in its principle and is based on a very limited number of elements in order to give rise to the chosen technical effects. Compared to solving the stated problems relating to the behavior of the UPuZr metal fuel for SFRs, the applicant has identified no prior art that simultaneously addresses and proposes a solution of interfacial matrix liner type that is based on a system that is simple to manufacture and to use and the operation of which is on the whole reliably predictable, on the basis of physical, mechanical or chemical properties that are furthermore much studied by and well known to a person skilled in the art. Such properties arise gradually, depending on the field of perturbations observed for the metal fuel for SFRs under the situations concerned by the present invention (temperature rise and thermal expansion, power transient without melting of the fuel, reactive or unreactive interdiffusion (with the cladding), eutectic (U—Pu—Fe) around 600° C. (case of a transient without melting), melting of the fuel around 1000° C. (accidental situation). Within this context, one subject of the present invention is a metal nuclear fuel pin that makes it possible to overcome the aforementioned problems. More specifically, one subject of the present invention is a nuclear fuel pin comprising a linear element of metal nuclear fuel material based on uranium and/or plutonium and a cladding comprising iron and chromium or an alloy comprising at least these two elements, characterized in that it also comprises a main shell positioned around the linear nuclear fuel element, said shell comprising yarns or fibers made of SiC. The cladding advantageously also comprises a hollow portion (reservoir referred to as a plenum) for discharging the fission gases and a reservoir separating said hollow portion from said linear metal nuclear fuel element, enabling the correct operation of a power-generating fuel rod or pin. According to one variant of the invention, said reservoir referred to as a plenum may receive the molten fuel and comprises an annulus made of a material which is resistant to the corrosion of molten actinides. According to one variant of the invention, said annulus is made of tantalum (Ta). According to one variant of the invention, the SiC constituting the fibers is of cubic β allotropic variety. According to one variant of the invention, the shell also comprises free silicon fillers. According to one variant of the invention, said main shell comprises strips comprising SiC yarns or fibers. According to one variant of the invention, the main shell comprises a succession of thicknesses of SiC fibers wound around the linear metal fuel material element. According to one variant of the invention, the pin also comprises a primary shell of silica or quartz fibers inserted between the linear fuel element and the main shell. According to one variant of the invention, the primary shell comprises a succession of thicknesses of silica or quartz fibers wound around the linear metal fuel material element. Another subject of the invention is a process for manufacturing a metal nuclear fuel pin according to the invention, characterized in that it comprises the production of a main shell around the linear metal nuclear fuel element by weaving or braiding SiC fibers. According to one variant of the invention, the process comprises the production of a main shell with strips of SiC fibers wound around the linear metal nuclear fuel element. According to one variant of the invention, the process also comprises a prior step of surface oxidation of the linear metal nuclear fuel element. According to one variant of the invention, the process also comprises a prior step of coating the linear element with a binder comprising a soft brazing powder based on a conductive material. According to one variant of the invention, the process also comprises the production of a primary shell between the linear metal nuclear fuel element and the main shell, said primary shell comprising silica or quartz fibers. The invention will be better understood and other advantages will appear on reading the description which follows, given non-limitingly and by means of the appended figures, among which: FIG. 1 schematically shows the fuel pin according to the invention; FIG. 2 illustrates a first example of a process for manufacturing the main shell included in a nuclear fuel pin according to the invention; FIG. 3 illustrates a second example of a process for manufacturing the main shell included in a nuclear fuel pin according to the invention; FIG. 4 illustrates the affinities for oxygen of silicon, uranium, zirconium; FIG. 5 illustrates the free energies (stability) of various compounds as a function of the temperature; FIG. 6 illustrates the behavior of the nuclear fuel pin of the invention under “incidental” conditions; FIGS. 7a and 7b illustrate the formation of interaction layers of MxCySiz materials with MuSivOw oxides during “incidental” melting of metal nuclear fuel. Generally, and according to the present invention, the pin comprises, as illustrated in FIG. 1, a cladding Go made of stainless material based on Fe—Cr—Ni or Fe—Cr, a linear metal nuclear fuel element CNu that may be present in the form of a bar or billet of cylindrical shape having a small diameter, typically 5 to 10 mm, it being possible for the fuel material to be of UPuZr or UPuX type with X possibly being, for example, molybdenum. The pin thus comprises a first portion comprising the fissile column of material CNu and a second portion constituted by the plenum PLe for the gases. Advantageously, an annulus An or liner of tantalum Ta is provided constituting a reservoir that is resistant to the corrosion of molten actinides, under operational conditions. Advantageously, provision may be made to carry out a surface oxidation step, between 200 and 250° C., in air and for a few hours, in order to obtain a layer of a few micrometers of cubic MO2 type that adheres to its substrate, M being the constituent metal alloy of the metal nuclear fuel. For the manufacture of SiC fibers or yarns, various production processes may be envisaged and notably processes comprising steps of fiber weaving (simple and proven principle in the aeronautic field notably). Two exemplary embodiments of the main shell based on SiC fibers will be described below. First Exemplary Embodiment of a Pin According to the Invention: The SiC matrix that is in the form of yarns or fibers is woven or braided along the billet using a technical device well known to a person skilled in the art. The first turns, in contact with the billet, are advantageously produced with pre-oxidized fibers or with a weaving of quartz fibers or silica SiO2 fibers. It is also possible to use borosilicate glass if it is desired to have a reserve of neutron poison, determined by the boron in the glass. Indeed, for example, boron 10 (isotope 10 of boron) captures neutrons (it is a poison for the fission reactions), in order to be converted to boron 11 which gives He+Li. The isotope 10B (natural boron is a mixture of the isotopes 10B (19.8 mol %)+11B (80.2 mol %)), has, compared to other absorbents, an effectiveness over a very broad spectrum, from fast neutrons to thermal neutrons. The capture reaction, of (n, α) type, is given below: 10B+1n=>7Li+4He+2.6 MeV. The thickness determined by the number of turns and the width of the overlap of each of the turns is adjustable data. The turns are loose (not tightened). A brazing powder (typically based on Ni to then improve the conductivity), with its liquid binder (which evaporates easily by drawing under vacuum), may advantageously be painted onto the billet before the operation for covering by weaving or braiding. The billet that is covered and optionally painted with braze is gradually introduced into the cladding, so that the cover thus fixed does not become slack. A schematic diagram illustrated in FIG. 2 demonstrates this example of a process for producing the main shell. A cladding Go is intended to receive a billet of nuclear fuel CNu, around which yarns or fibers FSiC of SIC are wound and, not represented, quartz fibers or SiO2 fibers have been wound beforehand as a first thickness, after having been anchored in order to initiate the start of the weaving or braiding. In order to produce this main shell with the fibers FSiC, the fuel billet is rotated and a multilayer braiding machine comprising a flywheel and supports for braiding bobbins BoFSiC is also rotatably mounted. Second Exemplary Embodiment of a Pin According to the Invention The SiC matrix in the form of woven fabric is draped along the billet using a pre-woven and not very dense strip based on standard SiC fibers. It is anchored for the covering operation at an anchorage point PA. The first layers, in contact with the billet, are advantageously produced with pre-oxidized strips or fibers or with a weaving of quartz fibers or silica SiO2 fibers or borosilicate glass fibers if it is desired to have a reservoir of neutron poison (determined by the boron in the glass). The first layers in contact with the preoxidized metal billet are constituted of SiO2. The thickness (number of layers or turns) and the width of overlap of each of the turns is adjustable data. The layers are loose (not tightened). It is optionally possible to fill the inter-turn space with pulverulent Si or very porous SiC foam, poured as a function of and on demand into the closure space of the strip undergoing the covering operation, during rotation. A brazing powder (typically based on Ni to then improve the conductivity), with its liquid binder (which evaporates easily by drawing under vacuum), may advantageously be painted onto the billet before the operation for covering by bondaging. The billet that is covered and optionally painted with braze is gradually introduced into the cladding, so that the cover thus fixed does not become slack. FIG. 3 illustrates the assembly of strips BFSiC around the billet of nuclear fuel CNu, and also the zones Zinter for filling the inter-turn space with Si or Si foam for example and the inter-turn overlap zones ZRe, the horizontal arrow indicating the direction for introducing the thus wound billet into its main shell within the cladding Go. When the covering operation is finished, the system is adjusted to the correct length (by simple radial cutting) and optionally placed under vacuum, in order to evacuate the binder of the soft braze optionally affixed, before the closure by welding, the remainder of the operations for manufacturing the pin being well known to a person skilled in the art. Behavioral Validations of the Pin of the Present Invention under Nominal Operation at Static Temperatures of 500° C. In this temperature range, the applicant proposes to use SiC for its good thermal and physical properties, for its excellent behavior under irradiation, notably in these temperature ranges, around 500° C., where the swelling is typically of the order of 0.5 to 1%, at a given integrated dose. The solid-state interactions, between the metal fuel and the SiC (as soon as they are in contact), are not zero but are kinetically postponed or delayed by the presence of SiO2 (quartz or silica) on the weaving, in contact with the MO2 layer formed by oxidation pretreatment on the metal fuel. Thermodynamically, it is well known that the affinity of silicon Si for oxygen is less than that of U, or of Zr. Thus, the natural chemical evolution of the system is the displacement of oxygen from the quartz or the silica SiO2 toward the actinide alloy in order to favor the maintenance of its oxidation by means of the formation of an undefined layer MuSivOw as illustrated in FIG. 4 showing the affinity of certain compounds for oxygen. The interaction between the woven cover and the actinide alloy may then form a complex interaction layer MxCySiz, undefined a priori, but for which the growth (diffusion) kinetics are limited by the temperature (typically some 10 to 100 μm). It should be noted that there are no notable interactions, in the solid state, between SiC and the stainless alloys, in particular the austenitic alloys of 316L type such as the cladding, up to a temperature of more than 1200° C. These alloys may furthermore be filled with SiC during their processing in order to mechanically reinforce them as described in the article: Journal of Materials Science Letters 19 (2000), Vol. 7, pp 613-615; Materials Science and Engineering: A, 335 (2002), Vol. 1-2, pp 1-5. During operation, the mechanical properties of the SiC weaving (to start with loose at the implementation), make it possible, during the temperature rise, to contain the expansion of the actinide alloy (the expansion coefficient of which is typically three times greater than that of SiC), then to force the metal fuel to be plasticized for the most part in the longitudinal direction. When the fuel/cladding gap is taken up, this SiC makes it possible to ensure good removal of the heat toward the cladding and the coolant, via conductivity (fuel-woven SiC-cladding contact), with, optionally and advantageously, a soft braze of Ni, without overloading the Fe—Cr or Fe—Cr—Ni cladding with stresses (with the suitable sizing). The porous nature of this weaving of wound turns makes it possible to discharge the fission gases toward the plenum. Therefore under nominal conditions the interactions that should always be expected or feared at temperature between a metal nuclear fuel under flux and its cladding are here reduced and spread out on principle while by design, the fuel-cladding eutectic cannot be formed (no direct contact). Behavioral Validations of the Pin of the Present Invention under “Incidental” Operation at Temperatures above the Melting Point of the Metal Fuel Alloy Used At higher temperature, above the melting point of the UPuZr metal fuel, starting from 1000° C. typically, it is the high reactivity and the low corrosion resistance of the SiC by the molten actinides which is interesting. Unlike most other uses, applications or inventions using SiC, the applicant proposes to use SiC as a material of low chemical inertness, used as a consumable, in order to react with the alloy of molten actinides, and to give rise to refractory carbides and silicides that are less dense than the UPuZr metal alloy that gave rise to them. The SiC may not thermodynamically and kinetically withstand the corrosion of the alloys of molten actinides, and in particular of an alloy such as UPuZr, since the elements Pu, U and Zr have a very strong affinity for carbon, in order to form numerous carbides that are thermodynamically more stable than SiC, and for the Si, in order to form numerous silicides, and mixed carbosilicides MxCySiz; FIG. 5 illustrates, in this regard, the diagram of the relative stability of carbides, silicides or carbodisilicides, showing the free enthalpy formation ΔfG (a.u.) for M=U, Pu, Zr. Thus the formation of these mixed carbosilicides MxCySiz, illustrated in FIG. 6, follows, these mixed carbosilicides constituting a wall between the cladding and the unmelted nuclear fuel. These less dense refractory compounds reduce the local neutron reactivity since they are less dense, and therefore see their temperature decrease (versus the neutron reaction), since they heat less. From a simple thermodynamic viewpoint, it is possible to understand on the basis of the physical chemistry of the molten state/SiC interfaces [Survey on wetting of SiC by molten metals, G. W. Liu, M. L. Muolo, F. Valenza, A. Passerone, Ceramics International 36, 4 (May 2010) 1177-1188; Acta metall, mater. Vol. 43, No. 3, pp. 907-912, 1995] and also on reading U—Si binary systems [Journal of Nuclear Materials 389 (2009) 101-107], U—C binary systems [Journal of Nuclear Materials 288 (2001) 100-129], Pu—Si binary systems [Journal of Nuclear Materials, Volume 15, Issue 1, 1965, pages 23-32], Pu—C binary systems [Computer Coupling of Phase Diagrams and Thermochemistry 32 (2008) 371-377], that the thermodynamic activity of carbon or silicon in the molten PU or U increases very rapidly, and that the precipitation of the solids MSix (U3Si2, at 1000° C. typically), MCx or even MCxSiy is imposed thermodynamically relative to the binary compounds: Fe—Si, U—Si, Pu—Si, Pu—C, U—C, Zr—Si. Therefore, the liquid metal will rapidly form a very complex interaction layer MSixCy made of numerous carbides and silicides of these various elements, which interaction layer is known to be somewhat refractory (the melting points of the compounds are for the most part between 1000 and 1600° C. typically like plutonium silicides [Suppl. to IEEE Transactions on Aerospace, June 1965, Plutonium Compounds for Space Power Applications] and an effective conductor of heat, like most carbides and silicides as described notably in the article: Journal of Nuclear Materials, Volume 168, Issues 1-2, October-November 1989, pages 137-143). Suppl. to IEEE Transactions on Aerospace, June 1965, Plutonium Compounds for Space Power Applications. The MSixCy compounds formed are less dense than the fuel metal (between 4.9 g.cm−3 for ZrSi2, between 7-8 and 10 g.cm−3 for silicides of U and of Pu) and, on average, less dense than the average of the densities of the volumes of SiC (advantageously filled with Si) and of molten actinide that gave rise to these compounds. Due to the dedensification, the molten metal, likened to a liquid tube that has not yet reacted is mechanically discharged upwards as indicated by the arrow in FIG. 6, carrying along possible less dense MSixCy products or floating by de facto decreasing the density of fissile atoms locally in the fissile column (in situ creation of a composite nuclear fuel, for which the density of heavy atoms is lower) by the effect of radial compression and closure of said liquid tube, during the progression of the interaction. The expansion vessel of the molten actinide alloy (in the plenum) is protected from the corrosive nature of the molten fuel, and notably of plutonium, by the tantalum Ta coating of materials conventionally used for this type of problem (see for example patent FR 2 752 234 from 1998 describing a stainless steel/Ta/stainless steel composite cradle developed by CEA/DAM in order to contain the liquid alloys of Pu—Ga). In principle, any chemical reaction producing compounds that are solid and less dense at the temperature considered, and that are not very dense, may enable an operation such as that described. FIGS. 7a and 7b thus schematically show, with transverse cross sections, the evolution of the metal nuclear fuel CNu/primary shell constituted of fibers FSiO2 of silica/main shell constituted of fibers FsiC of SiC/cladding Go interfaces, during the reaction for melting the nuclear fuel with the appearance of an additional interface of molten metal nuclear fuel that has reacted with the SiC in order to give rise to compounds MxCySiz and oxides MuSivOw. The nuclear fuel CNu is expanded and swollen, the constituent turns of the main shell made of FSiC being compressed against the cladding Go.
062857436
abstract
A soft X-ray source includes a mirror having a focal point, the mirror being one of parabolic and ellipsoidal, a nozzle delivering a target material to a plasma generation point substantially coincident with the focal point, and a laser source delivering a pulsed laser beam to the plasma generation point, wherein a plasma is generated at the plasma generation point upon irradiation by the pulsed laser beam, the plasma emitting soft X-rays that are formed into beams by the mirror, the beams being parallel if the mirror is parabolic and converging if the mirror is ellipsoidal.
summary
summary
041994035
abstract
An improved core shroud for a nuclear reactor employs cylindrical bands that surround a coolant boundary and reinforce it by means of support members that engage the coolant boundary and the bands.
description
The present invention relates to a scanning electron microscope (SEM) capable of imaging a desired evaluation point on a sample and automatically measuring a desired dimension of a circuit pattern formed at the evaluation point, and to a measuring method therefor. Specifically, the present invention relates to an SEM device provided with an automatic recipe creating function of obtaining an SEM image of the desired evaluation point and automatically determining a recipe making it possible to perform a desired measurement (e.g., a measurement of wiring width of the line pattern or a measurement of a gap between the line patterns) at the evaluation point based on the design data of the circuit pattern without using a real wafer, and to a measuring method therefor. In the recipe, there are designated an imaging method of the SEM image of the evaluation point, a position and a shape of a dimension measurement cursor for measuring the dimension in the desired circuit pattern after taking the SEM image, and a dimension measurement method. When forming a wiring pattern on a semiconductor wafer, there is adopted a method in which a coating material called resist is applied on the semiconductor wafer, an exposure mask (a reticle) for the wiring pattern is stacked on the resist, a visible light beam, an ultraviolet ray, or an electron beam is applied on the exposure mask, thereby exposing the resist to be developed, thus forming the wiring pattern with the resist on the semiconductor wafer, and then an etching treatment is executed on the semiconductor wafer using the wiring pattern, which is made of the resist, as a mask, thereby forming the wiring pattern. Since the wiring pattern made of the resist varies in the form of the pattern depending on the intensity and aperture of the visible light beam, the ultraviolet ray, of the electron beam applied to the wiring pattern, it is necessary to examine the facture of the pattern in order to form a highly accurate wiring pattern. In the examination described above, critical dimension scanning electron microscopes (CD-SEM) have been used widely in the past. The coordinate point, at which the SEM imaging is performed for evaluating the pattern shape, is called an evaluation point, and hereinafter abbreviated as EP. The EP is designated by the user in some cases, or provided by the coordinates of a hot spot (a critical point) on the semiconductor pattern to be examined in other cases. The coordinates of the hot spot can be estimated by an exposure simulation or the like. Various dimensional values such as the wiring width of the pattern are measured based on the SEM image, and the facture of the pattern is evaluated based on these dimensional values. The result of the evaluation is fed-back to a shape correction of the mask pattern and semiconductor manufacturing process conditions, thus a high yield is realized. In order to take an image of the EP with a small amount of imaging position misalignment and a high image quality, the following process is executed prior to the imaging of the EP. Firstly, some or all of adjustment points such as an addressing point (hereinafter referred to as AP), an automatic focus adjustment point (hereinafter referred to as AF), an automatic astigmatism adjustment point (hereinafter referred to as AST), or an automatic brightness/contrast adjustment point (hereinafter referred to as ABCC) are set if necessary. Then, addressing, an automatic focus adjustment, an automatic astigmatism adjustment, or an automatic brightness/contrast adjustment is executed at the respective adjustment points. The amount of imaging position misalignment in the addressing described above is corrected using an amount of matching difference as the amount of position misalignment of imaging. The amount of matching is obtained by matching an SEM image at the AP with known coordinates previously registered as a registered template and an SEM image (a real imaging template) observed in the actual imaging sequence with each other. The evaluation point (EP) and the adjustment points (AP, AF, AST, and ABCC) are collectively called imaging points. A position and imaging conditions of EP, and an imaging sequence and imaging conditions, an adjustment method, and the registered template of each of an imaging sequence for taking an image of the EP are managed as an imaging recipe, and the SEM executes imaging of the EP based on the imaging recipe. When the SEM image at the EP is obtained, a desired dimension of the semiconductor pattern at a measurement point (hereinafter referred to as MP) to be measured in the EP using the SEM image. Conventionally, the operator of the SEM manually create the recipe, and the creation of the recipe is an operation requiring energy and time. Further, since in order to register the determination of each of the adjustment points and the registered templates in the recipe, it is required to actually take an image of the wafer at low magnification, the creation of the recipe is a factor of lowering the operation rate of the SEM device. Further, as the pattern becomes miniaturized and complicated, the number of EP required to be evaluated increases explosively, and it is getting unrealistic to create the recipe manually from viewpoints of energy and creation time. Therefore, regarding the imaging recipe, there is disclosed, in JP-A-2002-328015, a semiconductor inspection system for determining the AP based on the design data of the circuit pattern of the semiconductor described in, for example, GDSII format, further clipping the data in the AP out of the design data, and registering the data in the AP to the imaging recipe as the registered template. In this dace, since there is no need for taking an image of a real wafer only for the purpose of determination of the AP and registration of the registered template, improvement of operation rate of the SEM can be achieved. Further, the system has a function of matching, when the SEM image (a real image template) at the AP has been obtained in the actual imaging sequence, the real image template and the registered template in the design data with each other, re-registering the SEM image corresponding to the position of the registered template of the design data to the imaging recipe as the registered template, and thereafter using the registered template of the SEM image thus re-registered in the addressing processing. Further, the system has a function of automatically detecting a characteristic part of the pattern from the design data, and registering the part as the AP. Further, JP-A-2007-250528 describes a method of creating the imaging recipe for observing the EP using CAD data. The document describes that some or all of the items including the number, coordinates, and dimensions/shapes of imaging points, an imaging sequence, a method of changing an imaging position, and imaging conditions necessary for the observation are automatically obtained from the CAD data. The document further describes that an operation of creating the image recipe is executed offline using the CAD data instead of the SEM image of a real wafer. In other words, in the related art, the specification and the characteristics of the measurement tool (SEM) side for realizing the measurement expected by the user at the EP has not been considered. Therefore, there have arisen many cases in which correction of the recipe by the operator is required after the recipe has been created. Further, in the related art, there has been made no consideration of sharing the recipe creation system and the information created or obtained by the system among a plurality of SEM devices, and therefore, recipe creation is required to be executed by every device. Further, there has been made no consideration of sharing the imaging/measurement data obtained from a plurality of devices. The present invention relates to an SEM device provided with an automatic creation function for the imaging/measurement recipe and a method therefor, and is in particular for providing a recipe creation method expected to solve the following problems arising in the automatic creation of the measurement recipe thereby reducing the correction of the recipe by the operator, and improving the accuracy of imaging or measurement compared to the related art. Specifically, according to the present invention, it becomes possible to create the recipe of the SEM in a waferless and offline (without using the SEM device) condition, and in an automatic manner by using the design data. Further, in the recipe creation procedure, it is arranged to make consideration not only of the viewpoint of simply taking an image of the EP designated by the user, but also of the specification and the characteristics of the measurement tool (SEM) side for realizing the measurement expected by the user at the EP. Further, it is arranged that the recipe creation system and the information created or obtained by the system are shared among a plurality of SEM devices. Specifically, in order to solve the problems described above, in the present invention, a method of measuring a dimension of a circuit pattern formed on a substrate using a scanning electron microscope, includes the steps of (a) inputting a position information of a circuit pattern having a dimension to be measured out of the circuit pattern formed on the substrate, and design information of the circuit pattern including the circuit pattern having the dimension to be measured, and formed on the substrate, (b) setting a measurement object area including an edge of the circuit pattern having the dimension to be measured using the position information of the circuit pattern having the dimension to be measured and the design information, and an imaging area and an imaging condition for imaging an area including the measurement object area thus set with a scanning electron microscope, (c) setting an imaging sequence for imaging the imaging area with the scanning electron microscope for measuring the dimension of the circuit pattern, (d) imaging the circuit pattern formed on the substrate with the scanning electron microscope based on the imaging condition and the imaging sequence, and (e) processing the image obtained by imaging to measure the dimension of the circuit pattern, wherein step (b) includes the steps of setting, as an area including a position at which the dimension of the circuit pattern is measured, an area including the edge of the circuit pattern in the vicinity of the position at which the dimension of the circuit pattern is measured, and setting in accordance with a direction of the edge of the circuit pattern included in the area, a direction of continuous scanning of an electron beam scanned in the scanning electron microscope. Further, in order to solve the problems described above, in the present invention, a method of measuring a dimension of a circuit pattern formed on a substrate using a scanning electron microscope, includes the steps of (a) inputting a position information of a circuit pattern having a dimension to be measured out of the circuit pattern formed on the substrate, and design information of the circuit pattern including the circuit pattern having the dimension to be measured, and formed on the substrate, (b) setting a measurement object area including an edge of the circuit pattern having the dimension to be measured using the position information of the circuit pattern having the dimension to be measured and the design information, and an imaging area and an imaging condition for imaging an area including the measurement object area thus set with a scanning electron microscope, (c) imaging the circuit pattern formed on the substrate with the scanning electron microscope based on the imaging condition, and (d) processing the image obtained by imaging to measure the dimension of the circuit pattern using information of the edge of the circuit pattern having the dimension to be measured included in the imaging area, wherein step (b) includes the steps of setting a type of the dimension to be measured using the position information of the circuit pattern having the dimension to be measured and the design information, and setting the measurement object area in accordance with the type of the dimension to be measured. Further, in order to solve the problems described above, in the present invention, an apparatus adapted to measure a dimension of a circuit pattern formed on a substrate using a scanning electron microscope, includes input means for inputting a position information of a circuit pattern having a dimension to be measured out of the circuit pattern formed on the substrate, and design information of the circuit pattern including the circuit pattern having the dimension to be measured, and formed on the substrate, imaging condition setting means including a measurement object area setting section adapted to set a measurement object area including an edge of the circuit pattern having the dimension to be measured using the position information of the circuit pattern having the dimension to be measured and the design information, and an area/condition setting section adapted to set an imaging area and an imaging condition for imaging an area including the measurement object area thus set by the measurement object area setting section with a scanning electron microscope, imaging sequence setting means for setting an imaging sequence for imaging the imaging area, which is set by the imaging condition setting means for measuring the dimension of the circuit pattern, with the scanning electron microscope, scanning electron microscope means for imaging the circuit pattern formed on the substrate based on the imaging condition set by the imaging condition setting means and the imaging sequence set by the imaging sequence setting means, and image processing means for processing the image obtained by imaging with the scanning electron microscope means to measure the dimension of the circuit pattern, wherein the measurement object area setting section of the imaging condition setting means sets, as an area including a position at which the dimension of the circuit pattern is measured, an area including the edge of the circuit pattern in the vicinity of the position at which the dimension of the circuit pattern is measured, and the imaging condition means further includes a scanning direction setting section adapted to set a direction of continuous scanning of an electron beam scanned in the scanning electron microscope in accordance with a direction of the edge of the circuit pattern included in the area set by the measurement object area setting section. Further, in order to solve the problems described above, in the present invention, an apparatus adapted to measure a dimension of a circuit pattern formed on a substrate using a scanning electron microscope, includes input means for inputting a position information of a circuit pattern having a dimension to be measured out of the circuit pattern formed on the substrate, and design information of the circuit pattern including the circuit pattern having the dimension to be measured, and formed on the substrate, imaging condition setting means including a measurement object area setting section adapted to set a measurement object area including an edge of the circuit pattern having the dimension to be measured using the position information of the circuit pattern having the dimension to be measured and the design information input by the input means, and an area/condition setting section adapted to set an imaging area and an imaging condition for imaging an area including the measurement object area thus set by the measurement object area setting section with a scanning electron microscope, scanning electron microscope means for imaging the circuit pattern formed on the substrate, based on the imaging condition set by the imaging condition setting means, and image processing means for processing the image obtained by imaging with the scanning electron microscope means to measure the dimension of the circuit pattern using information of the edge of the circuit pattern having the dimension to be measured included in the imaging area, wherein the imaging condition setting means further includes a dimension measurement type setting section adapted to set a type of the dimension to be measured using position information of the circuit pattern having the dimension to be measured and the design information input by the input means, and the imaging condition setting means sets the area including the edge of the circuit pattern as the measurement object area in accordance with the type of the dimension to be measured set by the dimension measurement type setting section in the measurement object area setting section. In the present invention, when the SEM image at the EP is obtained, a desired dimension of the semiconductor pattern at a measurement point (hereinafter referred to as MP) to be measured in the EP using the SEM image. As the desired dimension, a line width of the line pattern, an amount of gap between the line patterns, and so on can be cited, and hereinafter such variations of measurement in the MPs are called dimension measurement types. In some cases, a plurality of MPs exists in the EP. Then, an example of a measurement method will be explained exemplifying the measurement of a line width (a distance between the right and left edges of a line) of a line pattern as the dimension measurement type. In order to measure the line width correctly, it is required to accurately and stably measure the positions of the right and left edges of the line. Therefore, there is a method in which an area with a predetermined dimension including the edge is set on each of the right and the left edges, and a cumulative profile less subject to the image noise or the line edge roughness is obtained by accumulating the SEM signal in the area in the line direction, and the edge position is detected using the profile. The measurement object area (the area on the SEM image referred to by obtaining the measured value) with a predetermined dimension including the edge is designated by a box called a dimension measurement cursor. The position and the shape of the dimension measurement cursor, a dimension measurement method (a dimension measurement algorithm and a dimension measurement parameter) are managed as a measurement recipe, and the SEM performs the measurement at the EP based on the measurement recipe. In the present specification, the terms an imaging recipe and a measurement recipe are used along the definitions described above. It should be noted that the definitions of the imaging recipe and the measurement recipe are nothing more than an example, the setting items designated by the respective recipes can be managed in arbitrary combinations. Therefore, in the case in which the imaging recipe and the measurement recipe are not particularly discriminated, both recipes are collectively called simply a recipe or an imaging/measurement recipe. According to the present invention, it becomes possible for everyone to automatically and quickly create the highly accurate recipe in the waferless condition and without an extraordinary knowledge about the SEM. The advantages of the present invention can be summarized as the following items (1) through (3). (1) By using the design data, it becomes possible to automatically create the recipe of the SEM in a waferless, offline (without using the SEM device) condition, which leads to reduction of burden of the operator and improvement of the operation rate of the SEM device. Further, the automation of the operation allows the recipe creation independent of difference in skill between the operators. (2) In the recipe creation procedure according to the present invention, since the specification and characteristic of the measurement tool (SEM) for realizing the measurement intended by the user at the EP are also taken into consideration, in addition to the viewpoint of simply imaging the EPs designated by the user, it can be expected to reduce the frequency of the case in which the recipe correction by the operator becomes necessary after the recipe has once been created, and to improve the strictness of the imaging or the measurement compared to the related art. (3) By sharing the recipe creation system and the information created or obtained by the system among a plurality of SEM devices, it can be eliminated to execute the recipe creation by every device. Further, since the result data including successful cases and failed cases in the imaging/measurement obtained from a plurality of devices can be shared, it is possible to collect a lot of result data quickly, and if a problem exists in the recipe creation rule, for example, a measure against the problem can quickly be taken based on the result data. These and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings. The present invention relates to an SEM device provided with a function of automatically creating the recipe with the following means, and executing automatic imaging/measurement using the recipe, and a method therefor. (1) According to a feature of the present invention, coordinate data of EPs and design data of circuit patterns including the EPs are used as an input, creation of the dimension measurement cursor for measuring the patterns existing in the EPs and selection or setting of the dimension measurement method are performed automatically based on the EP coordinate data and the design data. The dimension measurement cursor and the dimension measurement method are stored as a recipe. By performing the processing based on the resign data, there is no need for taking an SEM image when creating the recipe, and therefore, the operation can be carried out online, which leads to an improvement of the operation rate of the apparatus. As the coordinates of the EPs, there are input the coordinates of hot spots (critical points) detected based on the result of, for example, an exposure simulation executed by an EDA tool. Alternatively, in some cases, the coordinates of the EPs are input on a judgment of the user itself (taking the information of the EDA tool into consideration, if necessary). In the creation of the dimension measurement cursor, the position and the shape of the dimension measurement cursor are determined on the design data (the dimension measurement cursor has the coordinates linking with the design data). Since the positional relationship between the design data and the SEM image can be obtained by actually taking the SEM image of the corresponding EP and matching the design data and the SEM image with each other, and the positional relationship between the dimension measurement cursor and the SEM image can also be obtained at the same time, the dimension measurement cursor can automatically be disposed on the SEM image. Further, the selection or setting of the dimension measurement method specifically denotes the selection or setting of a dimension measurement algorithm or a dimension measurement parameter. The selection or setting described above is executed taking the information such as a dimension measurement type, or a shape or a direction of a pattern contour of the pattern to be measured into consideration if necessary. (2) In the item (1) described above, in order to automatically create the dimension measurement cursor, it is necessary to know the coordinates of the measurement points (MP) to be measured in the EPs. Although there are some cases in which the EP coordinates (the center coordinates of the EP area) match with the MP coordinates, there are also the cases in which they do not match with each other, or the cases in which two or more MPs exist in the EP. Further, even if the MP coordinates are provided by the input from the user, there is a possibility that the coordinate values include an error. Therefore, according to another feature of the present invention, the MP coordinates are estimated inside the computer based on the coordinate data of the EPs and the design data of the circuit pattern including the EPs, and the dimension measurement cursor is created based on the MP coordinates thus estimated. (3) In the item (1) described above, in order to automatically create the dimension measurement cursor, it is necessary to know the dimension measurement type of the MP in the EPs. In other words, the dimension measurement cursor can hardly be set without understanding what deformation of the pattern possibly occurs at the MP, and what dimensional value needs to be measured/controlled with respect to the deformation. Further, it is not easy for the user to manually designate all of such dimension measurement types. Therefore, according to another feature of the present invention, the dimension measurement types are estimated inside the computer based on the coordinate data of the EPs and the design data of the circuit pattern including the EPs, and the dimension measurement cursor is created based on the dimension measurement types thus estimated. Here, the dimension measurement types denote the variations of measurement at the MP, and as specific examples of the dimension measurement types, there are cited measurement of the line width of the line pattern, measurement of the gap between the line patterns, measurement of the amount of recession of the line end section, measurement of the diameter of the contact hole, measurement of the optical proximity correction (OPC) shape, and so on. Further, it is possible to include the information of a region to be measured such as the regions in the wiring area distance of which is measured in the dimension measurement type besides the category such as measurement of the line width. Further, it is also possible to include the information of a measurement direction such as a direction an amount of recession in which is measured in the measurement of “an amount of recession” in the dimension measurement type. (4) According to another feature of the present invention, in the item (3) described above, a candidate (hereinafter, referred to as a candidate defect) of a possible defect in the EPs is provided, the dimension measurement type is estimated based on the information of the candidate defect, and the dimension measurement cursor is created based on the dimension measurement type thus estimated. The candidate defect denotes a defect mode in which the patterns can be linked with each other, or the pattern can be broken, for example. It is possible to input the candidate defect with the highest possibility of occurrence referring to the analysis result by, for example, EDA tool, or to input the candidate defect the user wants particularly to avoid. It is possible to input two or more candidate defects. How the SEM, the measurement tool, measures the MP (i.e., the dimension measurement type) is determined based on the information of the candidate defect so as to reflect the intension of the user on managing the shape of the pattern at the EP. (5) According to another feature of the present invention, in the items (2) and (3) described above, in the estimation of the dimension measurement type/MP, at each of the pattern regions obtained from the circuit design pattern including the EPs, attribute information composed of at least one combination of a candidate of the dimension measurement type, a candidate of a possible defect, a circuit attribute, easiness of deformation, measurement dimension on the design data, and the distance from the center of the evaluation point is calculated, and the candidate of the dimension measurement type/MP at the EP is extracted along the estimation rule based on the attribute information. By taking a plurality of pieces of attribute information into consideration, the estimation of the dimension measurement type/MP with high accuracy becomes possible. (6) In the item (5), in some cases, the creation of the estimation rule becomes a difficult operation for the user. Further, the default estimation rule prepared by the system can be different from the criteria of the user. Therefore, according to another feature of the present invention, as a mechanism for easily executing the user customization, the estimation rule is optimized in response to at least one combination teaching of EP and the position of the MP at the EP, or a combination teaching of the EP and the dimension measurement type at the EP. (7) According to another feature of the present invention, in the item (1) described above, SEM imaging conditions at the EP are obtained based on the circuit design pattern including the EPs, and the conditions are stored in a recipe. According to another feature, the SEM imaging conditions include at least the scanning direction of the electron beam. Although the raster scan is common in the two-dimensional scanning of the electron beam for creating the SEM image, the obtained SEM image is different between, for example, the case in which the scanning of the two-dimensional area is performed by executing continuous electron beam scanning in the x direction a plurality of times while shifting the scanning position discretely in the y direction, and the case in which the scanning of the two-dimensional area is performed by executing continuous electron beam scanning in the y direction a plurality of times while shifting the scanning position discretely in the x direction. Therefore, it is effective to automatically setting the scanning method with which the SEM image advantageous to the measurement taking the measurement region and the measurement direction in the EP into consideration. The scanning method is not limited to the scanning in the direction parallel to the x or y direction, but can have variations such as scanning in an oblique direction or scanning in the direction varying in accordance with the position in the EP. (8) According to another feature of the present invention, in the item (1) described above, the imaging range or the coordinates of the EP is optimized based on the information of the dimension measurement cursor. The imaging range should be determined from the viewpoint that the measurement of the desired region in the MP is realized with appropriate measurement accuracy in addition to the viewpoint of the range the user wants to check. Therefore, it is necessary to set the imaging range so as to include at least the range of the dimension measurement cursor required from the viewpoint of measurement accuracy. Further, it is possible to change the coordinates of the EP provided by the user if necessary. The optimization of the EP coordinates includes principally three items, (a) changing the coordinates of the EP and the imaging range, (b) merging a plurality of view fields of the EPs to newly set a single EP, and (c) dividing one EP to set a plurality of EPs, and any combinations of these items. Specific examples of the contents of the processing and the advantages of the respective cases will be described below. In the case of (a), if the position of the MP (or the imaging area including the dimension measurement cursor necessary for measurement) is found, it becomes possible to determine whether or not the center of the EP is shifted from the MP, and if it is shifted, it becomes possible to take the image of the MP at roughly the center of the view field of the EP by matching the view field of the EP with the center of the MP. Further, for example, it is possible to adjust the imaging range so that the range of the dimension measurement cursor is sufficiently included in the view field of the EP with respect to the imaging misalignment. In the case of (b), when imaging/measuring dense continuous patterns in sequence, for example, in some cases, the imaging ranges set respectively to the patterns overlap with each other. In this case, when taking an image of a certain EP, there is a possibility of causing contamination in the measurement area (the area of the SEM image necessary for performing measurement) included in another EP, thus degrading the measurement accuracy. Therefore, by resetting the EP area so that the patterns included in the respective EPs are collectively included in a single view field, the contamination in the measurement area described above can be prevented from occurring. When merging the EPs, the determination thereof can be made taking whether or not the dimension of the view field or the imaging magnification of the EP obtained by merging is within a predetermined dimension (since the measurement accuracy is generally lowered with the lower magnification) and whether or not the SEM imaging conditions (e.g., scanning direction of the electron beam) of the EPs to be merged match each other into consideration. In the case of (c), if a plurality of MPs are included in the EP, and further, the directions of the patterns to be measured in the respective MPs are different from each other, and therefore, the SEM imaging conditions (e.g., the scanning direction of the electron beam) is required to be different between the MPs, it is effective to separate the MPs from each other as the EPs, thus taking images with the SEM imaging conditions different from each other. Further, in the case in which a number of MPs are included in the EP, and the MP is located closest to the edge of the view field of the EP, there is a possibility that a part of the measurement area of the MP runs off the view field due to the view field misalignment caused when taking the image of the EP. In such a case, division of the EP is effective. (9) In the item (1) described above, it is required to set the order of taking the images of the EPs to the recipe when taking the images of a plurality of EPs. According to another feature of the present invention, the imaging order is optimized based on the coordinates of the EP and the SEM imaging conditions of the EP instead of directly taking the inputting order of the EPs by the user as the imaging order. In order to improve the throughput of the imaging in the EPs as a whole, it is effective to reduce the total moving distance of the stage shift and the image shift of the SEM. Further, it is also effective to decrease the number of times of the imaging condition changes taking the time necessary for changing the imaging conditions into consideration. Therefore, the imaging order with which the throughput is enhanced is determined based on the coordinates of the EPs and the EPs or the SEM imaging conditions. (10) When actually imaging/measuring the EPs using the recipe created in a waferless condition without using the SEM device, the shape misfit between the pattern actually formed on the wafer and the pattern on the design data might be a problem. Therefore, according to another feature of the present invention, after automatically disposing the dimension measurement cursor on the SEM image of the EP in the item (1) described above, the shape misfit between the pattern in the SEM image and the pattern in the design data is calculated, thus correcting the position or the shape dimension measurement cursor based on the shape misfit information. According to the present processing, it becomes possible to correctly measuring the dimension even if the shape and the position of the actual pattern are different from those of the design data to a certain extent. (11) Some of the items designated by the recipe cannot accurately be determined only with the design data. In the case, for example, of measuring the amount of recession of the line end section, although it is required to accurately detect the position of the line end section, in some cases, the corner sections of the pattern are rounded with respect to the mask pattern due to the resolution limit of the lithography. In the case in which there is a straight section with an enough length, it is possible to use an algorithm for detecting the line end section by applying the straight section. In the case in which a rounded section is dominant, it is possible to use an algorithm for detecting the line end section by applying the rounded section. However, there is a limit in estimating the extent of rounding of the line end section based only on the design data. Further, the extent of rounding can be varied in accordance with the variation in the manufacturing process. In order to solve such a problem, according to another feature of the present invention, a part of or the whole information of the dimension measurement method is changed based on the SEM image described above. The item (10) described above and the present item (11) are mechanisms for making the recipe, which is created based on the design data in the waferless condition, appropriately applicable to the real patterns. (12) According to another feature of the present invention, at least one combination of the coordinates of the EP, the design data, the dimension measurement type/MP, the creation rule of the recipe, the recipe thus created, the image taken by the actual imaging sequence, the measurement result, and success and failure of one of the imaging and measurement is managed in a database while being associated with each other, thus making it possible to share the recipe among two or more SEM devices through a network or the like. Then, the present invention will specifically be explained with reference to FIGS. 1 through 13. 1. SEM 1.1. Constituents of SEM FIG. 1 shows a block diagram of a schematic configuration of a scanning electron microscope (SEM) for obtaining a secondary electron image (SE image) or a backscattered electron image (BSE image) of a sample according to the present invention. Further, the SE image and the BSE image are collectively called an SEM image. Further, the images obtained here include some or all of top-down images obtained by applying the electron beam in a vertical direction to the measurement object and tilted images obtained by applying the electron beam in a desired tilted direction. An electron optical system 102 is provided with an electron gun 103 inside thereof, and generates an electron beam 104. The electron beam emitted from the electron gun 103 is condensed to be a narrower beam by a condenser lens 105. Then, a deflector 106 and an objective lens 108 control an application position and an aperture of the electron beam so that the electron beam is applied in a focused condition at a desired position on the semiconductor wafer 101 as a sample placed on a stage 117. Form the semiconductor wafer 101 irradiated with the electron beam, a secondary electron and a backscattered electron are emitted. A secondary-electron detector 109 detects the secondary electron moving along a path separated from the path of the applied electron beam by an ExB deflector 107. Meanwhile, backscattered-electron detectors 110, 111 detect the backscattered electron. The backscattered-electron detectors 110 and 111 are respectively disposed in directions different from each other. The secondary electron and the backscattered electron respectively detected by the secondary-electron detector 109 and the backscattered-electron detectors 110, 111 are converted by the A/D converters 112, 113, and 114 into digital signals, and the digital signals are input to the processing control section 115, stored in an image memory 122. A CPU 121 executes an image processing corresponding to a purpose on the digital signals. FIGS. 2A and 2B show a method of imaging the amount of signal of the electron emitted from the surface of the semiconductor wafer when the electron beam is applied on the semiconductor by scanning the electron beam thereon. The electron beam is applied while scanning in the x and y directions in a manner illustrated with the lines 201 through 203 and 204 through 206 as shown in, for example, FIG. 2A. It is possible to change the scanning direction by changing the deflecting direction of the electron beam. The positions on the semiconductor wafer at which the electron beam 201 through 203 scanned in the x direction are denoted with G1 through G3, respectively. Similarly, the positions on the semiconductor wafer at which the electron beam 204 through 206 scanned in the y direction are denoted with G4 through G6, respectively. The amounts of signals of the electrons emitted in the positions G1 through G6 correspond to the brightness values of pixels H1 through H6 in an image 209 shown in FIG. 2B, respectively (the subscripts 1 through 6 of G and H correspond to each other). The reference numeral 208 is a coordinate system indicating the x and y directions on the image. By thus scanning the inside of the view field with the electron beam, the image frame 209 can be obtained. Further, in reality, by scanning the inside of the view field with the electron beam several times in the same manner, and averaging the image frames thus obtained, an image with a high S/N can be obtained. The number of accumulated frames can be set according to needs. The processing control section 115 shown in FIG. 1 is a computer system equipped with a CPU 121 and an image memory 122, and performs processing control such as sending control signals to a stage controller 119 or a deflection control section 120 based on the recipe in order to take images of the imaging points, or executing various kinds of image processing on the taken images at the desired imaging points on the semiconductor wafer 101. Here, the imaging points include some or all of an addressing point (hereinafter referred to as AP), an automatic focus adjustment point (hereinafter referred to as AF), an automatic astigmatism adjustment point (hereinafter referred to as AST), an automatic brightness/contrast adjustment point (hereinafter referred to as ABCC), and an evaluation point (hereinafter referred to as EP). Further, the processing control section 115 is connected to a processing terminal 116 (equipped with input/output means such as a display, a keyboard, and a mouse), and is provided with a graphic user interface (GUI) for displaying images to the user and accepting an input from the user. The reference numeral 117 denotes an XY stage for moving the semiconductor wafer 101, thereby making it possible to take images at desired positions on the semiconductor wafer. A change of the imaging position by the XY stage 117 is referred to as a stage shift. A change of the observation position by, for example, deflecting the electron beam with the deflector 106 is referred to as a beam shift. In general, the stage shift has characteristics of a large movable range and lower positioning accuracy of the imaging position, and in contrast, the beam shift has characteristics of a small movable range and higher positioning accuracy of the imaging position. Although FIG. 1 shows an embodiment equipped with two detectors of the backscattered-electron image, it is possible to eliminate the detectors of the backscattered-electron image, or to decrease or increase the number of detectors of the backscattered-electron image. The computer system 115 described above creates the recipe with the method described later, and controls the SEM device based on the recipe, thereby performing the imaging/measurement of the EP. It is possible to execute the processing control by sharing a part or the whole of the processing control with a plurality of separate processing terminals. The detail will be explained later with reference to FIGS. 12A and 12B. Further, the reference numeral 123 is a database storing coordinates of the EPs and design layout information (hereinafter referred to as design data) of a semiconductor circuit pattern formed on the wafer 101, which form an input to the computer system 115 for creating the imaging/measurement recipe. Further, it is also possible to store the measurement results and the recipes created in the computer system 115 for sharing the results and the recipes. As the method of obtaining the tilted image of the measurement object observed in a desired tilted direction using the apparatus shown in FIG. 1, there can be cited (1) a method of deflecting the electron beam applied from the electron optical system to vary the application angle of the electron beam, thereby taking the tilted image (e.g., JP-A-2000-348658), (2) a method of tilting the stage 117 itself for moving the semiconductor wafer (the stage is tilted with a tilt angle 118 in FIG. 1), and (3) a method of mechanically tilting the electron optical system itself. 1.2. SEM Imaging Sequence Regarding the imaging of the EP using the SEM described above, a supplementary explanation will be presented exemplifying the typical imaging sequence including the imaging of the AP, the AF, the AST, and ABCC shown in FIG. 3A. Firstly, in the step 301 of FIG. 3A, the semiconductor wafer as a sample is attached on the stage 117 of the SEM device. In the step 302, by observing the global alignment mark on the wafer with the optical microscope or the like, origin misalignment of the wafer and rotation of the wafer are corrected. In the step 303, the stage 117 is moved based on the control and processing of the processing control section 115 to move the imaging position to the AP for taking an image, a parameter for addressing is obtained, and then addressing is preformed based on the parameter thus obtained. Here, an explanation of the addressing will be added. In the case of observing the EP, if it is attempted to directly observe the EP using the stage shift, there is a possibility that the imaging position is significantly shifted due to the positioning accuracy of the stage. Therefore, the AP previously provided with the coordinates and the template (the pattern of the imaging point; either of the data formats of the SEM image and the design data can be adopted) of the imaging point is once observed for the purpose of positioning. The template is registered in the recipe, and therefore, hereinafter referred to as a registered template. The AP is selected from the peripheral area (the range accessible with the beam shift) of the EP. Further, since the AP is generally a lower magnification view field compared to the EP, there is a low possibility that all of the patterns in the registered template becomes out of the view field with respect to a certain extent of the imaging position misalignment. Therefore, by matching the registered template of the AP and the SEM image (real imaging template) of the AP actually taken with each other, the amount of position misalignment at the AP can be estimated. Since the coordinates of the AP and the EP are know, the relative displacement vector between the AP and the EP can be obtained, and in addition, since the amount of the position misalignment of the imaging point at the AP can also be estimated by the matching described above, by subtracting the amount of the position misalignment from an amount of the relative displacement described above, the relative displacement vector from the imaging position of the AP to the EP, which should actually be traced, can be obtained. By moving the beam using the beam shift with a high positioning accuracy as much as the relative displacement vector described above, it becomes possible to take the image of the EP with a high coordinate accuracy. In the step 304, based on the control and processing of the processing control section 115, the imaging position is moved to the AP using the beam shift, thus taking an image, a parameter for automatic focus adjustment is obtained, and then automatic focus adjustment is preformed based on the parameter thus obtained. Although in the flowchart shown in FIG. 3A, the automatic focus adjustment processing for taking a clear image of the EP is executed in the step 304, there can be adopted a variation such as setting the AF for taking a clear image of the AP in the same manner prior to the step 303, thereby executing the automatic focus adjusting processing using the AF prior to the AP imaging (the same applies to the AST, ABCC described later). In the step 305, based on the control and processing of the processing control section 115, the imaging position is moved to the AST using the beam shift, thus taking an image, a parameter for automatic astigmatism adjustment (astigmatism correction) is obtained, and then automatic astigmatism adjustment is preformed based on the parameter thus obtained. Then, in the step 306, based on the control and processing of the processing control section 115, the imaging position is moved to the ABCC using the beam shift, thus taking an image, a parameter for automatic brightness/contrast adjustment is obtained, and then automatic brightness/contrast adjustment is preformed based on the parameter thus obtained (in order to obtain a clear image with an appropriate brightness and contrast when taking the image of the EP, by adjusting the parameters such as the voltage value of the photomultiplier in the secondary-electron detector 109, the adjustment is executed so that the part with the highest image signal and the part with the lowest image signal show the full-contrast or the contrast close to the full-contrast). Lastly, in the step 307, the imaging point is moved to the EP using the beam shift, and thus taking the image, and the dimension measurement of the pattern is performed with the measurement conditions thus determined. FIG. 3B shows an example of the template positions of the EP 309, the AP 310, the AF 311, the AST 312, and the ABCC 313 on the beam shift allowable area from the EP with dotted frames. It should be noted that there can be variations in the steps 303, 304, 305, and 306 such as eliminating some or all of these steps, arbitrarily changing the order of the steps 303, 304, 305, and 306, or overlapping the coordinates of some of the AP, the AF, the AST, and the ABCC (e.g., the automatic focus adjustment and the automatic astigmatism adjustment are executed at the same position) according to the cases. 2. Flow of Automatic Recipe Creation Processing The present invention relates to a method of automatically creating the recipe of the SEM. In order to achieve shortening of hours for creating the recipe and the reduction of incidence of the operator, improvement in the ratio of automation is essential, and to that end, it is a challenge that how automatically and quickly the recipe, which has a performance equivalent or superior to that of the recipe manually created by the operator, can be created. The processing flow according to the present invention will be explained using FIG. 4. 2.1. Data Input Firstly, the coordinates of the EPs and the design data of the semiconductor circuit pattern are input (steps 401, 402, respectively). As the coordinates of the EPs, there are input the coordinates of hot spots (critical points) detected based on the result of, for example, an exposure simulation executed by an Electronic Design Automation (EDA) tool. Alternatively, in some cases, the coordinates of the EPs are input on a judgment of the user itself (taking the information of the EDA tool into consideration, if necessary). Further, in some cases, the attribute information of the EPs can also be obtained, or it is possible to input the attribute information if necessary (step 403). As the attribute information, a candidate of a possible defect at the EP (hereinafter referred to as a candidate defect) and so on can be cited. The candidate defect denotes a defect mode in which the patterns can be linked with each other at the EP (bridging), or the pattern can be narrowed or broken (necking), for example. It is possible to input the candidate defect with the highest possibility of occurrence referring to the analysis result by, for example, EDA tool, or to input the candidate defect the user wants particularly to avoid. It is possible to input a plurality of candidate defects for one of the EPs. 2.2. Dimension Measurement/MP Estimation Step Subsequently, in the measurement recipe creation section 406, the dimension measurement type and the coordinates of the MP are estimated for each of the EPs (step 407). In order to create the dimension measurement cursor in the step 408 described later, it is required to know where the pattern to be measured exists in the EP, and what kind of measurement should be executed on the pattern to be measured. Regarding the estimation of the MP coordinates described above, although there are some cases in which the EP coordinates (the center coordinates of the EP area) match with the MP coordinates, there are also the cases in which they do not match with each other, or the cases in which two or more MPs exist in the EP. Further, even if the MP coordinates are provided by the input from the user, there is a possibility that the coordinate values include an error. Therefore, based on the coordinate data of the EP and the design data of the circuit pattern including the EP, the MP coordinates are estimated in the computer. Further, the dimension measurement types denote the variations of measurement at the MP, and as specific examples of the dimension measurement types, there are cited measurement of the line width of the line pattern, measurement of the gap between the line patterns, measurement of the amount of recession of the line end section, measurement of the diameter of the contact hole, measurement of the optical proximity correction (OPC) shape, and so on. Further, it is possible to include the information of a region to be measured such as the regions in the wiring area distance of which is measured in the dimension measurement type besides the category such as measurement of the line width. Further, it is also possible to include the information of a measurement direction such as a direction an amount of recession in which is measured in the measurement of “an amount of recession” in the dimension measurement type. In the determination of the dimension measurement type/MP, taking the EP attribute information such as the candidate defects input in the step 403 into consideration, so as to reflect the managing intention of the user on the pattern shape at the EP, how the SEM, the measurement tool, measures the MP (i.e., the dimension measurement type) can be determined. As the estimation rule for estimating the dimension measurement type/MP in the computer, the default values related to the estimation rule and the processing parameters for the estimation prepared inside the system can be input for use if necessary (step 404). Further, the required specifications (e.g., specific requirements like “measurement of the region with as small design dimension as possible is preferable” or “measurement of a specific region is preferable with respect to a specific pattern”) of the user regarding the dimension measurement type/MP are input if necessary, thus making it possible to create the estimation rule taking the required specifications into consideration (step 405). 2.3. Dimension Measurement Cursor Creation/Dimension Measurement Method Determination Step Subsequently, in the step 408, creation of the dimension measurement cursor, and selection or determination of the dimension measurement method are performed. In the creation of the dimension measurement cursor, the position and the shape of the dimension measurement cursor are determined on the design data (the dimension measurement cursor has the coordinates linking with the design data). Further, the determination of the dimension measurement method corresponds specifically to determination of the dimension measurement algorithm and the dimension measurement parameters. The selection or setting of the dimension measurement method is executed taking the information such as a dimension measurement type, or a shape or a direction of a pattern contour of the pattern to be measured into consideration if necessary. 2.4. SEM Imaging Conditions Determination Step Subsequently, in the step 409, the imaging conditions of the SEM at the EP are determined. The SEM imaging conditions include at least the scanning direction of the electron beam. Although the raster scan is common in the two-dimensional scanning of the electron beam for creating the SEM image, the obtained SEM image is different between, for example, the case in which the scanning of the two-dimensional area is performed by executing continuous electron beam scanning in the x direction a plurality of times while shifting the scanning position discretely in the y direction, and the case in which the scanning of the two-dimensional area is performed by executing continuous electron beam scanning in the y direction a plurality of times while shifting the scanning position discretely in the x direction. Therefore, it is effective to automatically setting the scanning method with which the SEM image advantageous to the measurement taking the measurement region and the measurement direction in the EP into consideration. The scanning method is not limited to the scanning in the direction parallel to the x or y direction, but can have variations such as scanning in an oblique direction or scanning in the direction varying in accordance with the position in the EP. 2.5. EP Imaging Range/Coordinate Optimization Step Subsequently, in the step 410, optimization of the imaging range and the coordinates of the EP are executed. The imaging range should be determined from the viewpoint that the measurement of the desired region in the MP is realized with appropriate measurement accuracy in addition to the viewpoint of the range the user wants to check. Therefore, it is necessary to set the imaging range so as to include at least the range of the dimension measurement cursor required from the viewpoint of measurement accuracy. Further, it is possible to change the coordinates of the EP provided by the user if necessary. The optimization of the EP coordinates includes principally three items, (a) changing the coordinates of the EP and the imaging range, (b) merging a plurality of view fields of the EPs to newly set a single EP, and (c) dividing one EP to set a plurality of EPs, and any combinations of these items. Specific examples of the contents of the processing and the advantages of the respective cases will be described below. In the case of (a), if the position of the MP (or the imaging area including the dimension measurement cursor necessary for measurement) is found, it becomes possible to determine whether or not the center of the EP is shifted from the MP, and if it is shifted, it becomes possible to take the image of the MP at roughly the center of the view field of the EP by matching the view field of the EP with the center of the MP. Further, for example, it is possible to adjust the imaging range so that the range of the dimension measurement cursor is sufficiently included in the view field of the EP with respect to the imaging misalignment. In the case of (b), when imaging/measuring dense continuous patterns in sequence, for example, in some cases, the imaging ranges set respectively to the patterns overlap with each other. In this case, there is a possibility that when taking an image of a certain EP, the contamination is caused in the measurement area included in another EP, thus degrading the measurement accuracy. Therefore, by resetting the EP area so that the patterns included in the respective EPs are collectively included in a single view field, the contamination in the measurement area described above can be prevented from occurring. When merging the EPs, the determination thereof can be made taking whether or not the dimension of the view field or the imaging magnification of the EP obtained by merging is within a predetermined dimension (since the measurement accuracy is generally lowered with the lower magnification) and whether or not the SEM imaging conditions (e.g., scanning direction of the electron beam) of the EPs to be merged match each other into consideration. In the case of (c), if a plurality of MPs are included in the EP, and further, the directions of the patterns to be measured in the respective MPs are different from each other, and therefore, the SEM imaging conditions (e.g., the scanning direction of the electron beam) is required to be different between the MPs, it is effective to separate the MPs from each other as the EPs, thus taking images with the SEM imaging conditions different from each other. Further, in the case in which a number of MPs are included in the EP, and the MP is located closest to the edge of the view field of the EP, there is a possibility that a part of the measurement area of the MP runs off the view field due to the view field misalignment caused when taking the image of the EP. In such a case, division of the EP is effective. 2.6. Imaging Sequence Determination Step Subsequently, in the imaging recipe creation section, the imaging recipe for taking the image of each of the EPs is created. Specifically, the determination of the imaging sequence including the setting of some or all of the adjustment points, the AP, the AF, the AST, the ABCC explained using FIGS. 3A and 3B is executed (step 412), and each of the templates of the adjustment points and the EP is registered in the recipe as the registered template if necessary (step 413). Further, based on the EP coordinates, the dimension measurement type, and the SEM imaging conditions determined in the measurement recipe creation section 406, the imaging order of the EPs is determined. 2.7. Imaging/Measurement Recipe Creation Step In the step 414, the various parameters (the dimension measurement cursor, the dimension measurement method, the imaging sequence, the registered templates, and so on) determined in the measurement recipe creation section 406 and the imaging recipe creation section 411 are stored in the recipe (also referred to as the imaging/measurement recipe). Although in the embodiment, the items to be set in the imaging recipe and the measurement recipe, and the estimation procedures are separately explained, as described above, it is possible to manage the setting items designated by each of the recipes as a desired combination. By performing the processing based on the resign data, there is no need for taking an SEM image of a real wafer when creating the recipe on and before the step 414, and therefore, the operation can be carried out online, which leads to an improvement of the operation rate of the apparatus. 2.8. EP Imaging Step Subsequently, in an imaging/measurement section 416, the imaging/measurement using a real wafer is performed. Firstly, the wafer is set in the SEM device (step 415), and the image of the EP is taken based on the recipe (step 417). 2.9. Dimension Measurement Cursor Disposition/Correction Step Since the positional relationship between the SEM image of the EP and the corresponding design data can be obtained by actually taking the SEM image of the EP and matching the SEM image of the EP and the corresponding design data with each other, and the positional relationship between the dimension measurement cursor and the SEM image can also be obtained at the same time, the dimension measurement cursor can automatically be disposed on the SEM image (step 418). It should be noted that when actually imaging/measuring the EPs using the recipe created in a waferless condition based on the design data, the misfit of the shape between the pattern actually formed on the wafer and the pattern on the design data might be a problem. Therefore, after automatically disposing the dimension measurement cursor on the SEM image of the EP in the step 418, the shape misfit between the pattern in the SEM image and the pattern in the design data is calculated, thus correcting the position or the shape dimension measurement cursor based on the shape misfit information (step 419). According to the present processing, it becomes possible to correctly measuring the dimension even if the shape and the position of the actual pattern are different from those of the design data to a certain extent. 2.10. Dimension Measurement Method Changing Step Similarly to the position and the shape of the dimension measurement cursor described above, some of the items designated by the recipe cannot accurately be determined only with the design data. In the case, for example, of measuring the amount of recession of the line end section, although it is required to accurately detect the position of the line end section, in some cases, the corner sections of the pattern are rounded with respect to the mask pattern due to the resolution limit of the lithography. In the case in which there is a straight section with an enough length, it is possible to use an algorithm for detecting the line end section by applying the straight section. In the case in which a rounded section is dominant, it is possible to use an algorithm for detecting the line end section by applying the rounded section. However, there is a limit in estimating the extent of rounding of the line end section based only on the design data. Further, the extent of rounding can be varied in accordance with the variation in the manufacturing process. In order to solve such a problem, a part of or the whole information of the dimension measurement method is changed based on the SEM image after obtaining the real SEM image if necessary (step 420). The steps 419, 420 are mechanisms for making the recipe, which is created based on the design data in the waferless condition, appropriately applicable to the real patterns. Although these steps are executed after the SEM imaging, these are correction of the setting items once determined offline, and do not require substantial processing time. Most of the processing is executed offline, and therefore, has no significant influence on the throughput of the SEM imaging. 2.11. Dimension Measurement Step Using the dimension measurement cursor and dimension measurement method determined finally, the dimension measurement is performed using the SEM image at the EP (step 421). Further, if necessary, success and failure of the measurement is measured (step 422), and based on the result of the success and failure measurement, the recipe creation rule is changed in the step 427 described later if necessary. The determination of the success and failure of the measurement can be analyzed and managed with the categorized causes of failure such as (a) failure in imaging of (b) failure in measurement, further (a) can be categorized in further detail such as (a1) imaging misalignment caused by failure in addressing or (a2) blur of image caused by defocusing, and (b) can be categorized in further detail such as (b1) failure in dimension measurement type/MP estimation, (b2) misalignment of dimension measurement cursor, (b3) improper shape of dimension measurement cursor, or (b4) improper dimension measurement method. 2.12. Measurement Result Analysis/Recipe Creation Rule Optimization Step Subsequently, in the measurement result analysis/recipe creation rule optimization section 423, based on the measurement result obtained in the step 421, the facture of the pattern is analyzed (step 424), and by performing the correction of the shape of the mask pattern or the modification of the semiconductor manufacturing process conditions, if necessary, a high yield ratio can be achieved (step 425). Further, the recipe and the imaging/measurement result are analyzed (step 426) based on the recipe, the recipe creation rule, the determination result of the success and failure of the imaging/measurement and the information such as the cause of the failure obtained in the step 422 in the case of the failure in the imaging/measurement, and modification of the recipe creation rule is performed (step 427) if necessary. At least one combination of the coordinates of the EP, the design data, the dimension measurement type/MP, the creation rule of the recipe, the recipe thus created, the image taken by the actual imaging sequence, the measurement result, and success and failure of one of the imaging and measurement is managed in a database while being associated with each other, thus making it possible to share the recipe among two or more SEM devices through a network or the like. In addition to the advantage that the necessity of creation of the recipe by every device can be eliminated, since the result data including successful cases and failed cases in the imaging/measurement obtained from a plurality of devices can be shared, it is possible to collect a lot of result data quickly, and if a problem exists in the recipe creation rule, for example, a measure against the problem can quickly be taken. 3. Detailed Explanations Hereinafter, areas for which detailed explanations are necessary are extracted from the processing flow shown in FIG. 4, and supplementary explanations therefor will be presented. 3.1. Details of Dimension Measurement Type/Dimension Measurement Cursor/SEM Imaging Conditions The dimension measurement type, the dimension measurement cursor, and the SEM imaging conditions described in the steps 407 through 409 will be explained in detail with reference to FIGS. 6A through 6P. In FIGS. 6A through 6P, 601, 604, 608, 612, 615, 621, 624, 628, 632, 645, 648, 651, and 656 define the imaging ranges of the EP. As examples of the dimension measurement types, FIG. 6A shows the line width measurement of a line pattern 602, FIG. 6B shows the space measurement between line patterns 604 and 606, FIG. 6C shows the gap measurement between a line end section of a line pattern 609 and a line pattern 610, FIG. 6D shows the recession amount measurement (including expansion amount measurement) of a line end section of a line pattern 613, FIGS. 6E and 6F show diameter measurement of contact holes 616, 622, respectively, FIG. 6G shows the major axis/minor axis measurement, FIG. 6H shows the gap measurement between line patterns 629 and 630, FIG. 6I is the shape measurement of a pattern 633 (specifically, the shape of the corner section indicated by a dotted frame 634). In the drawings, dotted frames 603A, 603B, 607A, 607B, 611A, 611B, 614, 617A, 617B, 618A, 618B, 619A, 619B, 620A, 620B, 623A, 623B, 626A, 626B, 627A, 627B, 631A, 631B, 634 indicate the dimension measurement cursor. Further, the arrows illustrated together with the dotted frames indicate the places on which the dimension measurement is executed. For example, in order to measure the line width, it is required to accurately and stably measure the positions of the right and left edges of the line. Therefore, an area (an area of the dimension measurement cursor, 603A or 603B in FIG. 6A) with a predetermined dimension including the edge is set on each of the right and the left edges, and a cumulative profile less subject to the image noise or the line edge roughness is obtained by accumulating the SEM signal in the area in the line direction, and the edge position is detected using the profile. The reference numeral 637 in FIG. 6K shows the SEM signal profile corresponding to the line between α and β shown in FIG. 6A. In order to improve the S/N of the SEM signal profile 637 described above, it is also possible to use a profile obtained by averaging the SEM signal in the y direction in a length corresponding to, for example, the range (643) of the dimension measurement cursor. In the SEM signal profile 637, the peak positions 638 of the right and left white bands are detected, and the distance between the peak positions 638 is measured as the line width. Although in the present embodiment, the distance between the peaks of the SEM signal profile is defined as the line width, there can be variations in the positions in the profile between which the distance is measured as the line width. For example, it is possible to obtain positions in the right and left white bands having a brightness value of X % of the difference between the peak brightness value and the brightness value of the substrate, and the distance between the positions thus obtained is measured (the parameter such as X % in the dimension measurement algorithm represented by the measurement described above is called a dimension measurement parameter). Examples of position or dimension parameters for determining the position and the shape of the dimension measurement cursor described above are shown in FIG. 6L, which is an enlarged diagram of FIG. 6A. As the parameters, there are cited arrangement positions of the dimension measurement cursors 640A and 640B, outside profile reference ranges 641A, 641B of the dimension measurement cursors 640A, 640B, disposed outside the line pattern 639, inside profile reference ranges 642A, 642B disposed inside the line pattern 639, and a profile accumulation ranges 643A, 643B. Thus, the line width 644 is accurately measured. The coordinates of the MP can be defined as, for example, the midpoint (or the midpoint of the line width 644) of the dimension measurement cursors 640A and 640B. Further, in some cases, the dimension measurement cursors are set as a pair of dimension measurement cursors such as the pair of dimension measurement cursors 603A and 603B shown in FIG. 6A, and in other cases, the dimension measurement cursor is set as a single dimension measurement cursor such as the dimension measurement cursor 614 shown in FIG. 6D. Since in the case of FIG. 6D, the amount of recession of the line end section of the line pattern 613 is measured, the dimension measurement cursor is set so that the position of the line end section can accurately obtained by the SEM signal analysis inside the dimension measurement cursor 614. In FIG. 6E, in order to measure the average hole diameter, the pairs of dimension measurement cursors are disposed at several positions in the circumferential area of the hole 616 (in the example shown in the drawing, four pairs of positions of 617A and 617B, 618A and 618B, 619A and 619B, and 620A and 620B), and the process such as averaging the diameter values thus measured is performed. It is also possible to measure the diameter at a pair of positions of the hole 622 with the dimension measurement cursors 623A, 623B as shown in FIG. 6F, and use the diameter thus measured as the representative value of the hole diameter. In FIG. 6G, the minor axis of the pattern 625 is measured with the dimension measurement cursors 626A, 626B, and the major axis is measured with the dimension measurement cursors 627A, 627B, respectively. In the case of defining that the center of the dimension measurement cursors as the coordinates of the MP, the MP coordinates of the minor axis measurement and the major axis measurement are identical to each other. As described above, it is possible to set a plurality of dimension measurement types with respect to a single MP coordinate. In FIG. 6I, in order to perform the evaluation of the facture of the contour of a two-dimensional pattern, dense shape gap vectors 636 between the pattern 633 on the SEM image and the design data 635 displayed in an overlaying manner on the pattern on the SEM image are obtained as shown in FIG. 6J, the enlarged view of the area 634 indicated in FIG. 6I. As described above, the measurement of a plurality positions is also possible instead of measurement of one of a plurality of positions. Further, there can be cited a measuring method of quantizing the degree of rounding of a corner, for example, based on the measurement values of the plurality of positions, and outputting the quantized value as one of evaluation values. Further, the measurement value is not limited to the distance between desired two regions of the patterns on the SEM image, but it is possible to use the misfit amount between the design data and the pattern on the SEM image at a desired region as the measurement value, for example, as described above. As described above, the difference in the type of the pattern and the region on which the cursor is disposed is categorized as the dimension measurement type. Further, it is possible to include the information of the measurement direction in the dimension measurement type. FIG. 6M shows an example of measuring the line width of the line pattern 646 extending in the y direction, namely the dimension in the x direction, FIG. 6N shows an example of measuring the line width of the line pattern 649 extending in the x direction, namely the dimension in the y direction. Such information of the measurement direction becomes the information necessary for determining the SEM imaging conditions in the step 409 shown in FIG. 4 described later. A determination method of the scanning direction of the electron beam, which is one of the SEM imaging conditions, will be explained as an example. Since in FIG. 6M the dimension in the x direction is measured, it is desirable to scan the electron beam continuously in the x direction. Therefore, as schematically shown in the drawing, it is possible to obtain the image by executing the continuous electron beam scan 647 in the x direction a plurality of times while shifting the scan line discretely in the y direction (in the drawing, the number of times of shifting is schematically set to four times). Since in FIG. 6N the dimension in the y direction is measured, similarly, in this case, it is possible to obtain the image by executing the continuous electron beam scan 650 in the y direction a plurality of times while shifting the scan line discretely in the x direction. As described above, owing to the information of the measurement direction, appropriate SEM imaging conditions can be determined. The reference numerals 662A, 662B is FIG. 6M, and 663A, 663B in FIG. 6N respectively denote the dimension measurement cursors. 3.2. Details of Dimension Measurement Type Estimation/MP Estimation The dimension measurement type estimation/MP estimation described in the step 407 will be explained in detail with reference to FIG. 5. In order to automatically create the dimension measurement cursor, it is necessary to know the dimension measurement type/MP in the EP. In other words, the dimension measurement cursor can hardly be set without understanding what deformation of the pattern possibly occurs at which place, and what dimensional value needs to be measured/controlled with respect to the deformation. Further, it is not easy for the user to manually designate all of such dimension measurement types. Therefore, the dimension measurement types/MP are estimated inside the computer based on the coordinate data of the EPs and the design data of the circuit pattern including the EPs, and the dimension measurement cursor is created based on the dimension measurement types/MP thus estimated. Firstly, the EP is selected in the step 501 shown in FIG. 5. The design data corresponding to the area including the EP is input in the step 508, and the candidate of the dimension measurement type/MP is refined in the step 502. Here, if the candidate of the dimension measurement type/MP is determined (in the case in which the determination of the “DIMENSION MEASUREMENT TYPE/MP DETERMINED?” in step 503 is Yes), the process proceeds to step 504. If the candidate of the dimension measurement type/MP is not determined (in the case in which the determination of the “DIMENSION MEASUREMENT TYPE/MP DETERMINED?” in step 503 is No), warning is sent to the user via the GUI or the like (step 514). The user can change the estimation rule of the dimension measurement type/MP referring to the example in which the dimension measurement type has not been determined appropriately. FIG. 7A shows patterns 702, 703 on the SEM image included in the view field 701 of the EP, as an example. FIG. 7B shows the design data corresponding to the EP, and the patterns on the design data corresponding to the patterns 702, 703 are 704, 705, respectively. The examples of the candidate of the MP coordinate estimated from the design data are cited as 708, 710, 712, 714, 716, and 718 in FIGS. 7D through 7G, respectively. From the viewpoint of the dimension measurement type, the MP 708 corresponds to the measurement of the line width of the line pattern in the x direction at the region measured by the dimension measurement cursors 709A, 709B, the MP 710 corresponds to the measurement of the line width of the line pattern in the x direction at the region measured by the dimension measurement cursors 711A, 711B, the MP 712 corresponds to the measurement of the amount of recession in the line end section in the y direction at the region measured by the dimension measurement cursor 713, the MP 714 corresponds to the measurement of the amount of recession in the line end section in the y direction at the region measured by the dimension measurement cursor 715, the MP 716 corresponds to the measurement of the gap between the line patterns at the region measured by the dimension measurement cursors 717A, 717B, and the MP 718 corresponds to the measurement (the measurement of the rounding of the corner or the like) of the OPC shape at the region measured by the dimension measurement cursor 719. As described above, a plurality of candidates of the dimension measurement type/MP exists in the single EP, and the dimension measurement type/MP, which the user actually wants to measure, may be one of the candidates described above, or may be a combination of the candidates. Therefore, in the estimation of the dimension measurement type/MP to be actually measured, at each of the pattern regions included in the circuit design pattern including the EPs, attribute information composed of at least one combination of a candidate of the dimension measurement type, a candidate of a possible defect, a circuit attribute, easiness of deformation, measurement dimension on the design data, and the distance from the center of the EP is calculated, and the candidate of the dimension measurement type/MP at the EP is extracted along the estimation rule based on the attribute information. By taking a plurality of pieces of attribute information into consideration, the automatic estimation of the dimension measurement type/MP with high accuracy becomes possible. Further, as described above, the estimation rule of the dimension measurement type/MP can be determined taking the attribute 509 (corresponding to 403 shown in FIG. 4) of the EP, the default values 510 (corresponding to 404 shown in FIG. 4), and the user required specification 511 (corresponding to 405 shown in FIG. 4) into consideration if necessary. Further, as a mechanism for reflecting the difference in the setting criteria of the dimension measurement type/MP between the users, it is possible to input the dimension measurement type/MP estimation rule designated by the user (step 512). It should be noted that the creation of the estimation rule is generally a difficult work for the user. Therefore, as the mechanism for easily performing the user customization, it is possible that, in response to the user performing at least one combination teaching of the EP and the position of the MP in the EP, or a combination teaching of the EP and the dimension measurement type in the EP (step 513), the estimation rule is optimized inside the system based on the teaching, thus the general estimation rule can automatically be created. When the dimension measurement type/MP is determined, the dimension measurement cursor creation/dimension measurement method determination is performed in the step 504, and the determination of the SEM imaging conditions is performed in the step 505 if necessary. The processing of the steps 501 through 505 are executed repeatedly with respect to all of the EPs to be imaged (determination of the termination is made in the step 506), then in the step 507, optimization of the EP imaging range/coordination is performed (corresponding to the step 410). Incidentally, the estimation of the dimension measurement type/MP or the creation of the dimension measurement cursor is effectively performed based on the pattern similar to the shape of the real pattern formed on the wafer as much as possible. Therefore, it is possible to perform the estimation or the creation using the patterns 706, 707 (hereinafter referred to as modified design data) obtained by modifying the patterns 704, 705 on the design data shown in FIG. 7. As the method of generating the modified design data, there can be cited a method of generating the modified design data using litho-simulator on the design data, and a method of generating the modified design data by the shape modification briefly simulating the litho-simulator. The modified design data 706, 707 in the drawing is an example of rounding the corner sections of the design data 704, 705 assuming that the corner sections of the pattern are rounded due to the resolution limit of the lithography. 3.3. Details of EP Imaging Range/Coordinate Optimization A specific example of the EP imaging range/coordinate optimization described in the step 410 will be explained with reference to FIG. 8. FIG. 8A shows an example in which the user wants to measure the line width of each of the six line patterns 802 through 807. The MPs disposed on the six line patterns are 808 through 813, respectively. FIG. 8B shows the EPs 814 through 819 having the view fields set correspondingly to the centers of the MPs so that the measurement in each of the line patterns becomes possible. However, if the EPs are set as shown in FIG. 8B, the imaging ranges of the EPs overlap with each other, and when taking the image of one of the EPs, contamination is caused in the measurement area included in another of the EPs, there is a possibility of degrading the measurement accuracy. Therefore, it is possible to optimize the imaging range of the EP as illustrated as the EPs 820, 821 shown in FIG. 8C. In the present embodiment, the MPs 808 through 810 can be measured using the EP 820, and the MPs 811 through 813 can be measured using the EP 821, and moreover, there is no overlapping area between the EPs 820, 821. Further, the number of times of imaging can also be reduced from six in the case with the EPs 814 through 819 to two in the case with the EPs 820, 821. Incidentally, from the viewpoint of the number of times of imaging, it is possible to include entire area 801 in one EP. However, in such a case, there is a possibility of degrading the measurement accuracy because the magnification is lowered. Therefore, it is necessary to set the EP imaging range or the EP coordinates taking the overlapping of the ranges, the number of times of imaging, the measurement accuracy, and so on into consideration, and achieving a balance therebetween. Further, in the optimization of the EP imaging range/coordinates, it is necessary to take the SEM image conditions in the EP into consideration. FIGS. 8D through 8F show examples of optimizing the EP imaging range/coordinates based on the scanning direction of the electron beam out of the SEM imaging conditions. In FIG. 8D, there are disposed three MPs 825, 828, and 830 on the design data 823 and 824, and the EPs 832, 833, and 834 including the respective MPs are set as an initial condition. Out of the three MPs, the MPs 825, 828 correspond to the line width measurement in the x direction, and as shown in FIG. 6M, it is desirable to perform the continuous scan in the x direction, and the discrete scan in the y direction. In contrast, the MP 830 corresponds to the line width measurement in the y direction, and as shown in FIG. 6N, it is desirable to perform the continuous scan in the y direction, and the discrete scan in the x direction. Therefore, it is necessary to perform the SEM imaging on the MPs 825, 828, and the MP 830 with different imaging conditions, and therefore, it is not allowed to merge the both parties into the same EP. Therefore, for example, as shown in FIG. 8E, it is possible to merge the MP 825 and MP828 into a single EP 835, and to take the image of the MP 830 as a single EP 836. In contrast, as shown in FIG. 8F, in the case in which the MP 825 corresponds to a single EP 837, and the EP 838 into which the MPs 828, 830 are merged is provided in the initial condition, it is possible to separate the both MPs to two EPs based on the determination criteria described above. As describe above, for the optimization of the imaging range of the EP, and integration/separation of the EP, it is effective to use the information of the dimension measurement type, MPs, and the dimension measurement cursors (826A, 826B, 829A, 829B, 831A, and 831B). Incidentally, it is necessary for the imaging range to appropriately include the measurement area (the area of the SEM image required to perform the measurement), and it is preferable that the measurement area is included in the view field even if there is some imaging misalignment. An explanation will be presented exemplifying FIGS. 6O and 6P. FIG. 6O shows an example of the line width measurement of the line pattern 652, and the dimension measurement cursors are denoted as 653A, 653B. Regarding the setting of the imaging range of the EP, it is required to include at least the dimension measurement cursors 653A, 653B, and in the case in which there is a possibility that the view field shift is caused in the x direction with a distance 654, it is desirable that the imaging range 655 includes the measurement area even if the imaging range 651 is shifted as much as the distance 654 to become the imaging range 655. In this example, no problem occurs with respect to the amount of view field shift of 654. On the other hand, FIG. 6P shows an example of measurement of the gap between the patterns 657 and 658. Although the dimension measurement cursors 659A, 659B are included in the imaging range 656 similarly to the example of FIG. 6O, in the case in which the imaging range is shifted to 661 in accordance with the amount of position shift 660 identical to the amount of the position shift 654 described above, a part of the dimension measurement cursor runs off the view field. As described above, it is effective to determine the imaging range based on the range of the dimension measurement cursor and the expected value of the view field shift. 3.4. Details of Imaging Sequence Determination In the imaging sequence determination described in the step 412, a specific example of determination of the imaging order of the EPs will be explained with reference to FIGS. 11A through 11E. FIG. 11A shows an example in which eight EPs exist in an area with low magnification, and the eight EPs are denoted as EP[1] through EP[8], respectively. In the view field of each of the EP[1], EP[3], EP[5], and EP[7], there are included three line patterns extending in the x direction, and these EPs are collectively called EP group 1. In the view field of each of the EP[2], EP[4], EP[6], and EP[8], there are included three line patterns extending in the y direction, and these EPs are collectively called EP group 2. The EPs belonging to the EP group 1 correspond to the line width measurement in the y direction, and the scanning direction of the electron beam as illustrated with the arrows 650 shown in FIG. 6N is preferable. In contrast, the EPs belonging to the EP group 2 correspond to the line width measurement in the x direction, and the scanning direction of the electron beam as illustrated with the arrows 647 shown in FIG. 6M is preferable. Therefore, in the case in which the EP belonging to the EP group 2 is imaged after the EP belonging to the EP group 1 has been imaged, or the EP belonging to the EP group 1 is imaged after the EP belonging to the EP group 2 has been imaged, it is required to execute a rotation of the view field or the beam scan. In the light of the above, optimization of the imaging order will be considered. As shown in FIG. 11B, the initial imaging order (input by the user, for example) is sequentially from the EP[1] to the EP[8]. In contrast, with intent to reduce the number of rotation, for example, as shown in FIG. 11C, starting with the imaging of the EP group 1, the order will be EP[1]→EP[3]→EP[5]→EP[7]→EP[2]→EP[4]→EP[6]→EP[8]. It should be noted that in order to reduce the total distance of view field movement between the EPs thereby improving the throughput, as shown in FIG. 11D, the order will be EP[1]→EP[5]→EP[7]→EP[3]→EP[2]→EP[6]→EP[8]→EP[4] (because the distance between the EP[1] and EP[5] is shorter than the distance between EP[1] and EP[3]). It should be noted that if the time required for rotation is extremely shorter than the time required for the view field movement between the EPs, it is possible to adopt the order shown in FIG. 11B giving weight to the reduction of view field movement. Further, if the time required for the rotation and the time required for view field movement are equivalent to each other, the imaging order shown in FIG. 11E can also be adopted taking the both into consideration (the number of times of rotation is smaller than that shown in FIG. 11B, and the view field movement distance is shorter than that shown in FIG. 11D). As described above, the imaging order of the EPs are determined based on the EP coordinates or the SEM imaging conditions including at least the scanning direction of the electron beam in the EPs. 3.5. Details of Dimension Measurement Cursor Correction A specific example of the dimension measurement cursor correction described in the step 419 will be explained with reference to FIGS. 9A through 9K. The present drawings related to the examples for correcting (A) the distance 906 between the dimension measurement cursor, (B) the outside profile reference ranges 903A, 903B located outside the line pattern 901 based on the design data, and (C) the arrangement positions of the overall dimension measurement cursor among the various position and dimension parameters for determining the dimension measurement cursor, and other dimension parameters can also be corrected in a substantially the same manner. Further, in order to achieve consistency in the measurement values with the EPs, it is possible to perform setting so as not to perform correction of some or all of the position and dimension parameters (if, for example, the profile accumulation range 905A, 905B become different between the EPs, there is a possibility that the measurement become unable to be compared to each other. (A) Correction of Distance Between the Dimension Measurement Cursors FIG. 9A shows the dimension measurement cursors 902A, 902B disposed on the design data 901 in the step 408 shown in FIG. 4. The inside of each of the dimension measurement cursors 902A, 902B is divided into two ranges, namely an outside profile reference range 903A, 903B located outside the line pattern 901 based on the design data and an inside profile reference range 905A, 904B located inside the line pattern 901 based on the design data. The object is to measure the line width in the x direction of the line pattern 907 observed with the SEM, and shown in FIG. 9B. Firstly, as shown in FIG. 9C, matching of the pattern 907 on the SEM image and the design data 901 is performed, and as shown in FIG. 9D, the dimension measurement cursors 902A, 902B are disposed on the SEM image. Here, the pattern 907 on the SEM image has a line width extended largely (in the drawing, it is extended to be the widths 908A, 908B larger than the design data 901) compared to the design data 901, the positions of the dimension measurement cursors arranged assuming the line width on the design data are shifted from the edge positions of the pattern on the SEM image. Therefore, as shown in FIG. 9E, it is possible to successfully measure the line width by shifting the position of the dimension measurement cursors in accordance with the edge positions (the distance 906 between the dimension measurement cursors is increased to 909). (B) Correction of Outside Profile Reference Range Located Outside the Pattern In FIG. 9E, reference numeral 910 denotes the SEM signal profile corresponding to the line traversing the line pattern 907, and extending between α and β. In the line width measurement, there is used an algorithm of obtaining, for example, the positions each having the brightness value of X % of the difference between the peak brightness value and the brightness value of the substrate in the right and left white bands (corresponding roughly to the right and left edges of the line pattern) of the SEM signal profile 910, and then measuring the distance between the positions. In order to execute such various kinds of profile analysis, it is required for the measurement range (the range of the dimension measurement cursors) on which the analysis is executed to sufficiently include the protrusions of the white bands. Although the outside profile reference ranges 903A, 903B located outside the line pattern 907 are set a little bit longer with a margin, in the real SEM signal profile 910, the skirt section of the white band protrusions is longer than expected, and further, it has proved that the profile must be analyzed in the range the width 911A, 911B larger than expected. On this occasion, by correcting the outside profile reference ranges 903A, 903B located outside the line pattern 907 so as to be extended to be 912A, 912B as shown in FIG. 9F, it becomes possible to successfully measure the line width. (C) Correction of Arrangement Positions of Overall Dimension Measurement Cursor The reference numeral 912 shown in FIG. 9G denotes the design data of the upper layer pattern, and the reference numeral 913 denotes the design data of the lower layer pattern. The reference numerals 914A, 914B denote the dimension measurement cursors, and are disposed so as to measure the line width 915 of the upper layer pattern 912 in the area where the upper layer pattern 912 and the lower layer pattern 913 intersect with each other. This corresponds to the request, for example, that it is necessary to measure the line width in the active area of the gate exerting a significant influence on the apparatus characteristic. FIG. 9H shows the patterns 916, 917 on the SEM image corresponding respectively to the patterns 912, 913 on the design data. Since the pattern 917 is located in the lower layer of the pattern 916 with respect to the stacking direction of the pattern, there is cause a hidden part in the area where the both layers overlap with each other. FIG. 9I shows an example in which the pattern on the wafer and the design data are matched with each other, and the dimension measurement cursors 914A, 914B are arranged on the pattern. In the present example, the arrangement result of the dimension measurement cursors is preferable. In contrast, FIG. 9J shows an example in which the upper layer pattern 916 (corresponding to the design data 912) and the lower layer pattern 919 (corresponding to the design data 913) are shifted from each other (the amount of shift is denoted as 918) due to a failure of the manufacturing process. FIG. 9J shows the result of matching executed so that the pattern on the wafer and the design data match with each other with respect to the upper layer pattern, and as a result, there arises a problem that the dimension measurement cursors are disposed at the positions shifted a little from the positions where the upper layer pattern and the lower layer pattern overlap with each other, and on which the measurement is originally required to be executed. Therefore, as shown in FIG. 9K, by matching the lower layer pattern 921 (corresponding to the pattern 913) with the pattern 919 on the SEM image independently from the upper layer pattern 912, and disposing the dimension measurement cursors 920A, 920B (corresponding to the dimension measurement cursors 914A, 914B) in conjunction with the position of the lower layer pattern 921, the measurement on the desired place is realized. As described above, it is required to dispose the dimension measurement cursors appropriately based on the intent of the user on the measurement. 3.6. Details of Change of Dimension Measurement Method A specific example of the change of the dimension measurement method described in the step 420 will be explained with reference to FIG. 10. The dimension measurement method denotes specifically the dimension measurement algorithm and the dimension measurement parameters. FIG. 10A shows two patterns 1001, 1002 on the design data and the dimension measurement cursors 1003A, 1003B for measuring the distance 1004 between the two patterns described above. FIG. 10B shows a matching result between the patterns 1005, 1006 on the SEM image and the design data described above, and FIG. 10C is an enlarged view in the vicinity of the dimension measurement cursor 1003B. As an image processing algorithm for detecting the end section of the pattern 1002 with good accuracy after applying the dimension measurement cursors, it is possible to adopt an algorithm of detecting the end section by applying the straight lines, providing the end section has enough straight line section. The five x marks 1007 shown in FIG. 10C represent characteristic points of the end section detected from the SEM image, and by applying straight lines to the characteristic points, it becomes possible to stably detect the end section with respect to the variation in the shape of the end section (the straight line 1008 is applied). Meanwhile, FIG. 10D shows an example in which the corner sections of the patterns 1009, 1010 (corresponding to the patterns 1005, 1006) are rounded significantly due to the resolution limit of the lithography in the similar measurement example, and FIG. 10E is an enlarged view in the vicinity of the dimension measurement cursor 1003B. In contrast to the straight line application algorithm described above, in the case in which the rounded section is dominant as the characteristic points 1011 in the end section, an algorithm for detecting the end section by applying curved line is effective (the curved line 1012 is applied). As described above, for example, in some cases, the degree of rounding in the end sections cannot accurately estimated only with the design data. In order to solve such a problem, apart of or the whole information of the dimension measurement method is changed based on the SEM image described above. 4. System Configuration (Database Management/Sharing) An embodiment of a configuration of an apparatus according to the present invention will be explained with reference to FIGS. 12A, 12B. In FIG. 12A, the reference numeral 1201 denotes a mask pattern designing device, the reference numeral 1202 denotes a mask drawing device, the reference numeral 1203 denotes an exposure/development device, the reference numeral 1204 denotes an etching device, the reference numerals 1205 and 1207 denote SEM devices, the reference numerals 1206 and 1208 denote SEM control devices for respectively controlling the SEM devices, the reference numeral 1209 denotes an Electronic Design Automation (EDA) tool server, the reference numeral 1210 denotes a database server, the reference numeral 1211 denotes a storage for storing a database, the reference numeral 1212 denotes an image processing and imaging/measurement recipe creation calculation device, the reference numeral 1213 denotes an imaging/measurement recipe server, the reference numeral 1214 denotes a shape measurement/evaluation tool server for the created pattern, and these constituents are capable of communicating information via a network 1220. The database server 1210 is provided with the storage 1211 attached thereto, and some or all of (a) coordinates of EPs, (b) design data, (c) dimension measurement type/MP, (d) creation rule of recipe (including estimation rule of dimension measurement type/MP), (e) recipe created, (f) image taken along the actual imaging sequence, (g) measurement results, (h) success and failure of imaging or measurement, and (i) cause of failure of imaging or measurement can be stored and retrieved in conjunction with model, manufacturing process, data acquisition device. Further, although in the drawing, the two SEM devices 1205, 1207 are connected to the network, for example, in the present invention, it is possible for an arbitrary number of SEM devices to share the imaging/measurement recipes by the database server 1211 or the imaging/measurement recipe server 1213, and it is possible to operate the plurality of SEM device by a single imaging/measurement recipe creation. Further, by sharing the database among the plurality of SEM devices, the success and failure of the imaging or measurement and the causes of the failure in the past can quickly be accumulated, and by retrieving the records, some help is obtained for creating preferable imaging/measurement recipes. FIG. 12B shows an example of integrating the SEM control devices 1206 and 1208, the EDA tool server 1209, the database server 1210, the image processing and imaging/measurement recipe creation calculation device 1212, the imaging/measurement recipe server 1213, and the shape measurement/evaluation tool server 1214 shown in FIG. 12A into a single device 1216. As described in the present example, it is possible to divide any desired functions into an arbitrary number of devices, or to integrate any desired functions for execution. 5. GUI FIG. 13 shows an example of GUI for performing the setting and display of input/output information in the present invention. The various pieces of information drawn in the inside of the window 1300 in FIG. 13 can be displayed on a display screen in one frame or divided into several frames. Further, “*” in FIG. 13 represents a certain number (character string) or a range of a numerical value input to the system or output therefrom. In the window 1320, 1321, the recipe creation rule is designated if necessary. It is possible to input default values. In the recipe creation rule, the windows 1320, 1321 specifically displays the parameters for setting the dimension measurement type/MP estimation rule, for example, it is possible to set the estimation rule based on the attribute information (candidate of the dimension measurement type, candidate of possible defect, circuit attribute, easiness of deformation, measurement dimension on the design data, distance from the center of the EP, etc) obtained in each of pattern regions. In other words, if there is a numerical value requirement needs to be satisfied by each of the pieces of attribute information of the finally selected dimension measurement type/MP with respect to the plurality of dimension measurement type/MP included in the EP, the numerical value is input in the window 1320. Further, if there is the attribute information needs to be evaluated with importance in estimating the dimension measurement type/MP, it is possible to input the evaluation weight in the window 1321. In the windows 1324, 1325, and 1337, there is displayed information of a plurality of recipes. As the information displayed on each of the windows 1324, 1325, and 1337, the user can input a designated value, the value prepared inside the system can be provided as the default value, or the recipe creation engine inside the system can estimate and output. Hereinafter, the displayed contents will be explained specifically picking up the EP whose ID displayed in the “EP ID” column is 1 (note that the corresponding items in the EP whose ID is 2 is described in the parenthesis). In the window 1325, there are displayed a circuit pattern 1326 (1332) in the EP (a SEM image, the design data, or both of them), the dimension measurement cursors 1327, 1328 (1333), the imaging sequence 1329 (1334) for imaging the EP (e.g., the coordinate of the adjustment points, the range/shape, and the imaging conditions, although in the drawing, the order is set as AP1→AP2→AF→EP (in the case with ID=2, AP→AST→AF→EP), the adjustment templates passed through are different between the EPs), information 1330 (1335) related to the EP (the coordinates of the EP, the range/shape, the imaging conditions, etc), the information 1331 (1336) related to the MPs in the EP (the coordinates, the dimension measurement type, the coordinates/dimension/shape of the dimension measurement cursor, the dimension measurement method). It is possible to display the attribute information 1338 (1339) on the window 1337. If a plurality of MPs exists in the EP, the information of the MP such as the information 1331 (1336) related to the MP, the attribute information 1338 (1339) in the MP, it is possible to display the information for each of the MPs. A part of or whole information is determined in response to pressing the recipe creation button 1322. Further, although in the windows 1324, 1325, 1337, the information related to the plurality of EPs is displayed vertically in the order of the ID, it is possible to sort the display order of the EPs with a desired criteria, or limit the EP to be displayed. The desired criteria can be designated using a pull-down menu 1323. As examples of the criteria, there can be cited (a) displaying the EPs in ascending order of the estimation accuracy of the dimension measurement type/MP (the reliability of the estimation is calculated in the dimension measurement type/MP estimation, and sorting is executed based on the reliability), (b) eliminating the EP failed to estimate the dimension measurement type/MP from displaying (e.g., the EP causing the warning in the step 514 shown in FIG. 5), (c) eliminating the EP, whose position/dimension/shape have been changed, from displaying (e.g., the EP having the state changed from the initial state provided by the user by changing the imaging range, merging with another EP, or dividing), (d) displaying only the EP including a plurality of MPs. By sorting the information from a plurality of viewpoints as described above, when a problem occurs in a recipe, or when a problem may occur, it becomes possible to execute GUI display while sorting the cause of the problem based on the cause, thus problem analysis and correction can efficiently be executed. The imaging sequence 1329 can be visualized and then displayed on the window 1301. On the window 1302, the imaging sequence for imaging the EP with the ID of “first” is visualized as AP1 (1303)→AP2 (1304)→AF (1305)→EP 1306). Further, the display method in the window 1301 can be provided with a several options. As examples of such options provided to the display method, there are cited a designation (check box 1317) of the stacked layer to be displayed, a switching option (check box 1318) for switching the display of the coordinate gauge displayed in the frame 1302 between the relative coordinate from the EP and the absolute coordinate (from a certain reference point), designation of the display magnification (1319), and so on. Further, the window 1307 shows the table of the EPs. In the window, the reference numerals 1308 through 1311 denote the initial EPs designated by, for example, the user, and by pressing the optimization button 1316, if necessary, for example as shown in FIG. 8, change of the imaging range of the EP, merging of a plurality of EPs, or division of the EP is executed. The reference numerals 1312 through 1315 are optimized EPs. From the viewpoint of the relationship between the EPs before the optimization and the EPs after the optimization, it is understood, for example, that the EP1 (the EP with the first ID) before the optimization and the EP2 (the EP with the second ID) before the optimization are merged into a new EP1. Further, by checking the check box displayed on the left of the display of the EPs 1308 through 1315, it is possible to display the EP, which is provided with the check, in the window 1301. It should be noted that although in the embodiments described above, the recipe creation in the SEM device is explained, the present invention can be applied not only to the SEM devices, but also to optical microscopes, scanning probe microscopes (hereinafter referred to as SPM), and so on. In other words, in the optical microscope or the SPM, there are some cases in which the desired EP is observed, and the measurement is executed on the MP in the EP, and therefore, the automatic recipe creation method, the data managing method, and the system configuration, the GUI, and so on described in the present invention can be utilized therefor. In the SPM, the SEM image described in the embodiments is replaced with the depth information obtained by the SPM or the image obtained by converting the depth information (by converting the depth value into the brightness value). Due to the reduction of design margin associated with the miniaturization and density growth of the LSI, the number of evaluation points at which the dimensional control of the semiconductor pattern is required is dramatically increasing, and the improvement in the throughput and the improvement in the ratio of automation are strongly desired to the SEM device and so on used as the dimensional control tool. The present invention relates to an automatic recipe creation of the SEM device. According to the present invention, it becomes possible to image and measure a number of evaluation points with high ratio of automation, at high speed, and with high accuracy, thus pattern designing of a semiconductor device, and the feed-back to the manufacturing process become possible.
summary
059303182
claims
1. A method of fuel handling in a nuclear reactor having a reactor vessel comprising a reactor core with a plurality of fuel assemblies and control rods and wherein a fuel pool is arranged adjacent to said reactor vessel, said method comprising the steps of: lifting simultaneously a group comprising a plurality of fuel assemblies into or out of said reactor vessel with a single gripper; and transporting said group between said reactor vessel and said fuel pool by means of said gripper. handling equipment movable between said fuel pool and said reactor vessel; a single gripper extending from said handling equipment; a plurality of gripping devices attached to said gripper, each said gripping device provided with means for lifting a fuel assembly, so that said gripping devices of said single gripper simultaneously lift a plurality of fuel assemblies into or out of said reactor vessel or fuel pool. 2. The method according to claim 1 wherein said group comprises at least one core module. 3. An apparatus for fuel handling in a nuclear reactor having a reactor vessel comprising a reactor core with a plurality of fuel assemblies and control rods and wherein a fuel pool is arranged adjacent to said reactor vessel, said apparatus comprising: 4. The apparatus according to claim 3 wherein said gripper is adapted to lift at least one core module. 5. The apparatus according to claim 3 further comprising partitions of neutron absorbing material arranged in said gripper such that said fuel assemblies lifted therein are separated by said partitions. 6. The apparatus according to claim 3 wherein said gripper further comprises a sleeve having a length corresponding to a length of said fuel assemblies and arranged around said gripper to enclose said fuel assemblies lifted therein.
abstract
A buckstay system is described comprising horizontal buckstays for the walls of a steam generator, for example in plural vertically space assemblies tied with vertical buckstays, in which a buckstay extends generally horizontally across each wall such as to form a connected pair with an adjacent buckstay at each corner; an elongate tie bar formation extends across each wall such as to form a fixedly mounted pair with an adjacent tie bar formation at each corner; an anchor assembly associated with each buckstay and providing engagement means by which each buckstay engages with a respective tie bar; and each horizontal buckstay is split to comprise at least two rigid elongate buckstay elements mounted together to be relatively slideable in a buckstay longitudinal direction.
abstract
An X-ray anti-scatter grid having thinner X-ray opaque layers, smaller X-ray opaque diameters, greater aspect ratio, lower weight and improved image resolution is disclosed. A method of forming the X-ray anti-scatter grid is disclosed that includes a set of hollow X-ray transparent glass capillary tubes that are fused together, with an X-ray opaque layer thick enough to block X-rays at a specified energy inside the capillary tubes. The capillary tubes provide the high aspect ratio and light weight, while the X-ray opaque layer is provided by a deposition process that has features similar to atomic layer deposition (ALD). The high aspect ratio and thin layers improves resolution and decreases image artifacts, and large area X-ray anti-scatter grids are provided by aligning the axis of the an X-ray opaque layers to the X-ray source.
summary
description
This application claims priority under 35 U.S.C. §119 to U.S. Provisional Patent Application Ser. No. 61/922,285, filed Dec. 31, 2013, the entire contents of which are hereby incorporated by reference. This disclosure generally relates to systems and methods for managing nuclear reactor control rods. Nuclear power reactors rely on neutron absorbing rods to control reactivity. Traditionally, rods are inserted into the reactor core in sets, with a set of rods for each fuel assembly with control rods. The control rods may be moved within the core to control (at least partially) the nuclear fission that occurs in the core. Some reactor designs have a control rod drive mechanism located on one side of a primary system pressure boundary, while the control rods are located on an opposite side of the pressure boundary within the core. Other designs have a drive mechanism be part of the pressure boundary. During normal operation, the rods are positioned within the core by the drive mechanism providing either a force to insert the rods further into the core or a force to remove the control rods from the core. The drive mechanism also may hold the control rods at several different degrees of insertion within the core. In a general implementation, a nuclear reactor control rod drive assembly includes a control rod drive mechanism coupled to a drive shaft and operable to bi-directionally urge the drive shaft through a portion of an inner volume of a reactor vessel at a first force; a control rod manifold coupled to the drive shaft; a plurality of control rods coupled to the control rod manifold, the plurality of control rods adjustable among a plurality of positions within the inner volume of the reactor vessel based on operation of the control rod drive mechanism; and at least one variable strength joint positioned between the control rod drive mechanism and the plurality of control rods. In a first aspect combinable with the general implementation, the variable strength joint includes a failure strength that is greater than the first force and is less than a second force that is greater than the first force and acts on the plurality of control rods due to an abnormal operation event. In a second aspect combinable with any of the previous aspects, the control rod drive mechanism is positioned external to the reactor vessel. In a third aspect combinable with any of the previous aspects, wherein a portion of the drive shaft includes the variable strength joint. In a fourth aspect combinable with any of the previous aspects, the portion of the drive shaft is located at a distal end of the drive shaft that is near the control rod manifold and is opposite a proximal end of the drive shaft that is coupled to the control rod drive mechanism. In a fifth aspect combinable with any of the previous aspects, the variable strength joint includes at least one of a shear ring, a snap ring, or a reduced diameter portion of the drive shaft. In a sixth aspect combinable with any of the previous aspects, a portion of at least one of the plurality of control rods includes variable strength joint. In a seventh aspect combinable with any of the previous aspects, the portion of the at least one control rod is near the control rod manifold. In an eighth aspect combinable with any of the previous aspects, the variable strength joint includes at least one of a shear ring, a snap ring, or a reduced diameter portion of the drive shaft. In another general implementation, a method for managing a nuclear control rod ejection event includes moving, with a control rod drive mechanism, a control rod manifold in a first direction and at a first force through a portion of a reactor vessel, the control rod manifold coupled to the control rod drive mechanism with a drive shaft; adjusting a position of a neutron absorption rod in the reactor vessel in the first direction based on the movement of the control rod manifold, the neutron absorption rod coupled to the control rod manifold; receiving, in response to an abnormal operation event, a second force greater than the first force that acts on at least one of the neutron absorption rod, the control rod manifold, or the drive shaft in a second direction opposite the first direction; and in response to receipt of the second force, decoupling at least one of the drive shaft from the control rod manifold or the control rod manifold from the neutron absorption rod with a variable strength joint. In a first aspect combinable with the general implementation, decoupling at least one of the drive shaft from the control rod manifold or the control rod manifold from the neutron absorption rod with a variable strength joint includes decoupling the drive shaft from the control rod manifold. In a second aspect combinable with any of the previous aspects, decoupling the drive shaft from the control rod manifold includes at least one of: breaking a snap ring that couples the drive shaft to the control rod manifold; shearing a shear ring that coupled the drive shaft to the control rod manifold; or breaking the drive shaft at a portion of the drive shaft coupled to the control rod manifold. In a third aspect combinable with any of the previous aspects, decoupling at least one of the drive shaft from the control rod manifold or the control rod manifold from the neutron absorption rod with a variable strength joint includes decoupling the control rod manifold from the neutron absorption rod. In a fourth aspect combinable with any of the previous aspects, decoupling the control rod manifold from the neutron absorption rod includes at least one of: breaking a snap ring that couples the neutron absorption rod to the control rod manifold; shearing a shear ring that coupled the neutron absorption rod to the control rod manifold; or breaking the neutron absorption rod at a portion of the neutron absorption rod coupled to the control rod manifold. In a fifth aspect combinable with any of the previous aspects, the second force includes a hydraulic pressure that acts on at least one of: an end of the drive shaft opposite another end of the drive shaft that is coupled to the control rod manifold; or a surface of the control rod manifold that faces the first direction. In a sixth aspect combinable with any of the previous aspects, the variable strength joint includes a failure strength that is greater than the first force and less than the second force. In another general implementation, a nuclear reactor control rod drive assembly includes a control rod drive mechanism; a drive shaft coupled to the drive mechanism; and a manifold coupled to the drive shaft with a first connection, the manifold including a second connection to couple a control rod to the manifold. At least one of the first connection or the second connection is a variable strength connection. In a first aspect combinable with the general implementation, the variable strength connection includes a first failure strength defined in a first direction and a second failure strength defined in a second direction opposite the first direction, the first failure strength greater than the second failure strength. In a second aspect combinable with any of the previous aspects, the first failure strength is at least an order of magnitude greater than the second failure strength. In a third aspect combinable with any of the previous aspects, the order of magnitude is at least two. In a fourth aspect combinable with any of the previous aspects, the variable strength connection includes a shear member that fails at a force in the first direction equal or greater than the first failure strength, and that fails at a force in the second direction equal or greater than the second failure strength. Various implementations described in this disclosure may include none, one, some, or all of the following features. For example, a nuclear reactor control rod drive assembly according to the present disclosure may help prevent core damage in the case of a control rod ejection event. The control rod drive assembly may also help prevent a breach of a pressure barrier, such as a reactor vessel, during an ejection event. As another example, the control rod drive assembly may prevent or help prevent such events while also providing for normal operational movement of neutron absorption rods during normal (e.g., non-accident) operation of the nuclear reactor system. As yet another example, the control rod drive assembly may prevent or help prevent an additional breach (e.g., in addition to an initial breach that may initiate an ejection event) to a pressure boundary that results from core damage that may occur. For example, the control rod drive assembly, may stop or help stop the insertion of reactivity and core damage. Therefore the additional breach from corium may be prevented. The details of one or more implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below. Other features, aspects, and advantages of the subject matter will become apparent from the description, the drawings, and the claims. The present disclosure describes a nuclear reactor control rod drive assembly that, in some aspects, prevents or helps prevent ejection of a neutron absorption control rod and/or portion of the drive assembly in response to an ejection event. For example, two or more portions of the drive assembly may be coupled together by a variable strength connection or joint that breaks, in response to an ejection force, to prevent or help prevent ejection of the drive assembly portions or control rods. FIG. 1 is a block diagram illustrating a nuclear reactor system 100 (e.g., a nuclear reactor) that includes a nuclear reactor control rod drive assembly 25 (a portion of which is shown in FIG. 1). In some aspects, the nuclear reactor system 100 is a commercial power pressurized water reactor that utilizes circulation (e.g., natural) of a primary coolant to cool a nuclear core and transfer heat from the core to a secondary coolant through one or more heat exchangers. The secondary coolant (e.g., water), once heated (e.g., to steam, superheated steam or otherwise), can drive power generation equipment, such as steam turbines or otherwise, before being condensed and returned to the one or more heat exchangers. With respect to the nuclear reactor system 100, a reactor core 20 is positioned at a bottom portion of a reactor vessel 70 (e.g., cylinder-shaped or capsule-shaped). Reactor core 20 includes a quantity of nuclear fuel assemblies, or rods (e.g., fissile material that produces, in combination with control rods, a controlled nuclear reaction), and optionally one or more control rods (not shown). In some implementations, nuclear reactor system 100 is designed with passive operating systems (e.g., without a circulation pump for the primary coolant) employing the laws of physics to ensure that safe operation of the nuclear reactor 100 is maintained during normal operation or even in an emergency condition without operator intervention or supervision, at least for some predefined period of time. A containment vessel 10 (e.g., cylinder-shaped or capsule-shaped) surrounds reactor vessel 70 and, in the illustrated example, is partially or completely submerged in a reactor pool, such as below waterline 90 (which may be at or just below a top surface 35 of the bay 5), within reactor bay 5. The volume between reactor vessel 70 and containment vessel 10 may be partially or completely evacuated to reduce heat transfer from reactor vessel 70 to the reactor pool. However, in other implementations, the volume between reactor vessel 70 and containment vessel 10 may be at least partially filled with a gas and/or a liquid that increases heat transfer between the reactor and containment vessels. In the illustrated implementation, reactor core 20 is submerged within a liquid, such as water, which may include boron or other additives, which rises into channel 30 after making contact with a surface of the reactor core. The upward motion of heated coolant is represented by arrows 40 (e.g., primary coolant 40) within channel 30 (e.g., riser 30). The coolant travels over the top of heat exchangers 50 and 60 and is drawn downward by density difference along the inner walls of reactor vessel 70 thus allowing the coolant to impart heat to heat exchangers 50 and 60. After reaching a bottom portion of the reactor vessel 70, contact with reactor core 20 results in heating the coolant, which again rises through channel 30. Although heat exchangers 50 and 60 are shown as two distinct elements in FIG. 1, heat exchangers 50 and 60 may represent any number of helical (or other shape) coils that wrap around at least a portion of channel 30. In the illustrated implementation, normal operation of the nuclear reactor module proceeds in a manner wherein heated coolant rises through channel 30 and makes contact with heat exchangers 50 and 60. After contacting heat exchangers 50 and 60, the coolant sinks towards the bottom of reactor vessel 70 in a manner that induces a thermal siphoning process. In the example of FIG. 1, coolant within reactor vessel 70 remains at a pressure above atmospheric pressure, thus allowing the coolant to maintain a high temperature without vaporizing (e.g., boiling). In the illustrated implementation, as coolant within heat exchangers 50 and 60 increases in temperature, the coolant may begin to boil. As the coolant within heat exchangers 50 and 60 begins to boil, vaporized coolant, such as steam, may be used to drive one or more turbines that convert the thermal potential energy of steam into electrical energy. In the illustrated implementation, after condensing, coolant is returned to locations near the base of heat exchangers 50 and 60. In the illustrated implementation, a downcomer region between the reflector 15 and the reactor vessel 70 provides a fluid path for the primary coolant 40 flowing in an annulus between the riser 30 and the reactor vessel 70 from a top end of the vessel 70 (e.g., after passing over the heat exchangers 50, 60) and a bottom end of the vessel 70 (e.g., below the core 20). The fluid path channels primary coolant 40 that has yet to be recirculated through the core 20 into convective contact with at least one surface of the reflector 15 in order to cool the reflector 15. Although a specific example of a nuclear reactor system is shown in FIG. 1, the system 100 may also be any other type of nuclear power system that includes a nuclear reactor core that provides heat, which is utilized to boil water either in a primary coolant loop (e.g., as in a boiling water reactor) or in a secondary cooling loop (e.g., as in a pressurized water reactor). Vaporized coolant, such as steam, may be used to drive one or more turbines that convert the thermal potential energy into electrical energy. After condensing, coolant is then returned to again remove more heat energy from the nuclear reactor core. Thus, nuclear reactor system 100 is one example of any nuclear power system, which may include a control rod drive assembly according to the present disclosure. The control rod drive assembly 25, a portion of which is shown in FIG. 1, includes a shaft connected to a manifold that holds one or more control rods 45, as illustrated (more detail is shown in FIG. 2). Generally, the control rod drive assembly 25 may adjust (e.g., move) a location of the control rods 45 relative to the core 20 during operation of the nuclear reactor system 100. For example, during normal operation (e.g., not during an accident event), the control rod drive assembly 25 may adjust a location of the control rods 45 within the core to maintain particular temperatures, pressures, or other variables in the system 100. The control rod drive assembly 25 may also be designed so as to address certain accident events, such as an “ejection” event of the control rods 45. For example, a breach of a pressure boundary (e.g., the reactor vessel 70) may expose surfaces facing in a direction relatively away from the core 20 to a pressure less than the pressure acting on the surfaces facing a relative direction towards the core 70. The difference in pressure provides a motive force to “eject” the control rods 45 from the core 20. This ejection accident typically occurs over a time period shorter than the periods of time over which the control rods 45 are normally inserted or removed from the core 20 by the drive assembly 25. As such, the acceleration of the control rods 45 during an “ejection” accident is greater than during normal operation, and the force applied to the rods 45 for movement during normal operation is less than during the postulated ejection accident scenario. The relatively quick removal of the control rods 45 during the postulated ejection accident may produce an associated quick insertion of reactivity with potential for damage to the core 20. The illustrated drive assembly 25 may prevent (e.g., or help prevent) an initiating event (e.g., an ejection event) from resulting in removal of the control rods 45 from the core 20 sufficiently so as to prevent or help prevent core damage. The illustrated drive assembly 25 may include a variable strength coupling or connection between, for instance, the control rods 45 and the manifold and/or between the drive shaft and the manifold (as some examples). The variable strength connection or connections may be designed so that the drive assembly 25 and control rods 45 are decoupled (or portions of the drive assembly 25 are decoupled) if an increased removal force is present based on the initiating event. Thus, the drive assembly 25 may positively connect to the control rods 45 for any movement forces similar to those experienced during normal operation, but to disconnect from the control rods 45 under greater forces experienced during an ejection accident event. By disconnecting at such greater forces, the drive assembly 45 may prevent or help prevent an additional breach of a pressure boundary (e.g., the reactor vessel 70) during an ejection event, in addition to preventing or helping to prevent core damage. In an example analysis of control rod drive assembly 25 during a normal operation (e.g., not in an ejection event), movements of the control rods 45 by the drive assembly 25 may be relatively slow (e.g., as compared to an ejection event) and there may be negligible force due to acceleration on the variable strength connection(s). For example, a normal force on a particular variable strength connection may be only a weight of the drive assembly 25 (and control rods 45 in some aspects). If the drive assembly 25 is considered to be roughly twelve feet in length, an ejection time period of the drive assembly 25 may be, typically, about 160 ms. The calculated force on the variable strength connection(s) may be according to Table 1 (in SI units). TABLE 1VariableValueControl Rod Drive Assembly Mass (typ.)38.6 kgAcceleration due to gravity9.81 m/s2Time to ejection0.16 secStarting velocity0 m/sEjection distance (assuming 2 m core length)2 mAcceleration due to ejection (velocity/time2)156.3 m/s2Force on Drive Assembly under normal38.6 × 9.81 = 379 Noperation (neglecting acceleration additional togravity)Force on Drive Assembly under ejection38.6 × 156.3 = 6039 Naccident scenario (mass × acceleration) The ejection forces on the drive assembly 25, in this example, are much greater than normal operation forces on the drive assembly 25. As illustrated, for instance, the force under the ejection accident scenario is about 16 times that of the force under the normal operation scenario. The variable strength connection(s) may be designed, therefore, to remain intact during normal operation while breaking or shearing during the ejection accident. Unwanted breakage or shearing of the variable strength connection(s) (e.g., during normal operation) is unlikely because the magnitude of the differences in forces acting on the drive assembly 25. FIG. 2 illustrates an example implementation of a nuclear reactor control rod drive assembly 200, illustrated in a portion of a nuclear reactor system (e.g., nuclear reactor system 100). As illustrated, the control rod drive assembly 200 includes a drive mechanism 205, a drive actuator 220, a drive shaft 210, and a manifold 215. The drive assembly 200, as shown, is illustrated mounted in the reactor vessel 70 and is coupled to control rods 45. The control rods 45, in this figure, are illustrated as inserted, at least partially, into the core 20 of the nuclear reactor system. In the illustrated embodiment, the actuator 220 of the drive mechanism 205 is communicably coupled to a control system 225. Generally, the control system 225 may receive information (e.g., temperature, pressure, flux, valve status, pump status, or other information) from one or more sensors of the nuclear reactor system 100 and, based on such information, control the actuator 220 to energize the drive mechanism 205. In some implementations, the control system 225 may be a main controller (i.e., processor-based electronic device or other electronic controller) of the nuclear reactor system. For example, the main controller may be a master controller communicably coupled to slave controllers at the respective control valves. In some implementations, the control system 225 may be a Proportional-Integral-Derivative (PID) controller, a ASIC (application specific integrated circuit), microprocessor based controller, or any other appropriate controller. In some implementations, the control system 225 may be all or part of a distributed control system. The illustrated drive mechanism 205 is coupled (e.g., threadingly) to the drive shaft 210 and operable, in response to operation of the actuator 220, to adjust a location of the control rods 45 in the reactor vessel 70 (e.g., within the core 20) by raising or lowering the manifold 215 on the drive shaft 210. In some aspects, the drive mechanism 205 only controls movement of the drive assembly 200 and control rods 45 during normal operation (e.g., exclusive of an ejection event). Thus, the drive mechanism 205 may slowly move the drive shaft 210 and manifold 215 in relation to movement of the drive assembly 200 during an ejection event (as described above). In the illustrated implementation, actuation of the drive mechanism 205 may apply a force to drive the drive shaft 210 up or down (e.g., away from the core 20 or towards the core 20) to adjust a location of the control rods 45. In some implementations, the drive mechanism 205 may not apply a positive force to move the drive shaft 210 and manifold 215 but may simply support these components to oppose a downward force of gravity. For example, the control rods 45 may hang from the manifold 215 under their own weight due to gravity. For insertion, the drive mechanism 205 may move the location, or height, at which the manifold 215 is placed and where the associated support to oppose gravity is located. For movement, the mechanism 205 may reduce an amount of force opposing gravity, and there is a net force which inserts the rods 45 into the core 20. For example, a force for insertion of the control rods 45 is gravity for a top mounted drive mechanism 205 (as shown), but may be a positive force opposite to gravity in bottom mounted drive mechanisms (both of which are contemplated by the present disclosure). The drive shaft 210 is coupled to the manifold 215, that, as illustrated, is coupled to one or more control rods 45. As shown, the drive shaft 210 is coupled to the manifold 215 at a variable strength connection 235. The control rods 45 are also coupled to the manifold 215 at variable strength connections 230. Generally, each variable strength connection (230 and 235) is a joint that is located at a junction of two components of the drive assembly 200. Each joint, in the illustrated implementation, may withstand a force applied thereto during normal operational functions (e.g., operation of the drive mechanism 205 to adjust the manifold 215 location) to securely move the rods 45 in one or more directions without breaking or shearing. Further, each joint, in the illustrated implementation, may not withstand a force applied thereto during an ejection event without breaking or shearing. In some aspects, a strength of a joint at a variable strength connection (230 or 235) may be directionally-dependent. For example, a variable strength connection (230 or 235) may be designed to withstand a particular force, without breaking, when applied thereto in a particular direction, but may also be designed to withstand a much greater force, without breaking, when applied thereto in an opposite direction. Thus, in one example, a variable strength connection (230 or 235) may have variations in strength in the direction of removal of the control rods 45 (e.g., away from the core 20), but not in the direction of insertion of the control rods 45 (e.g., towards the core 20). This may allow for the weight of the drive shaft 210, or any additional drive force by the drive mechanism 205, to effectively drive the control rods 45 into the core 20 during a reactor trip (e.g., SCRAM event). Thus, the variable strength connection (230 or 235) may not break or shear when driving the rods 45 into the core 20, since the connection has variable strength only in the direction of movement when the drive shaft 210 is removing the rods 45 from the core 20. Although FIG. 2 shows variable strength connections between the drive shaft 210 and manifold 215 and between the control rods 45 and the manifold 215, in some implementations, there may only be a variable strength connection between the drive shaft 210 and manifold 215. For example, in aspects where there is no variable strength connection between the drive shaft 210 and manifold 215, these components may be rigidly connected (e.g., welding or otherwise). In other aspects, there may only be a variable strength connection between the control rods 45 and manifold 215. FIGS. 3A-3B illustrate closer views of portions of the example implementation of the nuclear reactor control rod drive assembly 200. FIG. 3A for instance, shows a closer view of the variable strength connection between the drive shaft 210 and the manifold 215. As illustrated, an end of the drive shaft 210 is inserted into a pocket 250 made in the manifold 215. A portion of the drive shaft 210 includes a cutaway 265 (e.g., reduced diameter portion) and the manifold 215 includes a cutaway 255 that define an annular ring into which is positioned a shear member 260. In some aspects, the shear member 260 is a shear ring or snap ring (or an interference fit or other breakable connection), which positively engages the drive shaft 210 to the manifold 215. The shear member 260 and the cutaways 255, 265, in this example, form the variable strength connection between the drive shaft 210 and the manifold 215. In normal operation, a force (e.g., from the drive mechanism 205) is applied on the drive shaft 210 so that movement is in a downward direction (e.g., towards the core 20) to move the control rods 45 coupled to the manifold 215 into the core 20. During a SCRAM event as well, a force may be applied on the drive shaft 210 in a downward direction (e.g., towards the core 20) to move the control rods 45 coupled to the manifold 215 into the core 20 (e.g., very quickly to stop the event). The force during the SCRAM event may be much greater than the force applied during normal operation. Thus, the variable strength connection between the drive shaft 210 and the manifold 215 may withstand (without breaking or shearing) the force during normal operation and during a SCRAM event when the force is applied in a direction towards the core 20. In the event of an ejection accident, a force (e.g., a hydraulic force from a coolant in the core 20) is applied to an end 263 of the drive shaft 210 in a direction opposite the core 20. For instance, as shown, an aperture is formed in the manifold 215 adjacent the end 263, thereby defining a fluid pathway for a fluid (e.g., the coolant) to apply pressure to the end 263 of the drive shaft 210. As described above, the force can be much larger than a force applied to the drive shaft 210 during a normal operation. Thus, the variable strength connection between the drive shaft 210 and the manifold 215 may break or shear based on the ejection event force that is applied in a direction opposite the core 20. FIG. 3B shows a closer view of the variable strength connection between the control rods 45 and the manifold 215. As illustrated, an end of each control rod 45 is inserted into a pocket 250 made in the manifold 215, the pocket terminating in inward-directed flange 257. A portion of the control rod 45 includes a cutaway 270 (e.g., reduced diameter portion) and the manifold 215 includes a cutaway 255 that, together define an annular ring into which is positioned a shear member 260. In some implementations, the shear member 260 is a shear ring or snap ring (or an interference fit or other breakable connection), which positively engages the control rod 45 to the manifold 215. The shear member 260 and the cutaways 255, 270, in this example, form the variable strength connection between the control rod 45 and the manifold 215. In an example normal operation, a force (e.g., from the drive mechanism 205) may be applied on the drive shaft 210 in a downward direction and transferred to the manifold 215 (and then control rods 45) to move the control rods 45 into the core 20. During the SCRAM event as well, the force is applied on the drive shaft 210, and transmitted through the manifold 215 to the control rods 45, to move the control rods 45 into the core 20 (e.g., very quickly to stop the event). The force during the SCRAM event may be much greater than the force applied during normal operation. Thus, the variable strength connection between the control rods 45 and the manifold 215 may withstand (without breaking or shearing) the force during normal operation and during a SCRAM event when the force is applied in a direction towards the core 20. In the event of an ejection accident, a force (e.g., a hydraulic force from a coolant in the core 20) is applied to, for example, a bottom surface of the control rods 45 in a direction opposite the core 20, which acts to urge the rods 45 and manifold 215 (and drive shaft 210) upwardly at a high rate of speed. As described above, the force can be much larger than a force applied to the drive shaft 210 during a normal operation. Thus, the variable strength connection between the control rods 45 and the manifold 215 may break or shear based on the ejection event force that is applied in a direction opposite the core 20. FIG. 4 is a flowchart that describes an example method 400 for managing an ejection event in a nuclear reactor system. Method 400 may be performed with, for example, the nuclear reactor system 100 shown in FIG. 1, which may include the control rod drive assembly 200, or with another suitable nuclear reactor system that includes a control rod drive assembly according to the present disclosure. In step 402, a control rod manifold is moved (e.g., by a control rod drive mechanism) in a first direction at a first force. In some implementations, the first direction could be towards a core of the nuclear reactor system and the force (and speed) of movement may be relatively negligible (e.g., as compared to an ejection event force). In some implementations, the force in the first direction may be relatively large, such as during a SCRAM event when the control rod manifold is moved relatively quickly (as compared to normal operational movement). In step 404, a position of a neutron absorption, or control, rod in a reactor vessel of the nuclear reactor system is adjusted in the first direction (e.g., towards the core) based on movement of the control rod manifold. For instance, in some instances, the control rod is coupled to the manifold, which in turn may be coupled to a drive shaft that is coupled to the control rod drive mechanism. In some implementations, of course, the drive mechanism may bidirectionally adjust a position of the control rods during normal operation. In step 406, in response to an abnormal event (e.g., an ejection event) a second force is received that acts on at least a portion of the control rod guide assembly and/or a control rod or rods. In some implementations, the second force is generated by a hydraulic pressure (e.g., of a coolant that flow through the core) under high pressure. The hydraulic pressure may act on an end (e.g., axial surface) of the drive shaft opposite another end that is within the control assembly near or at a pressure boundary. In some implementations, the hydraulic pressure may act on a bottom surface of the control rod manifold (e.g., a surface that faces the first direction). The hydraulic pressure may act to urge the control rods and drive assembly away from the core. In some implementations, the second force is greater, for instance, at least twice greater, than the first force. In step 408, in response to the second force, a portion of the control rod drive assembly is decoupled with a variable strength joint that has a failure strength less than the second force. In some implementations, the variable strength joint is positioned between the drive shaft and the manifold. Once the variable strength joint fails in response to the second force, portions of the drive assembly and/or the control rods may remain within the core rather than being ejected from the core. In some implementations, the variable strength joint is positioned between the control rod and the manifold. In some implementations, the variable strength is positioned between the drive shaft and the drive mechanism. In some implementations, the variable strength joint may include a snap ring (or other breakable connection). The variable strength joint may also include a shear ring. Decoupling at the variable strength joint may include, for instance, breaking the snap ring or shearing the shear ring to decouple the portions of the drive assembly. Other variable strength joints may include, for example, a shear pin that can break at the second strength or a reduced dimension or failure portion. The use of terminology such as “front,” “back,” “top,” “bottom,” “over,” “above,” and “below” throughout the specification and claims is for describing the relative positions of various components of the system and other elements described herein. Similarly, the use of any horizontal or vertical terms to describe elements is for describing relative orientations of the various components of the system and other elements described herein. Unless otherwise stated explicitly, the use of such terminology does not imply a particular position or orientation of the system or any other components relative to the direction of the Earth gravitational force, or the Earth ground surface, or other particular position or orientation that the system other elements may be placed in during operation, manufacturing, and transportation. A number of implementations have been described. Nevertheless, it will be understood that various modifications may be made. For example, advantageous results may be achieved if the steps of the disclosed techniques were performed in a different sequence, if components in the disclosed systems were combined in a different manner, or if the components were replaced or supplemented by other components. Accordingly, other implementations are within the scope of the following claims.
claims
1. A passive safety system for removing decay heat from a nuclear power system, comprising:a reactor vessel;a containment structure surrounding the reactor vessel;a divided flow channel surrounding a perimeter of the containment structure, wherein the divided flow channel includes a coolant downcomer opening at a coolant source inlet, and a coolant riser between the coolant downcomer and the containment structure, wherein the coolant downcomer and coolant riser are in fluid communication at a bottom of the divided flow channel; anda damper in the divided flow channel, wherein the damper is configured to move between an open position permitting coolant flow through the divided flow channel and a closed position restricting coolant flow through the divided flow channel, and wherein the damper is positioned in the divided flow channel to move in the direction of gravity into the open position. 2. The system of claim 1, wherein the reactor vessel includes a molten salt, wherein the coolant riser and the coolant downcomer are in fluid communication only at the bottom of the divided flow channel, and wherein air from the atmosphere is configured to flow through the divided flow channel to cool the containment structure and the reactor vessel when the damper is in the open position. 3. The system of claim 1, wherein the divided flow channel is horizontal where the damper is installed, wherein the damper in the closed position extends at least partially vertically upward to substantially close the divided flow channel. 4. The system of claim 1, further comprising:a hinge at a first end of the damper, wherein the hinge rotatably connects the damper to the divided flow channel; andan attachment connecting to a second end of the damper and configured to rotate the damper about the hinge. 5. The system of claim 1, further comprisingan attachment removably joining to the damper and configured to hold the damper in the open position and position the damper in the closed position. 6. The system of claim 5, wherein the attachment includes an electromagnet, and wherein the damper includes a magnetic material such that the damper is securely joined to the attachment only when the electromagnet is powered. 7. The system of claim 5, further comprising:a winch coupled to the attachment and configured to move the attachment and the damper in the divided flow channel. 8. The system of claim 5, further comprising:a power source connected to the attachment, wherein the attachment is configured to hold the damper in the open position under power provided by the power source; anda temperature-dependent switch controlling the power provided by the power source. 9. The system of claim 8, wherein the temperature-dependent switch is configured to remove the power provided by the power source at a temperature associated with a transient of the nuclear power system so that the damper is positioned in the open position at the temperature. 10. The system of claim 9, wherein the temperature-dependent switch includes a conductor that melts at the temperature so as to open a circuit at the temperature and remove the power. 11. The system of claim 9, wherein the temperature-dependent switch is positioned in the coolant riser. 12. A passive safety system for removing decay heat from a nuclear power system, comprising:a coolant flowpath about a nuclear power reactor configured to carry a coolant flow to remove heat from the reactor; anda damper system in the coolant flowpath, wherein the damper system includes a damper configured to move, at a threshold temperature, from a closed position restricting the coolant flow to an open position permitting the coolant flow, and wherein the damper system is positioned in the coolant flowpath to move in the direction of gravity into the open position. 13. The system of claim 12, wherein the damper system further includes power source and a temperature-dependent switch in the coolant flow, wherein the temperature-dependent switch is configured to disconnect the power source at the threshold temperature. 14. The system of claim 13, wherein the damper system further includes an attachment holding the damper in the closed position only when connected to the power source. 15. The system of claim 14, wherein the attachment includes an electromagnet powered by the power source, and wherein the damper includes a magnetic material. 16. The system of claim 13, wherein the temperature-dependent switch includes a material completing a circuit, wherein the material is configured to melt at the threshold temperature so as to open the circuit. 17. The system of claim 12, wherein the coolant flowpath is a divided flow channel surrounding the reactor, wherein the divided flow channel includes a coolant downcomer opening at a coolant source inlet, and a coolant riser between the coolant downcomer and a containment structure, wherein the coolant downcomer and coolant riser are in fluid communication at a bottom of the divided flow channel. 18. The system of claim 17, wherein the coolant riser and the coolant downcomer are in fluid communication only at the bottom of the divided flow channel, and wherein air from the atmosphere is configured to flow through the divided flow channel to cool the reactor when the damper is in the open position.
047175109
abstract
Waste material is encapsulated by charging into a container which is then closed by a cover (11) having filling and vent port means sealed temporarily by a diaphragm (13), such as a metal foil. A nozzle device (20) makes push-fit connections with the port means and ruptures the diaphragm in making the connections. Solidifiable medium is then introduced through the nozzle device and filling port while displaced atmosphere escapes through the vent.
043080987
summary
BACKGROUND OF THE INVENTION This invention pertains generally to methods for converting analog signals into digital form and more particularly to analog to digital conversion methods that maximize noise rejection. In many applications analog electrical signals are monitored as an indication of events occurring at remote locations. Frequently, it is necessary to transport the analog signals through adverse environments which contribute noise to the signal being conveyed. Often the noise contributions will obscure the information being communicated. While a number of noise rejection techniques are available in the form of filters, the slow response times exhibited are often objectionable. Noise problems become even more acute when further processing of the analog signals is required to obtain meaningful data. Arithmetic computations on the communicated information will in certain cases amplify the effective noise to signal ratio. In a number of applications, such computations are more efficiently obtained by first providing a digital representation of the analog input. However, in a high noise environment the digital samples will be severely affected by superimposed noise components. Noise rejection problems as well as the problems associated with converting analog signals to digital form become even more acute in many industrial systems in which minicomputers are employed to interface with analog signals remotely generated. An example of such a system is the axial power distribution monitoring system employed as part of a number of pressurized water reactor surveillance systems. An example of such a system is described in U.S. Pat. No. 3,932,211 entitled "A Method of Automatically Monitoring the Power Distribution of a Nuclear Reactor Employing Movable Incore Detectors", by James J. Loving, Jr. issued Jan. 13, 1976. The purpose of the system is to periodically scan the reactor core using movable incore flux mapping detectors. The neutron flux throughout the axial height of the core is recorded, normalized, and searched for unusual peaks that exceed acceptable limits. Unusual peaks in axial flux generally indicate abnormalities in the core such as gaps between fuel pellets caused by densification. The localized power increases that result must be kept within acceptable limits to insure the effectiveness of emergency core cooling systems in the unlikely event of severe accident conditions. For maximum efficiency, it is necessary to compare normalized data, such as neutron flux divided by the average over the core height, to a variable threshold. Acceptable peaks are then determined as a function of axial position. Higher peaks can be tolerated in the bottom of the core than can be tolerated at the top of the core. The alarm threshold is therefore, monotonically decreasing with increasing height in the core. To perform this function properly, the raw data must be sampled and stored throughout a scan since the true average can only be calculated at the end of each scan. A normalized curve must be generated and compared to a variable alarm threshold. An analog implementation of this function would be highly expensive and complex compared to a digital approach with a large number of samples. To accomplish this result it is desirable to employ a bus oriented minicomputer system. However, data conversion and transfer is complicated by the severe electrical environment experienced under the ambient conditions associated with nuclear reactor facilities. The axial power distribution monitoring system, like many other systems that employ digital minicomputers, requires that all inputs and outputs be interfaced by input/output circuitry located outside the computer. In addition, the analog signals must be converted to a digital representation by the input/output circuitry before being communicated to the computer. While the internals of the minicomputer are free of electromagnetic inteference due to appropriate shielding and filtering techniques, the input/output electronics experience a more severe environment since the remainder of the system employs very little shielding or filtering. Inexpensive successive approximation analog to digital converters used generally on input/output cards are particularly sensitive to interference on the incoming analog signals. Even when the analog signals are sufficiently processed to cleanse them of interference, and/or dual slope analog to digital converters are employed, the logic and wiring from the converter to the computer remains susceptible to interference either from the power supply lines or radiation from other signal lines. Accordingly, in the axial power distribution monitoring system, as in many systems, the need exists for a simple, inexpensive technique to accept low speed analog signals, and convert and transfer them within an electrically noisy environment to a separate minicomputer. This must be accomplished with a minimum susceptibility to electromagnetic interference and without expensive shielding and filtering of the entire system. SUMMARY OF THE INVENTION Briefly, this invention overcomes the deficiencies of the prior art by providing a method for electrically converting an analog signal into a digital representation in a manner that maximizes noise rejection. In accordance with the method of this invention the analog signal is converted into digital approximation in the form of a preselected number of discrete points sufficient to provide a digital representative reproduction. The approximations are obtained from digital samples taken at a predetermined number of discrete coordinates along the analog signal on either side of the respective discrete point locations. The predetermined number of coordinates sampled on either side of each discrete point is averaged to obtain averaged values which are then employed as corresponding approximations for the respective discrete points. Desirably, the distance between discrete points is substantially greater than the period between the samples of discrete coordinates. Furthermore, to minimize the effects of harmonics of the power line frequency, the time period over which the discrete coordinates are sampled for a corresponding discrete point is chosen to substantially equal an integral number of cycles of the power line frequency. In addition, to minimize high frequency noise having a low duty cycle the time period over which the discrete coordinates are sampled for a corresponding discrete point is arranged to be substantially greater than the period of occurrence of high frequency noise.
050248014
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention, when used online on a nominally continuous basis, will provide both frequent periodic updates of certain of the contents of a one dimensional (axial) analytical model and as--required adjustments of certain other contents of the model, so that the analytical core model can serve the dual functions of supplying to a graphic system the necessary data that permits the generation of graphic displays regarding current core conditions and trends of immediate use to the reactor operator and of providing a reliable basis for intializing sequences of analytical predictions of expected core response to anticipated plant maneuvers when requested by plant personnel or by dedicated automatic control systems. In addition, the present invention will defeat the tendency mentioned earlier of the analytically updated axial power distributions generated by a core response predictor to progressively deviate from the true core average axial power distribution. Constraining the analytically calculated axial power distribution to closely approximate the true core average axial power distribution insures that the calculated axial distributions of iodine, xenon, (promethium and samarium, if explicitly represented) and long term burn-up will also closely approximate the corresponding existing core average distributions. Specifically, the present invention, on a continuous, automatic and periodic basis, determines a "measured" value of incore axial offset synthesized from conventional plant instrumentation response signals as a basis for adjusting the A.sub.2 coefficient in the analytical representation of the axial distribution of transverse buckling values in the analytical core model to force a match between the axial offset of the calculated axial power distribution and the measured incore axial offset value. The present invention also synthesizes a measured value of incore axial pinch from plant instrumentation response signals and the measured axial pinch value is used in like manner to adjust the A.sub.3 coefficient in the representation of the axial distribution of transverse buckling values in the analytical core model to force a match between the axial pinch of the calculated axial power distribution and the measured incore axial pinch value. The present invention, in addition to measuring the core power level, control bank position and cold leg temperature signals that are currently supplied to existing core predictors, measures also at a minimum, the signals from the top and bottom detectors of at least one conventional excore power range neutron detector channel. The signal from at least one specified core exit thermocouple is also added to this input signal set. The selection of the thermocouple is described in U.S. Pat. No. 4,774,050 and a second thermocouple can also be selected and used for verification. If one or more so-called "multi-section" incore neutron detectors are in service at a particular installation or one or more strings of fixed incore detectors with at least three detectors per string is/are in service, the signals from the individual excore detector sections or fixed incore detectors are appropriate substitutes for the combination of two section incore detector signals and the core exit thermocouple signal. The two detector signals, together with the control bank position signal, along with the other variables supplied to the model, are used to synthesize the measured axial offset value (AO) directly using the equation below: ##EQU2## where B.sub.1 -B.sub.3 are axial offset expansion coefficients that are obtainable by a person of ordinary skill in the art by using a least squares fit calibration against a set of transient flux maps, DR.sub.t and DR.sub.b are the signals from the top and bottom sections of the incore detector, Q is core thermal power level conventionally provided to prediction models and bp is controlling bank position. In the least squares fit calibration mentioned above a series of flux maps, taken over a period of a few days once each quarter where the core is put into a transient axial oscillation state and the flux maps, are taken at various values of axial offset and least squares fit to obtain the coefficients. The axial pinch is synthesized by adding a term representative of local coolant enthalpy rise in the peripheral region of the core seen by the incore detectors and using a correlation of the form: ##EQU3## where C.sub.1 -C.sub.4 are axial pinch expansion coefficients obtainable by a least squares fit calibration against a series of transient flux maps as mentioned above and .DELTA.h is the local enthalpy rise derived from the core exit thermocouple signal and from a cold leg temperature signal as set forth in U. S. Pat. No. 4,774,050. If one or more "multi-section" incore detectors or one or more strings of fixed incore detectors are available, the measured axial offset value and the corresponding measured axial pinch value can be synthesized using: EQU AO.sub.meas. =F.sub.AO (I.sub.top, I.sub.upper middle, I.sub.lower middle, I.sub.bottom, h.sub.D) (5) EQU AP.sub.meas. =F.sub.AP (I.sub.top, I.sub.upper middle, I.sub.lower middle, I.sub.bottom, h.sub.D) (6) where the I's represent the currents generated by, for example, the individual sections of "multi-section" incore detector and the functions are simple numerical correlations between detector values and the actual offset or pinch determined at these values determinable by a nuclear engineer familiar with the detector system and the reactor core structure. The present invention on a prespecified periodic basis (every five minutes, for example) determines the values of time, power level, control bank position, cold leg temperature, RCS pressure (optionally), axial offset and axial pinch. The time, power level, control bank position, cold leg temperature and pressure (if provided) are used to update the model data file that contains the description of the current core model parameters. From the model, calculated core axial power distribution values of axial offset and axial pinch are extracted. The calculated values of axial offset and axial pinch are compared to the "measured" values of axial offset and axial pinch. If the respective values are in agreement within a prespecified tolerance, that is, if the current deviations or the time integral deviations, for a more accurate tracking function, are below a predetermined threshold, the updated model core description is stored and the updating process is suspended until the next scheduled update time. If the value of either calculated axial offset or calculated axial pinch fails to match the corresponding measured value within the specified tolerable error, adjustment, in a manner as described in U.S. Pat. No. 4,711,753, of the values of the A.sub.2 and A.sub.3 expansion coefficients in the analytical representation of the axial distribution of transverse buckling values are made to obtain acceptable agreement between the calculated and measured values of axial offset and axial pinch. When satisfactory agreement is obtained, the resulting analytical core description is stored and the updating process is suspended until the next scheduled update time. As illustrated in FIG. 1 the model adjustment system 10 obtains the core thermal power from a reactor control system 12 and control bank position information from a rod control system 14. The thermocouple system 16 connected to thermocouples 18, positioned at core fuel assembly exits, along with cold leg temperature obtained from the reactor control system 12 allow determination of the enthalpy rise while neutron detector signals are provided by a reactor protection system 20 connected to incore detectors 22 or from an incore fixed detector system 24 connected to incore detector strings 26. The systems 10, 12, 14, 16, 20 and 24 are normally provided as software modules in the plant computer. The relationship of the model adjustment system 10 to other software modules is illustrated in FIG. 2. Monthly a full scope calibrator 40 obtains the equilibrium power, axial iodine, xenon, promethium, samarium and long-term burn up distributions from the model file 42 along with an input boron concentration and iteratively, performs a conventional 1-D diffusion theory calculation of axial power shape with an equilibrium xenon distribution, compares the AO, AP, AQ, AR components of the calculated power distribution with the corresponding components of the average axial power distribution derived from a conventional equilibrium flux map and adjusts the A.sub.1 -A.sub.5 expansion coefficients of equation (2) until the calculated critical power shape of the model 42 closely matches the measured power shape in a manner as described in U.S. Pat. No. 4,711,753. Thereafter, a conventional front end data processor 44 obtains the plant instrumentation data previously discussed and supplies such to the adjuster module 10. This module or tracker model adjuster system 10 of the present invention substantially continuously, automatically and online adjusts the analytical core model 42 concurrently with an update of the model 42 by a model update system 46. With the substantially continuously adjusted analytical core model a conventional core response predictor 48 can predict the response of the nuclear reactor to contemplated changes entered by the user 50 by using the axial iodine, xenon, promethium, samarium, long term burn-up and transverse buckling distributions stored in the file as a starting point for a prediction in response to a user specified maneuver. In addition, the model can be used by a conventional graphics display generator 52 such as described in U.S. Pat. No. 4,642,213 to produce a display for the user 50 on a graphics monitor 54. An example of a possible sequence of execution of the steps necessary in the present invention to automatically, without user intervention, continuously update the analytical core model initiation parameters is illustrated in FIG. 3. At the beginning of a monthly update cycle 60 a flux map is conventionally obtained, boron concentration in the reactor cooling system is determined and the calibration as described in U.S. Pat. No. 4,711,753 is executed to calibrate 62 the model or data file to particularly adjust the A.sub.1 -A.sub.5 coefficients. It is also possible to obtain the A.sub.1 -A.sub.5 coefficients periodically from reactor design calculations as a much less desirable alternative. If the model has been calibrated or after a determination that the monthly calibration is not necessary is made the current state of the core is progressively read 64 as core operations proceed. This involves obtaining the current time, power level, rod positions, inlet temperature, pressure, detector readings and core exit thermocouple readings from the core instrumentation sensors. At this step the core model 42 is then read in from its storage location and the core model is updated 66 using a conventional depletion calculation using the time, power level, rod positions inlet temperature and pressure. Next the current analytical axial offset and axial pinch values are calculated using conventional neutronics equations such as the one dimensional diffusion theory algorithms, the one dimensional nodal algorithms or the one dimensional neutron transport algorithms, such as is found in the full scope calibrator 40 or core response predictor 48, by performing a conventional criticality search. Next the current actual axial offset and pinch values 70 are estimated using equations 3 and 4 using the detector readings, thermocouple reading, rod positions, inlet temperature and power level where enthalpy rise .DELTA.h is calculated as set forth in U.S. Pat. No. 4,774,050. The actual values determined using equations 3 and 4 are compared 72 to the analytical values. If the absolute value of the difference between the calculated analytical axial offset and measured actual axial offset is less than or equal to a predetermined value n, for example 0.5%, and the absolute value of the difference between calculated analytical axial pinch and measured actual axial pinch is less than or equal to a predetermined value m which could be the same 0.5%, the differences are acceptable and the model need not be adjusted. As a more accurate alternative the absolute values discussed above should be integrated over time prior to performing the comparison with the predetermined constants n and m, respectively, and this will provide a more accurate determination of the total drift or cumulative drift in the core from the previously established model initialization values. That is, the increase or decrease in the integrals of the axial offset and axial pinch deviations that have accumulated since the last readjustment of the A.sub.2 and A.sub.3 parameters should be tested against the preset limits. If the comparison indicates that the limits have not been exceeded, the system stores 74 the model or data file and waits 76 for a predetermined period of time, that is, waits until it is time for another periodic update cycle. As indicated by the dashed box 75, the system could also display the adjusted and updated model by providing the model to the display generator 52. If deviation is significant, that is, not acceptable, the values of the A.sub.2 and A.sub.3 coefficients in the buckling equations are adjusted 78. With these adjusted coefficient values the neutronic equations are again used to determine 80 new calculated analytical values for axial offset and axial pinch. The cycle of comparing 72, adjusting 78 and calculating 80 are cyclically executed until the A.sub.2 and A.sub.3 coefficients are compensated for the drift using a standard method, called over-compensation in control theory, to produce non-zero opposite sign deviation values. This type of compensation requires that the magnitude of the deviation be offset by a deviation in the opposite direction of somewhat less than the magnitude of the deviation. For example, if the deviation is calculated as 0.5% in the positive direction, the compensation criteria require that the compensated result deviate in the negative direction for a value of for example 0.25%. The reason for overcompensating is that the errors that accumulate in the iodine and xenon axial distributions are, in affect, time integrals of axial power distribution errors; and, hence, by over compensating the system is, in effect, burning out the iodine and xenon errors. The time constants of promethium and samarium are relatively large compared to those of iodine and xenon and so their errors are relatively insensitive to the variation in power distribution errors. When compensated the model is stored 74, a time out 76 occurs waiting for a new cycle to begin or a display 75 followed by the time out 76. In addition to insuring that predictions of core response to anticipated plant operating maneuvers start from realistic current initial conditions, several other benefits from the availability and use of the present invention are provided. Since the present invention is in operation continuously and on-line in a particular installation, it is possible to generate an on-going log of the values of the monitored operating parameters supplied to the system and of changes in the values of the A.sub.2 and the A.sub.3 expansion coefficients. Such a recording step could be provided after step 74. This log could then be analyzed off-line either manually or automatically to detect correlations between changes in operating conditions and adjustments in the A.sub.2 and A.sub.3 parameter values. Any correlations identified would point directly to deficiencies in the conventional computational neutronics algorithms. Such deficiencies could then be corrected by an analyst with the result that the analytical algorithms would become progressively more closely attuned to reality. A calculated value of the critical reactor coolant system boron concentration is available as a by-product of the criticality search because boron concentration is recalculated as the update and adjustment proceeds and this allows the A.sub.1 coefficient to also be adjusted when reliable values of reactor core coolant system boron concentration are obtained. Since the core model is routinely adjusted to match the calculated boron concentration value to the measured value secured during monthly flux mapping operations and since the model can be refined to match the calculated boron concentration value to a measured value whenever suitable measured values are obtained, a reliable, frequently updated estimate of current boron concentration can be displayed for the operator's use. Further, systematic deviations of calculated boron concentration from measured values can point to analytical core model deficiencies. Since the analytical core model will have been checked against the plant just prior to any trip that may occur and since the present invention can update the model (although it can not verify it) when the core is in a subcritical condition, the present invention can be modified to do estimated critical condition and shutdown margin estimates with minimum interaction, except for output of results, with the user. The many features and advantages of this invention are apparent from the detailed specification and thus it is intended by the appended claims to cover all such features and advantages of the invention which fall within the true spirit and scope thereof. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation illustrated and described, and accordingly all suitable modifications and equivalents may be resorted to, falling within the scope of the invention.