patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
abstract
A multi-leaf collimator includes a number of independently adjustable leaves. Adjacent leaves overlap to prevent an incident beam of radiation from passing through a gap between them. The overlapping portions of the leaves are made of a first material, while the non-overlapping portions of the leaves are made of a second, different material.
abstract
A nuclear fuel assembly having a parallel array of elongated fuel elements supported between an upper and lower nozzle. Main support grids are substantially evenly spaced along the elongated dimension of the assembly to maintain the spacing between fuel elements. A plurality of auxiliary vibration-resistant grids respectively positioned between the main support grids in the middle third elongated dimension of the fuel elements, that are smaller in height and provide a larger fuel element contact area than the main support grids.
abstract
A method for deconvolving far-field optical images beyond the diffraction limit includes the use of near-field optical and other scanned probe imaging data to provide powerful and new constraints for the deconvolution of far-field data sets. Near-field data, such as that which can be obtained from atomic force microscopy on a region of the far-field data set in an integrated and inter-digitate way, is used to produce resolutions beyond the diffraction limit of the lens that is being used. In the case of non-linear optical imaging or other microscopies, resolutions beyond that which is achievable with these microscopies can be obtained.
claims
1. A nuclear reactor fuel assembly, comprising:a wrapper tube including a liquid metal coolant entrance nozzle and an operation handling head, the wrapper tube storing a plurality of fuel pins;grids disposed in the wrapper tube to support the fuel pins in a radial direction of the wrapper tube;liner tubes inserted in the wrapper tube to fixedly hold the respective grids in an axial direction of the wrapper tube; anda fixing device for fixing adjacent ones of the grids and the liner tubes in the radial direction of the wrapper tube, the fixing device including:fixing pins for fixing joining ends of the respective grids and the liner tubes to each other along the radial direction of the wrapper tube, andpin support portions comprising through holes formed on an outer circumferential side of a grid frame of each grid, wherein the through holes vertically align with engaging portions disposed in a vicinity of corner portions of surfaces of the liner tubes adjacent to upper and lower surfaces of the grid frame, and the fixing pins are inserted in the engaging portions and the through holes in the axial direction to extend entirely through the through holes. 2. The fuel assembly according to claim 1, wherein the liner tubes are arranged alternately with the grids along the axial direction of the wrapper tube. 3. The fuel assembly according to claim 1, wherein the engaging portions are grooves formed in surfaces of the liner tube along the radial direction thereof. 4. The fuel assembly according to claim 1, wherein the fixing pins extend across the grid and engaging portions above and below the grid. 5. The fuel assembly according to claim 1, wherein a length of the fixing pins is substantially equal to a length of the grid in the axial direction plus a length of respective engaging portions above and below the grid.
abstract
A high voltage insulator for preventing instability in an ion implanter due to triple junction breakdown is described. In one embodiment, there is an apparatus for preventing triple junction instability in an ion implanter. In this embodiment, there is a first metal electrode and a second metal electrode. An insulator is disposed between the first metal electrode and the second metal electrode. The insulator has at least one surface between the first metal electrode and the second metal electrode that is exposed to a vacuum that transports an ion beam generated by the ion implanter. A first conductive layer is located between the first metal electrode and the insulator. The first conductive layer prevents triple junction breakdown from occurring at an interface of the first electrode, insulator and vacuum. A second conductive layer is located between the second metal electrode and the insulator opposite the first conductive layer. The second conductive layer prevents triple junction breakdown from occurring at an interface of the second electrode, insulator and vacuum.
063058421
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows a Bucky X-ray examination apparatus which includes a patient table 1 and an X-ray source 2. Underneath the top of the patient table 1 there is arranged a moving grid 3 which is displaceable in the longitudinal direction of the table top and is provided with a film to be exposed during an X-ray exposure. The X-ray source 2 is supported by a stand (not shown) which is displaceable in the longitudinal direction of the table, the source being displaceable from a position in which its radiation cone is incident at the center of the table top, perpendicularly to the plane of drawing, to a position in which it is situated perpendicularly above the moving grid 3 pulled underneath the table top. The desired exposure format can be adjusted in this position. Beam limiting is performed by means of a diaphragm unit 4 which is mounted on the X-ray source 2. The X-rays, emanating from the focal spot or the focus 21 of an X-ray tube which is included in the X-ray source 2 but not shown, are limited by a first pair of shutter plates 41 having shutter edges which extend parallel to one another and perpendicularly to the plane of drawing of FIG. 1. There is also provided a second pair of shutter plates (not shown) which has horizontal shutter edges which extend parallel to the plane of drawing and limit the X-rays in the direction perpendicular to the plane of drawing. The radiation cone limited by the shutter plates is denoted by the reference 210; the radiation cone is interrupted by the wavy lines because in practice the distance of the X-ray source 2 is larger than shown in FIG. 1. The ray at the center of the radiation cone, the so-called central ray, is denoted by the reference 211. It is incident at right angles at the center of the moving grid 3. The diaphragm unit 4 includes a light source 42 which illuminates the table top (or a patient accommodated thereon) via a mirror 43, being transparent to X-rays, and through the diaphragm plates 41. The light source 42 is situated at the same distance from the mirror 43 as the focal spot 21. Therefore, if it (or the light-emitting structure included therein) were to have the same dimensions as the focal spot 21, the light cone limited by the shutter plates 41 should correspond to the radiation cone 210 and hence the illuminated field (i.e. the cross-section of the light cone in the plane of the film contained in the moving grid 3) should correspond to the irradiated field (the cross-section of the radiation cone 210 in the plane of the film present in the moving grid 3). For the reasons stated above the light-emitting structure of the light source (for example, the filament of an incandescent lamp) is significantly larger than the focal spot. In practice the dimensions of the filament may be, for example 6.times.3.2 mm whereas the (optically effective) dimensions of the focal spot are 1.times.1 mm or less. In the case of such a large filament, the umbra (that is the region which is not exposed to any light) is substantially larger than the region exposed to light from all light-emitting points of the light source. The edge of the illuminated field is then situated (comparatively readily reproducible) at approximately 8% of the brightness at the center. Consequently, the illuminated field that can be observed by the user is also significantly larger than the fully illuminated field which corresponds essentially to the irradiated field. The image defect defined by the difference between these fields amounts to approximately 15 mm in the direction of the greater filament width for the given dimensions of the filament and a distance of 1 m between the film and the focus 21, and to approximately 35 mm in the case of a distance of 2 m. In order to reduce or eliminate such image defects, the shutter plates 41 are provided with correction shutters 44 whose edges extend parallel to the edges of the shutter plates 41 and constrict the light cone. Because the correction shutters, however, are made of a material which is transparent to X-rays, the radiation cone 210 is not influenced thereby. The effect of the correction shutters will be described in detail hereinafter with reference to FIG. 2, wherein the central ray 211 does not extend vertically (as in FIG. 1) but horizontally. The filament 42 is taken to be particularly large in order to achieve a better illustration of the effects. Moreover, for the sake of simplicity of the drawing it is assumed that the light source 42 is situated at the location of the focal spot 21; because the optical distance between the filament 42 and the film, however, is exactly equal to the distance between the focal spot and the film, this assumption does not disturb the actual circumstances. The radiation cone 210 emanating from the focal spot 21 is denoted by solid lines. Dashed lines represent the light cone 420 which would occur if the correction shutters 44 were absent. It is clearly shown that the illuminated field in the plane of the film 30, present in the moving grid, is substantially larger than the irradiated field. The correction shutters 44 constrict the light cone in such a manner that the light cone 421 (denoted by dash-dot lines) is obtained. When the width of the correction shutters is suitably chosen (the term "width" is to be understood to mean herein the distance between the light-limiting edge of the correction shutter 44 and the edge of the shutter plate 41 which limits the X-rays), the illuminated field can be made to correspond to the irradiated field. The following equation suitably approximates the width b of the correction shutter: ##EQU1## Therein, B.sub.s is the width of the light source, B.sub.x is the width of the focal spot, d is the distance between the plane of the shutter edges and the focal spot, and D is the distance between the focal spot and the film 30 (film-focus distance). Using the practical values d=0.25 m, B.sub.s =5 mm and B.sub.x =1 mm, a value of 1.5 mm is then obtained for the width of the correction shutter in the case of a film-focus distance D of 1 m, and a value of 1.75 mm for a distance D amounting to 2 m. When the correction shutter 44 is rigidly connected to the shutter plate 41, the shutter width B is constant. In the case of a value B=1.5 mm, the image defects are completely corrected in the case of D=1 m (it would amount to 12 mm in the absence of correction shutters). In the case of a film-focus distance D of 2 m, the image defect is reduced from 28 mm to 3.5 mm. In this example the smallest exposure format that can still be adjusted amounts to 12 mm in the case of a film-focus distance D of 1 m. This value fully suffices in practice and amply meets the legally allowed maximum deviation of 20 mm between illuminated field and radiation field in the case of a film-focus distance D of 1 m. The width of the correction shutter can also be proportioned for a value D of between 1 m and 2 m. The maximum image defect would then be smaller than in the assumed case. Generally speaking, however, the aim is for exact beam limiting at the standard distance (1 m, and recently also 1.15 m). FIG. 3 shows diagrammatically various possibilities for arranging the correction shutters. Therein, the reference 212 denotes the anode disc of a rotary anode X-ray tube in which the focal spot 21 is situated on a focal spot track which is concentric with the horizontal axis of rotation of the anode disc 212. The focal spot track is inclined from 10.degree. to 20.degree. relative to the vertical, and the dimensions of the focal spot 21 are larger, in conformity with the principle of the strip focus, in the direction perpendicular to the focal spot track than in the direction of the focal spot track, so that the focal spot has a square appearance when viewed from the central ray 211. Because the plane of the focal spot track is inclined from the bottom left to the top right, the focal spot appears to be larger when viewed from the right-hand shutter edge than when viewed from the left-hand shutter edge. This means that the width B.sub.x of the focal spot appears to be larger at the right-hand side of the irradiated field than at the left-hand side thereof. The various possibilities for arranging the correction shutters 44 are shown on three different rows in FIG. 3. According to the lower row, the correction shutters are always situated underneath the shutter plates 41 and have the same width. This arrangement requires the least manufacturing and assembly work, but has the drawback that the diaphragm cannot be closed so far that it is no longer traversed by any X-rays; this is because the shutters cannot be closed further as soon as the facing shutter edges of the correction shutters 44 contact one another. It is to be noted, however, that complete closure of the shutter plates will not be required in practice. However, in given circumstances the correction shutters 44 could be resiliently connected to the shutter plates 41 so that the correction shutters would be displaced against the spring force upon closure. The upper row of FIG. 3 shows a different arrangement of the correction shutters 44. One correction shutter 44 is now connected to the lower side of the left-hand shutter plate 41 and the other correction shutter is rigidly connected to the upper side of the right-hand shutter plate 41. In this case the complete closure of the shutter plates for the X-rays is not impeded by the correction shutters. The second row shows an arrangement which is similar to that shown on the upper row, one of the two correction shutters (the lower left shutter in the present example) being situated at a given distance from the associated shutter plate. The edge ray of the radiation cone 210 (solid line) and of the light cone 421 (dashed line) is shown for the left-hand side as well as for the right-hand side. It appears that for the right-hand shutter plate these edge rays are situated nearer to one another in comparison with those for the left-hand shutter plate. Consequently, the correction of the light cone by the correction shutter 44 at the right-hand side is less than that at the left-hand side, as required in conformity with the equation 1, because the focal spot appears to be larger when viewed from the side of the right-hand shutter than from the side of the left-hand shutter. The asymmetry caused by the asymmetrical position of the focal spot track relative to the two shutter edges is thus compensated for. However, when the shutter plates are closed, i.e. the shutter plates and the correction shutters occupy the positions denoted by the references 41' and 44', respectively, the asymmetry is reduced so that the edge rays of the light cone and of the radiation cone are symmetrically situated relative to the central ray 211. All references cited herein are incorporated herein by reference in their entirety and for all purposes to the same extent as if each individual publication or patent or patent application was specifically and individually indicated to be incorporated by reference in its entirety for all purposes.
description
The X-ray examination apparatus 1 shown in FIG. 1 includes an X-ray source 2 which emits X-rays 3 which diverge in the direction of an object 4 to be irradiated which is, for example a patient or a material to be examined, for example a workpiece. The object 4 is arranged in a receiving space 5. An X-ray scatter grid 6 is arranged behind the object 4 to intercept the X-ray beam 3. In the direction of the beam axis 7 the X-ray scatter grid 6 is succeeded by a detector 8, for example a film, which serves to form a two-dimensional image of the object 4 to be examined. As is shown in FIG. 2, the X-ray scatter grid 6 comprises alternating regions of materials 9,10 having different X-ray absorption. Usually, the regions 9 are manufactured from a material having a very low X-ray absorption so that all X-rays spatially aligned with regions 9 are transmitted by the X-ray scatter grid 9. Such X-rays correspond to rays 3a, which did not undergo an X-ray scattering in the path between the X-ray source 2 and an element 9. On the other hand, the X-rays of the type 3b, which did undergo an X-ray scattering are no longer aligned with the cells 9 and are intercepted by the elements 10 and absorbed within the elements 10. The material for the elements 10 is chosen so that it has a high X-ray absorption. Thus, the X-ray absorption grid functions as a filter to intercept the scattered X-rays, which do not contribute to the attenuation information of the object. The strips 9 are made, for example of a polymeric material. Feasible materials in this respect are all thermoplastic polymers, like polymethyl methacrylate (PMMA) or polycarbonate which may be supplemented which may be supplemented with flow modifiers, for example plasticising agent DOP (dioctyl phthalate) A typical enrichment with plasticiser amounts to approximately 20%. It is also possible to use ABS (poly-(acrylo-nitrile-butadiene-styrene) with an addition of Kraton Liquid (hydroxy oligoethylene-butylene). Filling material, for example, aluminum oxide or carbon black (or other compounds with light nuclei) can be added to the material of the strip 9 so as to enhance the flow behavior in the multiplication cell at the cost of a slightly increased X-ray absorption. In general metals are suitable filling materials for manufacturing of strips 10, preferably metals with heavier nuclei, like W. It is also possible to utilize salts for manufacturing of the X-ray absorbing strips 10. Metal powders of nickel and/or tungsten, can also be used as the absorbing materials and hence as admixtures for the material strips 10. In that case the size of the powder particles is less than 10 xcexcm. The absorptivity of tungsten is approximately twice that of lead. Therefore, the thickness d of the material strip 10 may be kept small so as to avoid an excessive overall loss of intensity of the X-rays 3, thus also avoiding the occurrence of wide bands without information on the film 8. Another advantage of small strips 10 is that the dose delivered to the patient stays low. In an embodiment of FIG. 3, the material flows 13, 12 are co-extruded, the actual extrusion being succeeded by a device 11 for multiplying material strips 13, 12 that are situated one over the other. The flows 13,12 after subsequent multiplication will result in the material strips 9,10 of the layered structure. The stability of the interface between the various material strips 9, 10 is dependent on the flow behavior of the materials used. Therefore, special attention should be paid to such behavior. The choice of the share of the filling or binding agent, therefore, is dependent on the flow requirements. This choice can be made based on the ratio of the wall slip and the internal shear deformation of the two materials used for the co-extrusion. This ratio can be expressed by a so-called xcex2-value: xcex2=VS/xcfx84w*xcex7/R, where Vs is a value of the slip velocity at the wall xcfx84w is a value of the shear stress at the wall xcex7 is a value of the true viscosity of the material R is the dimension of the channel in the multiplication element. In FIG. 3, the stock used to realize an X-ray scatter grid is formed by two material strips 12 and 13 of comparable viscosity that are melted and co-extruded in comparable circumstances. Such input stock strips 12, 13 can be fed to the multiplication device 11 in the form of the stacked layers or adjacently arranged layers. In FIG. 3 a cutting edge 14 of the multiplication device 11 separates the strips 12, 13 each time perpendicularly to their longitudinal direction; subsequently, a two-layer assembly of input stock strips 12 and 13 is transported upwards on a ramp 15 and is allowed to expand laterally so that the original width of the assembly 12, 13, that is, the width before cutting, is restored. The other part of the cut assembly 12, 13 travels downwards on a ramp 18 and, upon lateral expansion, takes in a position in the opposite direction underneath the previously described expanded two-layer assembly of input stock layers 12, 13. Subsequent to a first multiplication operation the two-layer assembly has thus become a four-layer assembly. By arranging a set of multiplication elements behind each other a higher degree layer multiplication can be achieved. This is also shown in the FIGS. 4 to 6, that is, rotated through 90xc2x0. FIG. 4 illustrates the cutting by the edge 14 as well as the subsequent upwards travel of one part of the assembly 12, 13 on the ramp 15 and the parallel downwards travel of the separated part of the assembly 12, 13 on the ramp 18. FIG. 5 shows the position in which the lateral expansion of the input stock strips 12, 13 commences; at the exit of a multiplication element they have become stacked on one another as four layers of the same initial width (or height in the rotated representation) so that the two-layer assembly has been converted into a four-layer assembly. FIG. 7 illustrates how a set of devices 11 (not shown) convert the input stock strips 12, 13, by repeated multiplication in the described manner or a similar manner, overall into a multi-layer assembly with superposed layers 12, 13 which constitute the material strips 9, 10 in the X-ray scatter grid 6 after a subsequent cutting operation (not shown). Referring to FIG. 8, the input stock strips 12, 13 (not shown) are maintained in a molten or in a melt-like condition during the multiplication. The material strips 9, 10 obtained at the end of the multiplication process pass through an extrusion device 16, from which the layered structure is finally extruded. The extrusion device 16 schematically shows two operations, where the flow is transformed in two dimensions simultaneously. It is also possible that these two operations are performed one after the other, so that the deformation of the material in the extrusion die in order to convert the multilayer into a plate with a correct width and height is split into two steps each of which being a uniaxial deformation. The device 16, shown in the FIG. 8 performs a pressing operation in the direction transversely of the longitudinal direction of the material strips 9, 10, thus forming a wide flat member which height h is in the range of up to a few millimeters. An example for the thickness dimension h parallel to the beam axis 7 is in the range of from 0.5 mm to 2 mm. FIG. 9 schematically illustrates the steps 17,18 of a further processing of the layer structure in case the structure must show a certain degree of convergence towards its central ray. The flat member initially formed (FIG. 9a) contains the material strips 9, 10 with different absorption coefficients in the direction transversely of its width. The pressing device 20 schematically shown in FIG. 9b is constructed in such a manner that at the same time it imparts to the flat member body being formed in a shape that deviates from a plane by viscous deformation. This step can be integrated in the continuous extrusion step by adding a transition to a curved shape in the extrusion die. After that the curved structure is flattened again, for example by means of pressing in the elastic state (as a separate operation). After deformation into the flat shape, the assembly is cooled so that the flat shape is frozen in. The material strips 9, 10 thus assume the inclined position shown, as is shown in the FIG. 9c. The subsequent cooling and elastic deformation of the overall surface convert the assembly of the strips 9, 10 into a plane assembly again, the transmission direction of the strips 9 and the direction of the strips 10 being directed essentially towards a point 2 that corresponds to the X-ray source in the operational condition. Scattered radiation that does not follow the direction of propagation of the rays 3 (FIG. 1), therefore, cannot traverse the element 6 acting as a grid, because they cannot pass through the grid 6 in the direction parallel to the longitudinal direction of the strips 9 but are incident at an angle on the absorbing strips 10. As a result, the scattered radiation is absorbed as fully as possible. Only rays that travel through the grid 6 in the direction parallel to the longitudinal direction of the non-absorbing material strips 9 are transmitted without being absorbed and hence become available to the detector (not shown) for imaging. It must be noted that it is also possible to proceed in a different way. In this case, a thicker initial flat member 6 is extruded during the step illustrated in the FIG. 9a. Then instead of step 9b a machining of the upper surface of the member is applied so that to form a spherically shaped concave surface. During the step 9c the concave surface is flattened to form a flat scatter grid with inclined neighboring cells. Application of the machining step has an advantage that by the removal of a surface layer to shape a concave surface, the surface layer exhibiting minor irregularities in the thickness of the stripes 9,10 is removed resulting in a better quality of the scatter grid. The combining of strips 9, 10 at a later stage can thus be dispensed. An assembly that acts as an X-ray scatter grid 6 can be formed by means of a manufacturing process involving co-extrusion and strip multiplication. The alignment of the strips in a direction corresponding to the divergence of the rays, which alignment can be realized by means of an extrusion die, ensures at the same time that the grid 6 is effective over a large width of the diverging radiation beam 3. It must be noted, that alternatively to what is shown in the FIGS. 7 to 9, the thickness d of the absorbing material strips 10 and the thickness D of non-absorbing material strips 9 may be different (FIG. 2). The thickness d of an absorbing material strip 10 is typically in the range of from 15 xcexcm to 50 xcexcm, whereas the thickness of a non-absorbing material strip 9 typically lies in the range of from 150 xcexcm to 350 xcexcm.
description
The invention relates generally to channeled fuel bundles for a nuclear reactor and, more particularly, to a shipping container enabling the shipment of a nuclear fuel bundle in a pre-channeled condition. With reference to FIGS. 1 and 2, a typical fuel assembly in a light water boiling nuclear reactor vessel includes a lower tie plate 1, an upper tie plate 2 and a matrix of sealed fuel rods 3 supported between the upper and lower tie plates between fuel rod expansion springs 4 and finger springs 5 as shown. Spacers 12 serve to support the fuel rods 3 against lateral movement. The fuel rods 3 contain nuclear fuel pellets 6 in sealed containment for supporting a required critical reaction for the generation of steam. One or more coolant water rods 7 is included in the matrix of the fuel rods 3 and is also supported between the upper 2 and lower 1 tie plates. A channel 8 surrounds the tie plates, fuel rods and coolant water rods, and is secured via a channel fastener assembly 9 to the top of the fuel assembly via a compression channel fastener spring 14. In some cases the channel 8 may also be secured to both the lower tie plate 1 and the upper tie plate 2 within the same fuel assembly. The channel 8 is commonly square in cross-section and is made of metal (preferably an alloy called Zircaloy). A bail handle 10 is integrated within the upper tie plate 2 as part of the assembly for transporting and moving the fuel assembly. In use, water passes from the bottom of the channeled fuel assembly to the top of the fuel assembly. Water enters through the lower tie plate 1 within the channel 8 and passes between the vertically standing fuel rods 3. Heated water and generated steam exit from within the channel 8 between the spacers 12 and fuel rods 3 and out through the upper tie plate 2. The channel 8 confines the required moderator coolant flow to a flow path that is restricted between the tie plates 1, 2. The lower tie plate 1 and the upper tie plate 2 serve to support the sealed fuel rods 3 in the vertical and standing matrix. Typically, the upper tie plate 2 forms an overlying matrix of fuel rod support points, such as tie rods. Eight of these support points are conventionally placed corresponding with male threaded tie rods 11. The tie rods 11, which contain fuel pellets 6 similar to the fuel rods 3, are threaded at their lower and upper ends for corresponding attachment to the lower tie plate 1 and the upper tie plate 2. The lower tie plate 1 similarly forms an underlying matrix of fuel rod support points. These underlying support points correspond for the most part to the overlying support points of the upper tie plate 2. Conventionally, about eight of these support points are threaded with female apertures, which correspond to the overlying apertures in the upper tie plates 2. Into these threaded support points in the lower tie plates 1 are placed the lower threaded ends of the fuel tie rods 11. Thus, conventionally, the two tie plates 1, 2 are tied together with the fuel tie rods 11, by their threaded end plugs. Currently, the fuel bundle, channel, and channel fastener are shipped to the customer site separately in different shipments. To ship the fuel bundles from the factory to the customers requires significant preparation including plastic inserts as support for each fuel rod, plastic sleeving to prevent foreign material from entering the length of the bundle, and protective netting to prevent debris from entering from the top or bottom of the fuel bundle. Two fuel bundles are typically loaded into a single NRC certified shipping package without channels. Channels and channel fasteners are manufactured and shipped from a separate facility than the fuel bundle. The channels are currently packed and shipped to customers in custom single-use disposable shipping containers. Once received at the customer site, the fuel is unloaded from the shipping packages one bundle at a time. The plastic inserts and protective netting are removed and placed back into the shipping package, and the materials are sent back to the fuel manufacturing facility for disposal. The channels are received at the customer site and are removed from their single shipping container. Once removed from the shipping container, the protective vacuum-sealed sleeving is removed from each channel, prior to assembly. The channel container and their sleeving materials are then disposed of by the customer. The channel fasteners are shipped to the customer site in a separate container, and packing materials are disposed of separately. Once each component has been independently inspected, the fuel bundle, channel, and channel fastener are assembled on site. To install the channel onto the fuel bundle, the channel must be oriented in the proper position and raised above the upper tie-plate and slowly lowered over each of the grid spacers until the channel engages the lower tie-plate. Depending on the fuel bundle design, the channel may interface with the finger springs attached to the lower tie-plate. It would be desirable to provide a shipping container that would enable a fuel bundle to be shipped from the factory as a fully assembled channeled fuel bundle that is ready for placement within the reactor core vessel. In an exemplary embodiment, a shipping container is constructed for shipping channeled fuel bundle assemblies. The shipping container includes an outer container, an inner container sized to fit within the outer container, and shock absorbing materials disposed at least between the outer and inner containers. The inner container is shaped to house at least one pre-channeled fuel bundle including a channel, a channel fastener, and an array of rods supported by grid spacers between an upper tie plate and a lower tie plate. The inner container includes a lower tie plate restraint device shaped to receive the lower tie plate and a lower portion of the channel, and an upper tie plate restraint device shaped to receive the upper tie plate and an upper portion of the channel. The lower and upper tie plate restraint devices are lockable into the inner container. In another exemplary embodiment, the inner container includes a space shaped to house at least one pre-channeled fuel bundle including a channel, a channel fastener, and an array of rods supported by grid spacers between an upper tie plate and a lower tie plate. The inner container includes a pair of restraint devices shaped to receive the lower tie plate and the upper tie plate, respectively, in one orientation, and a hold down bar selectively lockable across the space. The hold down bar, which may contain a shock absorbing material, secures the channeled fuel bundle within the inner container. FIG. 3 is a cutaway view of a shipping container 20 suitable for shipping channeled fuel bundles. The shipping container 20 includes an outer container 22 preferably formed of steel such as stainless steel or higher strength materials. An inner container 24 is sized to fit within the outer container 22. A shock absorbing material 26 is preferably placed at least between the outer 22 and inner 24 containers at various interval locations. Additionally, mechanical vibration proof devices 28 are disposed between the outer container 22 and inner container 24. As shown in FIG. 3, the outer container 22 is also provided with a forklift pocket 30 for facilitating transport via a forklift and a rubber bumper 32 for preventing damage to the outer container 22 by a forklift or any other lifting device. FIG. 4 is a perspective view of the inner container 24. The inner container 24 is shaped to house at least one pre-channeled fuel bundle 34, which includes a channel, a channel fastener, and an array of fuel rods and grid spacers that are supported between the upper tie-plate and the lower tie-plate. As shown in FIG. 4, in a preferred construction, the inner container 24 is divided into separate spaces for receiving respective channeled fuel bundle assemblies 34 by a borated center rib 36. The borated center rib 36 is preferably constructed of an aluminum metal matrix that mitigates neutrons during normal and accident transport conditions. The inner container 24 also includes a lower tie-plate restraint device 38 and an upper tie-plate restraint device 40. Hold down bars 50 (described below) are shown as a restraining device for securing the one or more fuel assemblies within the inner shipping container 24. The lower tie-plate restraining device 38 is shown in detail in FIGS. 5 and 6. As shown, the device 38 is shaped to receive the lower tie-plate and a lower portion of the fuel channel 8 that covers the fuel bundle. The lower tie-plate restraining device 38 is designed to be lockable within the inner container 24. Preferably, the lower tie-plate restraint device 38 is composed of a high-density poly material that resists deformation, is shock absorbing, and is non-corrosive with the fuel bundle assembly 34 and shipping package materials of construction. As shown, the lower tie-plate restraining device 38 is designed to fit both the lower tie-plate and a lower portion of the channel within a tight tolerance that prevents independent movement of each component and fits tightly within the shipping package. The device 38 is formed such that it fits with the channeled bundle 34 in only one correct orientation and can be inserted into the shipping package in only one direction. The device 38 is machined to lock into the base of the inner container 24 utilizing a Y-block 48 and slots cut into the foam packing material of the inner container body and lid. The lower tie-plate restraining device 38 functions to maintain the center spacing of the channel to the lower tie-plate. A lower tie-plate restraining surface 41 receives the lower tie-plate, and a channel restraining surface 42 receives the lower portion of the channel. The device 38 also integrates a replaceable protective netting 44 via a netting mounting groove 46 that prevents foreign material from entering the channeled fuel assembly 34 at its lower portion. The device 38 may be either a one-piece or multiple piece unit that can be easily installed prior to loading the channeled fuel assembly 34 into the inner shipping container. The lower tie-plate restraint device 38 may be constructed to be large enough to include the lower tie-plate Y-block 48, which is an interlocking, replaceable device at the lower end of the inner shipping container. The Y-block 48 is restrained by the inner container and is formed such that it fits within the end of the inner shipping container 24 in only one correct orientation and allows the lower tie plate restraint device 38 to be inserted into the shipping container in only one direction. The upper tie-plate restraint device 40 is shown in FIGS. 7 and 8. The device 40 is shaped to receive the upper tie-plate bail handle. A netting material is used to keep debris out of the upper portion of the fuel assembly. In another embodiment, the device 40 is shaped to receive all of the upper tie-plate 2, an upper portion of the channel 8, and portions of the channel fastener assembly 9, thereby eliminating the need for a replaceable protective netting for keeping out debris materials. Both of the upper tie plate restraint devices 40 are formed such that they fit within the inner shipping container 24 in only one correct orientation. The upper tie plate restraint device 40 preferably must align correctly with the lower tie plate restraint device 38 and Y-block 48 prior to being inserted into the inner shipping container 24 in order for it to fit properly within the inner shipping container 24, thereby allowing it to be secured and lockable within the container. Preferably, the upper tie-plate restraint device 40 is composed of a high-density poly, plastic, wood or foam material that is shock absorbing and is non-corrosive with the fuel bundle assembly 34 and the shipping package materials of construction. The upper tie-plate restraint device 40 is designed to fit and secure the upper tie-plate 2, channel fastener 9, and the upper portion of the channel 8 within a tight tolerance that prevents independent movement and rotation of the channeled fuel bundle assembly 34 and fits tightly with the shipping package. The device 40 is formed such that it fits with the channeled bundle 34 in one correct direction and can only be inserted into the shipping package container in only one correctly aligned direction when aligned with the lower tie plate restraint device 40. The device 40 is machined to lock into the top end of the inner container 24 by several cut-outs 100 in the packaging material within the inner shipping container 24. The device also integrates a replaceable protective netting 101 that prevents foreign material from entering the bundle from the top of the channeled fuel assembly 34. The device 40 is either a one-piece or multiple piece unit that can be easily installed during the packaging process. The upper tie-plate restraint device 40 may be large enough to accommodate the upper tie-plate bail handle 10, which is used for lifting the bundle from the shipping container, via a bail handle slot 49, the upper tie-plate grid 2, the channel fastener assembly 9 and the channel 8. The device 40 may also include a window to view the serial number that may be located on the upper tie-plate bail handle 10 (see FIGS. 1 and 2). As shown in FIG. 4 and with reference to FIGS. 9-11, at least one hold down bar 50 is attachable across the space or spaces within the inner container 24 that receives the fuel bundle assembly 34. The hold down bars 50 secure the channeled fuel bundles 34 in the inner container 24 by locking the channeled fuel assembly 34 to the inner container 24, which is secured within the outer shipping container 22, of the shipping container 20. Preferably, the hold down bars 50 include a hinged bracket 52 having mounting holes 54 therein for receiving a screw or other fastening mechanism 55 to secure the hold down bar 50 to the center borated rib 36 of the inner container. The hinged bracket 52 is attached to a base plate 56 including a barrel 58 for receiving a spring-loaded plunger 60. An actuator 62 attached to the spring loaded plunger 60 is used to withdraw the spring loaded plunger 60, which is attached with a locking pin 63, from the center rib 36 when locking and/or unlocking the channeled fuel bundle assembly 34 from corresponding apertures 65 within the inner shipping container 24. A locking pin 63 is supported by the spring-loaded plunger 60. A vibration isolation material 64 may be affixed to an underside surface of the base plate 56. The hold down bars 50 are pivotable via the hinge 52 between a loading position in which the channeled fuel bundle 34 is insertable within the inner container 24 (assuming it is first oriented correctly) and a locking position in which the channeled fuel bundle 34 is locked within the inner shipping container 24. The hold down bars 50 are lockable in the locked position by deflecting the spring-loaded plunger 60 and engaging the locking pin 63 into a corresponding aperture 65 within the inner shipping container 24. The vibration isolation material 64 serves to reduce vibration loads to the channeled fuel assembly 34. The hold down bars 50 also function as safety devices by restraining the fuel assemblies 34 during package loading and unloading operations at both the factory and the utility sites. The shipping package may also be provided with memory foam, poly resins or shock absorbing air bags or the like to mitigate shock. These materials could possibly replace existing rigid foams within certain sections of the shipping package 20 or throughout the entire shipping package 20. With the shipping container described herein, fuel bundles can be shipped in a pre-channeled condition with container structure that prevents arbitrary or independent movement of the bundle components. The container includes structure that additionally reduces vibration during shipping and prevents debris from entering the nuclear fuel assembly. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention is not to be limited to the disclosed embodiments, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.
042228220
summary
BACKGROUND OF THE INVENTION This invention pertains generally to the control of core operation of nuclear reactor and more particularly, to the control of the axial power distribution and core power level of a nuclear reactor having a negative reactivity moderator temperature coefficient. Generally, nuclear reactors contain a reactive region commonly referred to as the core in which sustained fission reactions occur to generate heat. The core includes a plurality of elongated fuel rods comprising fissile material, positioned in assemblies and arranged in a prescribed geometry governed by the physics of the nuclear reaction. Neutrons bombarding the fissile material promote the fissionable reaction which in turn releases additional neutrons to maintain a sustained process. The heat generated in the core is carried away by a cooling medium, which circulates among the fuel assemblies and is conveyed to heat exchangers which in turn produce steam for the production of electricity. Commonly in pressurized water reactors a neutron absorbing element is included within the cooling medium (which also functions as a moderator) in controlled variable concentrations to modify the reactivity and thus the heat generated within the core, when required. In addition, control rods are dispersed among the fuel assemblies, longitudinally movable axially within the core, to control the core's reactivity and thus its power output. Generally, in the past in pressurized water reactors there have been three types of control rods that have been employed for various purposes. Full-length rods, which extend in length to at least the axial height of the core, are normally employed for reactivity control. Part-length control rods, which have an axial length substantially less than the height of the core, are normally used for axial power distribution control. In addition, reactor shutdown control rods are provided for ceasing the sustained fission reaction within the core and shutting down the reactor. The part-length rods and full-length rods are arranged to be incrementally movable into and out of the core to obtain the degree of control desired. As a by-product of the fission reaction, through a process of .beta. decay of radioactive iodine, Xenon is created. Xenon has the property of having a large neutron absorption cross-section and therefore has a significant effect on the power distribution within the core and reactivity control. While the other forms of reactivity management are directly responsive to control, the Xenon concentration within the core creates serious problems in reactor control in that it exhibits a relatively long decay period and requires up to at least 20 hours after a power change to reach a steady state value. While the radial power distribution of the core is fairly uniform, due the prescribed arrangement of fuel assemblies and the positioning of control rods which are symmetrically situated radially throughout the core, the axial power distribution can vary greatly during reactor operation. The axial power distribution of the core can create many problems throughout the course of reactor operations. Normally coolant flow through the fuel assemblies is directed from a lower portion of the core to the upper core regions, resulting in a temperature gradient axially along the core. Changes in the rate of the fission reaction, which is temperature dependent, will thus vary along the axis of the core. Secondly, the axial variation in the power distribution varies the Xenon axial distribution, which further accentuates the variations in the power axially along the core. Thirdly, insertion of the full length control rods from the top of the core, without proper consideration of the past operating history of the reactor can add to the axial power asymmetry. The change in reactor core power output which is required to accommodate a change in electrical output of an electrical generating plant is commonly referred to as load follow. One load follow control program currently recommended by reactor vendors utilizes the movement of the full-length control rods for power level increases and decreases and the part-length control rods to control axial oscillations and shape the axial power profile. Changes in reactivity associated with changes in the Xenon concentration are generally compensated for by corresponding changes in the concentration of the neutron absorbing element in the core coolant or moderator. In this mode of operation, the part-length rods are moved to maintain the axial offset within some required band, typically plus or minus fifteen percent. The axial offset is a useful parameter for measuring the axial power distribution and is defined as: EQU A.O.=(P.sub.t -P.sub.b)/P.sub.t +P.sub.b) where P.sub.t and P.sub.b denote the fraction of power generated in the top half and the bottom half of the core respectively. Under such a load follow program, no effort is made to maintain the inherent core axial power profile. The part-length rods are moved to minimize and reduce the axial offset independent of the previously established steady state axial offset. This process induces a constant fluctuation of the axial offset during sustained load follow operations which results in a number of undesirable operating conditions. For one thing, power pinching, which is a large axially centered power peak, is likely to occur. Such power peaks result in a reactor power penalty which requires the reactor to be operated at a reduced power level so that such peaks do not exceed specified magnitudes. Secondly, severe changes occur in the axial power profile of a transient nature during large load changes due to heavy insertion of control rods at reduced power levels. Thirdly, large Xenon transients occur upon coming back to power resulting in occurrences such as axial power oscillations. Fourthly, the part-length rod broad operating instructions supplied by reactor manufacturers are generally vague and require anticipation and interpretation by the reactor plant operator. Fifthly, increased hot channel factors result (which are hot spots which occur within the cooling channels among the fuel assemblies) and require a reduction in the power rating of the reactor to accommodate severe transients and/or adverse power profiles. Under such load follow programs no protection exists against severe pinching with small axial offsets. A new method of operation for a nuclear reactor, described in application Ser. No. 501,569, filed Aug. 29, 1974, has been proposed to avoid the aforegoing adverse operating characteristics. The proposed method maintains a substantially symmetric Xenon axial profile during normal reactor operation including load follow. Normal operation generally excludes startup of the reactor and reactor shutdown and is normally interpreted to include the power operating range of the reactor in response to load requirements. Implementation of the desired Xenon distribution in accordance with this method is obtained by monitoring the power generated in the core at a first and second axial location. The core power parameters measured at the two locations are computed in accordance with a predetermined relationship such as the axial offset to give a value indicative of the axial power distribution of the core. The reactivity control mechanisms of the reactor are manipulated in accordance with the monitored values to maintain a substantially symmetric power distribution within the core throughout reactor operation under power including load follow. Two separate embodiments have been taught for maintaining the desired axial power distribution prescribed by this new method of reactor operation. In the first, the part-length control rods remain withdrawn from the core while the neutron absorbing element within the core coolant is employed to assist adjustment of the reactivity of the core to correspond to changes in output power requirements and the full-length control rods are manipulated to maintain the desired axial power profile. In the second embodiment, the full-length control rods are used to control the reactivity changes associated with changes in power in the core and the part-length rods are employed to control the axial power distribution, while the neutron absorbing element within the core coolant compensates for reactivity changes due to Xenon buildup or depletion. Each embodiment is capable of implementing the concepts of the method and each has its respective distinct advantages. For example, the operation with part-length control rods has certain advantages over operation with-out part-length control rods such as the ability to provide quick changes in output power and the easiness of axial offset control. One disadvantage of part-length rod operation is the burn-up shadowing that results when the part-length rods are positioned near the middle of the core during full power operation. Because part-length rods act as neutron absorbers, the fuel screened by the part-length rods depletes at a much lesser rate than the remaining core. This could result in high peaking near the center of the core when the part-length rods are withdrawn, if extended load operation is performed. Burn-up shadowing has become the subject of a growing concern and the use of part-length rods are being discouraged. Alternatively, operation without part-length rods, while desirable from a fuel efficiency standpoint, exhibits a relatively slow return to power capability in response to an increase in load. The rate of a power increase during operation without part-length rods is dependent upon the dilution rate of the neutron absorbing element within the coolant, which in the case of pressurized water reactors is boron. Presently, operating nuclear reactors employ ion exchange or coolant replacement systems to control the boron concentrations within the coolant. These systems typically have a relatively slow response time. Accordingly, an improved method of operation of a nuclear reactor is desired that will increase the load follow capability of nuclear power plants to accommodate rapid excursions in load requirements. SUMMARY OF THE INVENTION Briefly, this invention improves the load follow capability of nuclear reactors having a negative, reactivity, moderator temperature coefficient, operating with a substantially constant axial offset and with or without part-length control rods, by accommodating requirements for increases in reactivity within the core above and beyond the dilution capabilities of the boron system with a controlled reduction in the core temperature. Desirably, the temperature of the core is reduced at a rate compatible with the rate of increase of the load requirements to a pre-established maximum temperature difference from the programmed operating temperature of the reactor. In addition, withdrawal of the full-length control rods above a level that will adversely alter the desired axial offset is inhibited.
041467965
summary
BACKROUND OF THE INVENTION The present invention relates to a novel apparatus to determine the depth of radiation sources within a body of material such as soil, for the purpose of determining density, moisture content, and the like of the material. The measurement of soil density and soil moisture content is an important determination in the construction and agricultural industries. In the past, the method of obtaining such measurements has included the removal of the soil to a laboratory for testing. This technique is time consuming and not consistantly accurate since the sample removed may change its particular characteristics during transportation and handling. Relatively recent developments have included the use of a radiation source such as radioactive materials available in the industry. Such radiation sources emit gamma radiation and neutrons which may be subsequently detected by backscatter and transmission techniques. Reference is made to U.S. Pat. No. 3,655,977, issued Apr. 11, 1972, which fully describes an apparatus which may be employed, without excessive handling, for either backscatter or transmission measurement techniques. Focusing on the transmission technique, a pre-drilling of a small hole in the material is initially required. A source support, which may take the form of an elongated rod, is inserted within the hole a predetermined distance. A detector on the material surface would then gage the radiation signal, the intensity of which is proportional to the density of the soil. The transmission technique eliminates the problem of surface roughness which has a marked effect on the backscatter technique performed on the surface of the body of material being measured. However, the depth of the radiation source in the material must be exactly determined in order to produce accurate results. The advent of microprocessors requires that the depth determination be in the form of an electrical signal understandable by a computer. The work of the computer eliminates time consuming and costly human computations. No prior devices are known which produce a depth determination of a radiation source within a body for use with a transmission technique for determining soil density. SUMMARY OF THE INVENTION In accordance with the present invention a novel apparatus for determining automatically the depth of a radiation source within a body material is provided. The apparatus includes a radiation source holder to direct and to transport the radiation source within the body. In the case of the heretofore described transmission technique, the radiation source holder may be a rod which travels into a pre-drilled hole in the body. The rod may be enclosed in a guide tube having external visual indication of the rod's position within the body. The radiation source holder may mount on the detection means for convenient usage. A plurality of switches are positioned such that the switch contacts are fixed in relation to the movement of the radiation source holder, and the radiation source thereon, within the hole in the body. Trigger means activates any of the switches at a preselected depth of the radiation source within the body of material. The trigger means may be attached to the rod holder, but in any case, the trigger means moves with the radiation source. Means for indicating the activation of any of the plurality of switches would produce a signal corresponding to the depth of the radiation source. Such indicating means may take the form of a series of resistors electrically connected or linked to a source of power. The plurality of switches connect to an electrical ground; each of the switches being connected to the series of resistors. Means for detecting a signal produced by activation of any of the switches may take the form of an ammeter, galvanometer and like devices. Thus, a circuit forms by the electrical connection of the ground any of the plurality of switches, a certain number of the series of resistors and the power source. The current signal of the created circuit would serve as a representation of the depth of the radiation source. The apparatus may employ magnetically activated switches while the trigger means would be embodied in a magnetic field source, such as, but not limited to, a permanent magnet. In this instance, the guide tube may interpose the plurality of switches without hindrance to the workability of the apparatus. As may be surmized, a new and useful invention has been described and it is therefore an object of the present invention to provide an apparatus for determining the depth of a radiation source in a body of material which may be used with a portable moisture and density measuring device employing a radiation source in such determinations. It is another object of the present invention to provide an apparatus for determining the depth of a radiation source in soils pertaining to construction and agricultural uses. It is yet another object of the present invention to provide an apparatus for determining the depth of a radiation source in a body of material in terms of an electrical signal useable by a computer for automatically plotting parameters of the body of material, employing a radiation transmission attenuation technique. It is still another object of the present invention to provide an apparatus for determining depth of a radiation source in a body of material to a high degree of accuracy for the purpose of obtaining highly accurate parameters of the body of material. The invention possesses other objects and advantages especially as concerns particular features and characteristics thereof which will become apparent as the specification continues. Various aspects of the present invention will evolve from the following detailed description of the preferred embodiments thereof which should be taken in conjunction with the accompanying drawings.
description
1. Field This invention pertains generally to an apparatus and a method for refueling a nuclear reactor and more particularly to such an apparatus and method for removing and reinstalling the upper internals of such a reactor. 2. Related Art A pressurized water reactor has a large number of elongated fuel assemblies mounted within an upright reactor vessel. Pressurized coolant is circulated through the fuel assemblies to absorb heat generated by nuclear reactions in fissionable material contained in the fuel assemblies. The primary side of such a nuclear reactor power venerating system which is cooled with water under pressure comprises an enclosed circuit which is isolated from and in heat exchange relationship with a secondary circuit for the production of useful energy. The primary side comprises the reactor vessel enclosing a core internal structure that supports the plurality of fuel assemblies containing the fissile material, the primary circuit within heat exchange steam generators, the inner volume of a pressurizer, pumps and pipes for circulating pressurized water; the pipes connecting each of the steam generators and pumps to the reactor vessel independently. In conventional nuclear plants of that type each of the parts of the primary side comprising the steam generator, a pump and a system of pipes which are connected to the reactor vessel form a loop of the primary side. For the purpose of illustration, FIG. 1 shows a simplified conventional nuclear reactor primary system, including a generally cylindrical pressure vessel 10 having a closure head 12 enclosing a nuclear core 14. A liquid coolant, such as water or berated water, is pumped into the vessel 10 by pump 16 through the core 14 where heat energy is absorbed and is discharged to a heat exchanger 18, typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown), such as a steam driven turbine generator. The reactor coolant is then returned to the pump 16, completing the primary loop. Typically, a plurality of the above-described loops are connected to a single reactor vessel 10 by reactor coolant piping 20. An exemplary conventional reactor design is shown in more detail in FIG. 2. In addition to the core 14 comprised of a plurality of parallel, vertical co-extending fuel assemblies 22, for the purpose of this description, the other vessel internal structures can be divided into the lower internals 24 and the upper internals 26. In conventional designs, the lower internals function to support, align and guide core components and instrumentation as well as direct flow within the vessel. The upper internals restrain or provide a secondary restraint for the fuel assemblies 22 (only two of which are shown for simplicity in FIG. 2), and support and guide instrumentation and components, such as control rods 28. In the exemplary reactor shown in FIG. 2, coolant enters the reactor vessel through one or more inlet nozzles 30, flows down through an annulus between the reactor vessel and the core barrel 32, is turned 180° in a lower plenum 34, passes upwardly to a lower support plate 37 and a lower core plate 36 upon which the fuel assemblies are seated and through and about the fuel assemblies 22. In some designs, the lower support plate 37 and the lower core plate 36 are replaced by a single structure, a lower core support plate having the same elevation as 37. The coolant flow through the core and surrounding area 38 is typically large on the order of 400,000 gallons per minute at a velocity of approximately 20 feet per second. The resulting pressure drop and frictional forces tend to cause the fuel assemblies to rise, which movement is restrained by the upper internals, including a circular upper core plate 40. Coolant exiting the core 14 flows along the underside of the upper core plate 40 and upwardly through a plurality of perforations 42. The coolant then flows upwardly and radially to one or more outlet nozzles 44. The upper internals 26 can be supported from the vessel or the vessel head and include an upper support assembly 46. Loads are transmitted between the upper support assembly 46 and the upper core plate 40, primarily by a plurality of support columns 48. A support column is aligned above a selected fuel assembly 22 and perforations 42 in the upper core plate 40. Rectilinearly moveable control rods 28 which typically include a drive shaft or drive rod 50 and spider assembly 52 of neutron poison rods, are guided through the upper internals 26 and into aligned fuel assemblies 22 by control rod guide tubes 54. The guide tubes are fixedly joined to the upper support assembly 46 and the top of the upper core plate 40. The support column 48 arrangement assists in retarding guide tube deformation under accident conditions which could detrimentally affect control rod insertion capability. To control the fission process a number of control rods 28 are reciprocally moveable in guide thimbles located at predetermined positions in the fuel assemblies 22. Specifically, a control rod mechanism positioned above the top nozzle of the fuel assembly supports a plurality of control rods. The control rod mechanism (also known as a rod cluster control assembly) has an internally threaded cylindrical hub member with a plurality of radially extending flukes or anus that form the spider assembly 52 previously noted with regard to FIG. 2. Each arm is interconnected to a control rod 28 such that the control rod assembly mechanism 72 is operable to move the control rods 28 vertically within guide thimbles within the fuel assemblies to thereby control the fission process in the fuel assembly 22, under the motive power of the control rod drive shaft 50 which is coupled to the control rod mechanism hub, all in a well known manner. Nuclear power plants which employ light water reactors require periodic outages for refueling of the reactor. New fuel assemblies are delivered to the plant and are temporarily stored in a fuel storage building, along with used fuel assemblies which may have been previously removed from the reactor. During a refueling outage, a portion of the fuel assemblies in the reactor are removed from the reactor to the fuel storage building. A second portion of the fuel assemblies are moved from one support location in the reactor to another core support location in the reactor. New fuel assemblies are moved from the fuel storage building into the reactor to replace those fuel assemblies which were removed. These movements are done in accordance with a detailed sequence plan so that each fuel assembly is placed in a specific location in accordance with an overall refueling plan prepared by the reactor core designer. In conventional reactors, the removal of the reactor internal components necessary to access the fuel and the movement of new and old fuel between the reactor and the spent fuel pool in the spent fuel storage building is performed tinder water to shield the plant maintenance personnel. This is accomplished by raising the water level in a refueling cavity and canal that is integral to the plant's building structure. The water level of more than 20 feet provides shielding for the movement of the reactor internal structures and the fuel assemblies. Refueling activities are often on a critical path for returning the nuclear plant to power operation, therefore, the speed of these operations is an important economic consideration for the power plant owner. Furthermore, the plant equipment and fuel assemblies are expensive and care must be taken not to cause damage or unnecessary radiation exposure due to improper handling of the fuel assemblies or fuel transfer equipment. The precision of these operations is also important since the safe and economical operation of the reactor core depends upon each fuel assembly being in its proper location. A typical pressurized water reactor needs to be refueled every 18 to 24 months. Commercial power plants employing the conventional designs illustrated in FIGS. 1 and 2 are typically on the order of 1,100 megawatts or more. More recently, Westinghouse Electric Company LLC has proposed a small modular reactor in the 200 megawatt class. The small modular reactor is an integral pressurized water reactor with all primary loop components located inside the reactor vessel. The reactor vessel is surrounded by a compact, high pressure containment. Due to both the limited space within the containment and the low cost requirement for integral pressurized light water reactors, the overall number of auxiliary systems needs to be minimized without compromising safety or functionality. For example, the compact, high pressure containment associated with a design of some small modular reactors does not allow for the incorporation of a large floodable cavity above the reactor vessel in which the transferred components can be shielded. Accordingly, it is an object of this invention to provide special handling equipment to remove the upper internals to permit access to the fuel assemblies, without flooding the containment, while protecting the plant personnel and adjacent equipment from the harmful effects of radiation. It is a further object of this invention to provide such equipment that can also be employed with conventional reactors that will avoid the necessity and the time and expense of flooding and draining the containment during a refueling operation. These and other objects are achieved by an upper internals package lifting fixture for refueling a reactor having a reactor vessel with an upper flange surrounding an opening in the reactor vessel that is sealed by a mating flange on a closure head. The reactor vessel encloses an upper internals package that seats above a plurality of fuel assemblies within a core of the reactor. The upper internals package includes control rod assembly drive rod travel housings in which control rod assembly drive rods are housed and through which the drive rods travel along a vertical path. The upper internal package lifting fixture comprises a shield plate sized to cover the opening in the reactor vessel when supported on the reactor vessel upper flange. The shield plate is formed from a material that lessens the radiation exposure of workers working above the shield plate covering the reactor vessel opening. A lifting rig is formed integral with and extends above the shield plate and means are provided for attaching, the shield plate to the upper internals package that can be withdrawn from the reactor by raising the lifting rig. Preferably, the shield plate of the upper internals package lifting fixture includes openings to access the control rod assembly drive rods and desirably the openings include a tubular penetration through the shield plate that align and mate with the rod travel housings in the upper internals package. In one embodiment, the tubular penetrations respectively include a conical guide that engages the corresponding rod travel housing. Preferably, a number of the tubular penetrations include a drive rod latching tool that is reciprocally moveable within the tubular penetrations and is configured to couple with one or more of the drive rods and disconnect the respective drive rods from a corresponding control rod assembly. Desirably, a retainer is provided that maintains a position of the drive rod latching tool in the tubular penetration. Preferably, the lifting fixture includes a hoist configured to raise and lower the drive rod latching tool wherein the hoist has sufficient power to do so with the drive rod, decoupled from the control rod assembly, attached to the drive rod latching tool and preferably, the hoist is an integral part of the lifting fixture. In another embodiment, the shield plate includes a ventilation and filtration system configured to draw air from below the shield plate, filter the air so drawn to remove radioactive contaminants and exhaust the drawn air above the shield plate. Furthermore, one embodiment of the upper internals package lifting fixture includes means to engage alignment studs in the upper flange of the vessel to align the shield plate as it is being lowered onto the reactor vessel. In still another embodiment wherein the rod travel housings extend above the upper flange of the reactor vessel, the shield plate is formed in a top hat configuration to span the reactor vessel opening above the rod travel housings and has a radially outwardly extending brim that is supported on the upper flange of the reactor vessel. In addition, preferably the upper internals package lifting fixture includes a shielded cylinder having an inner diameter that is larger than an outer diameter of the shield plate, a narrowed opening in an upper end that has a smaller diameter than the outer diameter of the shield plate and a length that is substantially equal to or longer than the upper internals package. Desirably, the shielded cylinder is slidably positioned over the shield plate and the lifting rig has an outer diameter that is smaller than the narrowed opening in the upper end of the shielded cylinder. This invention further contemplates a method of removing an upper internals package from a nuclear reactor having a reactor vessel with an upper flange surrounding an opening in the reactor vessel that is sealed by a mating flange on a closure head. The reactor vessel encloses the upper internals package that seats above a plurality of fuel assemblies within a core of the reactor. The upper internals package includes a plurality of rod travel housings in which control rod assembly drive rods are housed and through which the drive rods travel along a vertical path. The method comprises the step of removing the closure head from the reactor vessel. The method then lowers a shield plate over the opening in the reactor vessel; the shield plate being sized to cover the opening when supported on the reactor vessel upper flange and formed from a material that lessens the radiation exposure of workers working above the shield plate covering the reactor vessel opening. The shield plate includes an integral lifting rig extending above an upper surface thereof. The method then attaches the shield plate to the upper internals package and raises the shield plate to withdraw the upper internals package out of the reactor. In one embodiment, the shield plate includes openings to access the control rod assembly drive rods; the access openings including a drive rod latching tool that is reciprocally movable along a substantially vertical travel path through the opening and into the rod travel housings to connect to the drive rods and decouple the drive rods from the corresponding control rod assemblies. The method further includes the steps of attaching the drive rod latching tool at least to one of the drive rods; decoupling the drive rod from the corresponding control rod assembly; and raising the drive rod latching tool to raise the drive rod within the rod travel housing. Preferably, before the step of raising the shield plate, the method includes the step of lowering a shielded cylinder over the shield plate and around the upper internals package. Desirably, the shielded cylinder is supported from the shield plate when the shield cylinder is fully lowered around the upper internals package. In still another embodiment, the method includes the step of maintaining a negative atmosphere within the shielded cylinder. Preferably, the step of maintaining a negative atmosphere within the shield cylinder comprises venting air from within the shielded cylinder and filtering the vented air before being exhausted outside the shielded cylinder. FIGS. 3 and 4 illustrate a small modular reactor design available from the Westinghouse Electric Company LLC, Cranberry Township, Pa., to which this invention may be applied, though it should be appreciated that the invention can also be applied to a conventional pressurized water reactor such as the one illustrated in FIGS. 1 and 2. FIG. 3 shows a perspective view of the reactor containment 11, partially cut away, to show the pressure vessel 10 and its internal components. FIG. 4 is an enlarge view of the pressure vessel shown in FIG. 3. The pressurizer 58 is common to most pressurized water reactor designs, though not shown in FIG. 1, and is typically included in one loop to maintain the system's pressure. In the small modular reactor design illustrated in FIGS. 3 and 4 the pressurizer 58 is integrated into the upper portion of the reactor vessel head 12 and eliminates the need for a separate component. It should be appreciated that the same reference characters are employed for corresponding components among the several figures. A hot leg riser 60 directs primary coolant from the core 14 to a steam generator 18 which surrounds the hot leg riser 60. A number of cooling pumps 16 are circumferentially spaced around the reactor vessel 10 at an elevation near the upper end of the upper internals 26. The reactor coolant pumps 16 are horizontally mowed axial flow canned motor pumps. The reactor core 14 and the upper internals 26, except for their size, are substantially the same as the corresponding components previously described with regard to FIGS. 1 and 2. From the foregoing, it should be appreciated that employing the traditional refueling method by flooding the reactor well above the area of the vessel flange 64 and transferring the fuel assemblies under water to a spent fuel pool by way of a transfer canal 62 that extends through the containment 11 would not be practical with this type of containment and compact design. A further understanding of the operation of the small modular reactor illustrated in FIGS. 3 and 4 can be found in U.S. patent application Ser. No. 13/495,050, filed Jun. 13, 2012, entitled “Pressurized Water Reactor Compact Steam Generator.” This invention provides a means of shielding and ventilating the upper internals package 26 as it is removed from the reactor vessel 10 that is integral to the lifting rig used to remove the upper internals package. The invention also provides a means for personnel to decouple the drive rod 50 from the rod cluster control assemblies 72 which is required for refueling pressurized water reactors. However, before the upper internals package can be accessed the reactor head 12 has to be removed and the coolant level 96 within the reactor vessel 10 has to be lowered to the level of the reactor flange 64, as shown in FIG. 5. Once the head is removed and the coolant level is lowered a fixture can be positioned to lift the upper internals package 26 out of the reactor vessel. One embodiment of the lifting fixture 76 of this invention for this purpose is illustrated in FIGS. 6-11 and includes a thickened shield plate 78 that is placed over the open reactor vessel prior to removing the upper internals. The thickened shield plate provides radiation shielding and access to the control rod drive rods 50 that are reciprocally movable within the rod travel housings 80. Access to the drive rods is provided through aligned openings 82 in the shield plate 78. A means of attaching the shield plate 78 to the upper internals assembly is provided so that the upper internals assembly is lifted with the shield plate. In the embodiment illustrated in FIGS. 6-8, the shield plate 78 is attached to an intermediate penetration flange 66 from which the upper internals are suspended. The intermediate penetration flange 66 is more fully described in co-pending application Ser. No. 13/457,683, filed Apr. 27, 2012, entitled “Instrumentation and Control Penetration Flange for Pressurized Water Reactor.” Tubular penetrations 84 extend through the openings 82 in the shield plate 78 with conical guides 85 that respectively engage one of the rod travel housings 80 of the control rod drive assembly. Though the guides are described as conical, it should be appreciated that they may have a stepped configuration or any of the geometry that will guide the tubular penetrations 84 over the rod travel housings 80. A lifting rig 86 which is integral to the shield plate 78 is designed to lift the upper internals 26 and the drive rod assemblies 50 from the reactor vessel 10 during refueling. A number of drive rod latching tools 88 are provided that are staged in the tubular penetrations 84 of the shield plate 78. A hoist 90 is integral to the lifting rig 86 and is used to raise the drive rod assemblies 50 after they have been decoupled from the rod cluster control assemblies 72, employing the latching tools 88 which are first lowered, by the hoist 90 to couple with the upper ends of the drive rods 50. A retaining clip 92 maintains the desired position of the drive rod latching tool 88 in the penetration tube 84. A ventilation system 94 (shown only in FIG. 11) is also integral to the lifting fixture 76 and is configured to maintain filter ventilation of the upper internals as they dry out and reduce the potential for airborne contamination. A means is also provided to engage alignment studs in the vessel to align the upper internals lifting fixture assembly 76 as it is being lowered onto the reactor vessel. During refueling, the upper internals 26 are removed to gain access to the fuel. Before the internals can be removed, the reactor is depressurized and the reactor closure head or in the case of many small modular reactor designs, the steam generator and pressurizer are removed by removing the bolts 74 that anchor the reactor head flange 68 to the penetration flange 66 and the reactor vessel flange 64. At this point in the refueling of a traditional pressurized water reactor, the refueling cavity is flooded and the drive rods are decoupled from the rod control cluster assemblies and removed from the upper internals. Small modular reactors with integral pressurized water reactors and compact containments may require that the drive rods are decoupled from the rod cluster control assemblies without the shielding benefit of a flooded refueling cavity. This invention allows for personnel to decouple the drive rods while shielded from the activated components of the reactor internals. Subsequently, the invention allows removal of the upper internals using an integral lifting rig while ensuring that the activated components are shielded during the lift. FIGS. 5 through 10 illustrate how the invention is used during reactor disassembly. The reverse process is used during reactor assembly. FIG. 5 shows an integral reactor with the steam generator removed and the reactor coolant water level 96 lowered to the level of the reactor vessel closure flange 64. In this design, the rod travel housings 80 of the control rod drive mechanisms 50 extend above the water level. As shown, the control rod cluster assemblies 72 are completely inserted into the fuel assemblies 22 of the reactor core. FIG. 6 shows the lifting rig 86 and the shield plate 78 (as an integral assembly) attached to the penetration flange 66 of the upper internals 26. Though the lifting rig 86 and the shield plate 78 are described as an integral unit, it should be appreciated that they may be constructed as separate parts and joined at their interface by any suitable attaching means such as by bolting or welding to form one unit. The shield plate 78 is configured in a top hot design having an upper planar surface 98 that spans the opening in the reactor above the rod travel housings, vertical legs 100 and a radially outwardly extending rim or flange 102 that rests upon and is attached to the penetration flange 66. The top hat design enables the shield plate 78 to accommodate the height of the rod travel housings 80, though it should be appreciated that fir reactor internals designs in which the rod travel housings do not extend above the connection point between the shield plate and the upper internals, the shield plate 78 may assume a generally planar configuration rather than the top hat design. The lifting legs 104 on the lifting rig 86 extend through the stud clearance holes in the penetration flange 66 and are secured to the flange with an appropriate fastener such as a threaded collar, a hitch pin or C-shaped clamp. The openings 82 in the shield plate 78 and the tubular penetrations 84 allow access to the drive rod drive shafts for decoupling from the rod cluster control assemblies 72. These same penetrations support the coupling tools 88 within the integral lifting fixture assembly 76 during storage and handling. The shield plate 78, tubular penetrations 84 and decoupling tools 88 provide the desired shielding required for plant personnel to work while standing on the shield plate. FIG. 7 shows the decoupling tool 88 lowered until it engages the drive rod 50. FIG. 8 shows where the decoupling tool 88 decouples the drive rod 50 from the rod cluster control assembly 72 using methods that have already been deployed in the operating fleet of pressurized water reactors. Once decoupled from the rod cluster control assembly 72 the drive rod 50 is raised using the hoist 90 and secured to the tubular penetration 84 supporting the decoupling tool with the retaining clip 92. The drive rod 50 will remain secure in this position through the remainder of the refueling activities. The rod cluster control assembly remains in the fuel assembly and is moved with the fuel. A shielded cylinder 106 is then lowered over the integral shield plate lifting rig assembly 76 (shown in FIG. 9). This shielded cylinder may be supported by the structures within the containment building that support the reactor itself or it may be supported by its inwardly extending upper flange 108 resting on the shield plate flange 102. The design of the shield cylinder 106 is such that it fits entirely around the upper internals assembly 26 and the integral filling rig 86 and has a sufficient length to completely cover the length of the upper internals 26 when the shielded cylinder's inwardly extending upward flange 108 is resting upon the shield plate flange 102 as shown in FIG. 10. A narrowed opening at the top of the shielded cylinder 106 formed from the inwardly extending flange 108 allows both the upper internals and the shielded cylinder to be lifted from the reactor vessel when the shielded plate flange 102 engages the shielded cylinder flange 108 as shown in FIG. 10. After the lifting fixture 76 engages the shielded cylinder 106 and the upper internals 26 has cleared the reactor vessel, the entire assembly is moved to its refueling storage location. While the upper internals 26 are being raised, the activated structures of the internals will break the water surface 96 and the potential for the creation of airborne radioactive contaminants exists as the components begin to dry out. The integral ventilation and filtration system 94 ensure that any airborne contaminants are kept within the shielded cylinder by maintaining a negative atmosphere (i.e., the pressure within the shielded cylinder 106 is below the pressure outside the shielded cylinder) or are captured in the filtration system. FIG. 11 provides a three-dimensional perspective of the upper internals 26 captured within the shielded cylinder 106 and supported by the shielded plate 78. While this invention was described as applied to a small modular reactor design, it should be appreciated that it can also be used in the refueling of conventional pressurized water reactors as well as other compatible reactor designs. Dry refueling of conventional reactor designs or reducing the extent of flooding that may be required during refueling will save time and expense on the critical path of conventional plant refueling outages. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
052884350
description
DETAILED DESCRIPTION OF THE INVENTION The present invention relates to processes and process systems for incinerating, vitrifying, and encasing hazardous radioactive waste material. An improved melter design provides for higher levels of incineration thus reducing hazards associated with non-combusted off-gases. A preferred embodiment of the present invention incorporates special processing equipment for handling radioactive waste materials that contain sulfur compounds. The melter 10, as shown in FIG. 1, is a containment chamber capable of holding a pool of molten glass 26. The melter 10 is preferably designed in the shape of an inverted pyramid having sloping lower walls 17 and substantially perpendicular side walls 16. The sloping lower walls 17 define a reservoir for containing the molten glass. This shape is advantageous in that (i) it provides a sump region for collection of conductive sludges, (ii) it reduces the operating inventory of molten glass, and (iii) it provides greater structural support. The molten glass 26, introduced via the feed line 12 as a glass forming substance, along with the waste material, is heated by supplying alternating electrical current between the electrodes 18. This causes an electrical current to flow through the glass. This type of glass formation and heating is referred to as the "Joule effect." The melter 10 preferably has three electrodes 18 arranged with two electrodes on the side of the melter and one electrode on the bottom of the melter. The current is phased between the electrodes 18, thus creating a potential between electrodes. The three electrode design therefore allows for the generation of thermal currents within the molten glass thus producing a more homogeneous glass product. As an alternative to the use of alternating electrical current, direct current may be used to heat the molten glass 26. The melter 10 is provided with an extended plenum area 28 defined as the gaseous region above the surface of the molten glass 26. This plenum area provides a space Where incineration of the combustible waste may occur. Combustion inlets 33 allow for the regulated introduction of air into the plenum area 28. The combustion process will be described herein by reference to air as the combustion gas, however it is known that oxygen is the combustion reactant. Plenum heaters 8 are provided to heat the waste gases 21 produced during the vitrification of the ash 20. The plenum heaters 8 are designed to maintain the temperature in the plenum area 28 above at least about 980.degree. C. (1800.degree. F.). The plenum heaters 8 are preferably electrical radiant heaters. The plenum area 28 is preferably designed to accommodate a residence time for the waste gases 21 of at least about 3 seconds, most preferably at least about 5 seconds, in the combustion atmosphere to form combusted off-gases which leave the melter 10 via off-gas line 14. The achievement of a desired residence time is dependent upon such variables as the feed rate and composition, the temperature and pressure of the plenum area, and the volume of the plenum area 28. The exact dimensioning of the plenum area 28 will vary therefore depending upon these operational variables. The calculation of the residence times are well within the skill of those in the art. The melter 10 is provided with a glass line 30 used to transport the molten glass 26 containing the vitrified ash 22 to storage. An air lift is used to aid in the removal of the glass product 27 by incorporating air into the ash containing glass via air lift line 32 which is controlled by air valve 31. This method of glass product removal is preferred over gravity flow techniques that incorporate valves on the glass line 30. There are two reasons for this preference: first, the flow control valve is not exposed to the punishing molten glass environment; second, the control valve is easier to repair. The present invention is preferably designed to handle hazardous radioactive waste materials. Most preferably, the process system is designed to handle wastes that contain sulfur compounds. Sulfur containing waste materials include, for example, spent ion exchange resins, particularly strong acid cation exchange resins, used in the nuclear processing industry. The nuclear processing industry employs these ion exchange resins to remove fission and corrosion products such as cesium, chloride, and borate compounds. These ion exchange materials generally are porous beads of polystyrene crosslinked with divinyl benzene. Referring to FIG. 2, a process system is depicted for the incineration and vitrification of waste materials along with the incorporation of radioactive sulfur in a gypsum matrix. The waste material is preferably fed as a slurry to the melter 10. A feed tank 34 is provided in which the waste material, glass forming material, and water are mixed to prepare a feed slurry. The glass forming material typically has SiO.sub.2 as its major component and can also include Al.sub.2 O.sub.3, MgO, FeO, CaO, Na.sub.2 O, and other metal oxides. Preferably the glass forming material is glass frit which is a common product of glass forming operations. Water is used as part of the feed slurry for several reasons, including (i) better contact between waste material and molten glass; (ii) light-weight resin particles are weighed down thus reducing entrainment in the off-gases; and (iii) improved feed delivery processing. The weight ratios of the feed waste material:glass:water can vary over a wide range depending upon the desired composition of the final product. Typically the feed weight proportions are from about 30 to 70 percent waste material, about 5 to 25 percent glass frit, and about 20 to 60 percent water. The feed slurry is transferred via pump 2 into the melter 10. The melter 10 has a pool of molten glass 26 that is prepared by introducing a glass forming substance, preferably glass frit, into the melter and heating the glass frit until it is molten. Preferably, the glass is heated using the plenum heaters 8 and the electrodes 18 to an operation temperature of from about 980.degree. C. (1800.degree. F.) to about 1310.degree. C. (2400.degree. F.). The melter 10 is encased in a melter chamber 40 to provide adequate protective insulation. The slurry feed, upon contact with the molten glass 26 and air, combusts and forms an ash 20. The solid ash particles become vitrified ash 22 upon incorporation into the molten glass 26. Combustion of the feed produces a waste gas 21 that may contain combustible compounds. The waste gas 21 mixes with air fed into the plenum area 28 via the combustion inlets 33 and is then incinerated. It is preferred to provide an excess amount of air to achieve a high level of combustion. The plenum area 28 is designed to operate above at least 980.degree. C. (1800.degree. F.), and this temperature is maintained by the plenum heaters 8. The plenum area 28 is preferably extended high enough from the molten glass surface to ensure that the waste gas 21 has an average residence time of at least about 3 seconds, most preferably at least about 5 seconds. The combusted waste gas product, the off-gas, exits the melter 10 via the off-gas line 14. The off-gas will contain sulfur compounds, preferably sulfur dioxide compounds if the waste material contained sulfur compounds that volatilized within the melter 10. The molten glass 26 containing the vitrified ash 22 exits the melter 10 via the glass line 30. Air is supplied into the glass line 30 via the air lift line 32 regulated by air valve 31. This glass product 27 then flows into an appropriate storage container 42. The off-gases from the melter 10 frequently contain suspended particulates and pollutants. When the feed is radioactive, a fraction of the radionuclides originally found in the feed slurry are either entrained or volatilized into the off-gas. The off-gas must therefore be further processed to remove these contaminants to below acceptable release standards. The off-gas is initially treated by a cooler 38 to reduce its temperature, preferably to below about 650.degree. C. (1200.degree. F.). The cooler 38 is preferably a film cooler that injects a boundary layer of cooler air into the off-gas stream. The cooler 38 functions to aid in the prevention of particulate blockage in the exiting off-gas stream. This is accomplished by cooling the melter off-gas to decrease its tackiness caused by the presence of certain particulates. The off-gas from the melter is then contacted with an aqueous solution. Preferably the off-gas is transported through off-gas line 14 into the scrubber 44. The scrubber 44 is preferably a submerged bed scrubber. The scrubber 44 contains a bed of particles 45 which act to break-up the off-gases and thereby increase the gas-liquid interface. The off-gases are cooled within the scrubber 44, which is preferably operated below about 100.degree. C. (212.degree. F.) to avoid excess gas production. The off-gases percolate up through the particles 45 and a portion thereof form a scrubber off-gas. A scrubber solution 47 is maintained within the scrubber 44. The scrubber solution 47 is an aqueous solution that contains condensed off-gas compounds and particulates. The scrubber 44 preferably retains a high fraction of all particles above about 1 micron in the off-gas. In the preferred embodiment where sulfur compounds are present in the off-gas, the sulfur compounds are then contacted with the scrubber solution 47 to form a sulfuric acid solution. The off-gases that are not condensed within the scrubber 44 are transferred as scrubber gas to the mist eliminator 60 via line 58. Such mist eliminators are well known in the art for filtering gaseous streams containing entrained fluids. The mist eliminator 60 operates like a filter to provide a surface area for water particles, entrained in the scrubber off-gas, to collect and also to collect certain radionuclide particles, especially cesium particles. Water is periodically fed via line 62, into the mist eliminator 60 by means of nozzles 64 to cleanse the surfaces within the mist eliminator 60. The water collected within the mist eliminator 60 is preferably recycled into the scrubber 44 via line 66. The gases exiting the mist eliminator 60 may then be further processed by passing them through a filter 70. Preferably, the temperature of the stream exiting the mist eliminator 60 is increased in heater 68 to avoid condensation in the filter 70. The filter 70 is preferably a high efficiency dry particulate filter designed to remove at least about 98% wt., most preferably about 99.8% wt., of particles below about 0.6 micron. The filter 70 thereby removes cesium or other radionuclides that have passed through the mist eliminator 60. The gases exiting the filter 70 can be further processed by exhausting to the atmosphere through a stack using conventional techniques. In a preferred embodiment, the process is operated under a slight sub-atmospheric pressure by the incorporation of a blower 74 that draws the off-gases through the system. The blower is located downstream from the filter 70. The inclusion of the blower 74 provides for two advantages: (1) the negative pressure will assure that the radionuclides are contained within the process equipment, and (2) the negative pressure within the melter 10 will allow combustion air to be drawn into the plenum area 28 without the need for the inclusion of a combustion air blower. The present process and system equipment provide for the volume reduction of the sulfur contaminants by further incorporating the sulfur compounds contained within the sulfuric acid solution generated in the scrubber 44 into a solid matrix. This sulfuric acid solution can contain radionuclides. The sulfuric acid contained in the scrubber solution 47 is transferred via line 46 to the gypsum tank 72. Calcium hydroxide, Ca(OH).sub.2, is fed into the gypsum tank 72 via line 48. The calcium hydroxide contacts the sulfuric acid solution and forms solid gypsum 50, CaSO.sub.4, and water as a reaction by-product. The gypsum 50 settles to the floor of the tank and can then be removed using conventional solid transfer techniques. The aqueous solution remaining in the gypsum tank 72 is removed to maintain a proper tank liquid level. Preferably, the solution is transported via a pump 56 through line 52 into a recycle water tank 80. The water from the recycle water tank 80 can then be used to slurry the glass frit and waste material feed in feed tank 34. The gypsum tank 72 is preferably operated below about 100.degree. C. (212.degree. F.) to avoid excess gas production. In such a way, radioactive sulfur compounds are economically incorporated into a neutralized solid matrix thus decreasing the volume of the waste material and making it easier to handle. The water generated from this gypsum reaction, referred to as "gypsum-water", is generally radioactive and the closed loop process design provides a way of containing that radioactive material. Various modifications to the inventive process, that are within the scope of conventional engineering practice, can be incorporated into the basic system set forth. Examples include connecting the overhead gaseous space of the feed tank 34 and the recycle water tank 80 to the scrubber 44 to process any contaminants entrained in the gases present in those tank systems and to maintain those tanks at a negative pressure upon the incorporation of the blower 74. Also, any water condensed from processing the filter 70 off-gases to the stack can be recycled back to the system, preferably to the recycle water tank 80.
description
This application claims priority of Provisional Application Ser. No. 61/013,714, filed Dec. 14, 2007. 1. Field of the Invention This invention relates in general to locking mechanisms and more particularly to an access hatch locking mechanism. 2. Description of the Related Art A pressurized water reactor nuclear steam generator typically comprises a vertically oriented shell, a plurality of U-shaped tubes disposed in the shell so as to form a tube bundle, a tube sheet for supporting the tubes at the ends opposite the U-like curvature, a dividing plate that cooperates with the tube sheet and a channel head forming a primary fluid inlet header at one end of the tube bundle and a primary fluid outlet header at the other end of the tube bundle. A primary fluid inlet nozzle is in fluid communication with the primary fluid inlet header and a primary fluid outlet nozzle is in fluid communication with the primary fluid outlet header. The steam generator secondary side comprises a wrapper disposed between the tube bundle and the shell to form an annular chamber made up of the shell on the outside and the wrapper on the inside, and a feedwater ring disposed above the U-like curvature end of the tube bundle. The primary fluid having been heated by circulation through the reactor enters the steam generator through the primary fluid inlet nozzle. From the primary fluid inlet nozzle, the primary fluid is conducted through the primary fluid inlet header, through the U-tube bundle, out the primary fluid outlet header, through the primary fluid outlet nozzle to the remainder of the reactor coolant system. At the same time, feedwater is introduced to the steam generators secondary side through a feedwater nozzle which is connected to a feedwater ring inside the steam generator. Upon entering the steam generator, the feedwater mixes with water returning from steam separators, called the recirculation stream. This mixture, called the downcomer flow, is conducted down the annular chamber adjacent to the shell until the tube sheet near the bottom of the annular chamber causes the water to reverse direction passing in heat transfer relationship with the outside of the U-tubes and up through the inside of the wrapper. While the water is circulating in heat transfer relationship with the tube bundle, heat is transferred from the primary fluid in the tubes to the water surrounding the tubes causing a portion of the water surrounding the tubes to be converted to steam. The steam then rises and is conducted through a number of moisture separators that separate entrained water from the steam, and the steam vapor then exits the steam generator and is typically circulated through electrical generating equipment to generate electricity in a manner well known in the art. Since the primary fluid contains radioactive materials and is isolated from the feedwater only by the U-tube walls, the U-tube walls form part of the primary boundary for isolating these radioactive materials. It is, therefore, important that the U-tubes be maintained defect-free so that no breaks will occur in the U-tubes that will cause radioactive materials from the primary fluid to enter the secondary side; an undesirable result. Periodic maintenance is scheduled for the steam generators, typically during a service outage, to inspect the integrity of the U-shaped tubes as well as to inspect the remaining components of the steam generators and clean accumulated loose parts and sludge to insure the continued efficient and safe operation of the steam generators. To perform this maintenance operation manways are provided in the steam generator shell to gain access to the interior of the generators. Typically, manways are provided in the shell above the tube sheet to gain access to the tube lanes and above the lower deck plate, which is supported horizontally above the curvature of the U-shaped tubes to separate the steam drum which extends upward from the lower deck plates from the area surrounding the U-shaped tubes. Riser tubes extend upward from the lower deck plate and communicate the area below the lower deck plate with the area within the steam drum. The riser tubes contains swirl vanes that centrifugally separate out and drain entrained water from the rising steam. The riser tubes form the primary separators which deliver the steam to a secondary set of separators, which are supported above an upper deck plate in the steam drum. Access hatches may be provided in one or more of the deck plates for maintenance access during service outages, that have to be maintained sealed when the steam generators are put back into operation. Typically, the hatches are sealed by welding. That necessitates that when access is required during a maintenance operation, that the welded locking devices be ground off to gain access to the different levels of the steam drum. After the inspections are completed, the locking devices have to be welded back in place. All this grinding and welding adds to site schedules which in turn adds cost to the operating utility. Accordingly, a new access hatch seal is desired that will withstand the harsh operating environment of the steam generator while enabling ready access during maintenance operations. Additionally, such an improved hatch seal is desired that is substantially self-contained and will not create any loose parts in the generator that might otherwise damage the U-shaped tubes. This invention achieves the foregoing objectives by providing a mechanical locking mechanism for a removable access plate or hatch for a generally horizontal deck plate of the steam generator. The locking mechanism includes a pin holder attached to either one of the underside of a deck plate or a removable access plate. An elongated mechanical pin is retractably attached to a pin holder for moving in a generally horizontal plane under the deck plate under surface between a closed position and an open position. A receiving socket is attached to the other of the deck plate under surface or the removable access plate for engaging the mechanical pin in its closed position and, thus, locking the removable access plate to the deck plate. In one preferred embodiment, the pin holder is attached to the removable access plate so that if service of the locking mechanism is required, the removable access plate can be easily removed from the steam generator to service the moving parts of the locking mechanism in a less caustic environment. Furthermore, in another preferred embodiment, means are provided for locking the mechanical pin when the mechanical pin is in its closed position. Desirably, the means for locking the mechanical pin includes a lock nut that engages conical threads on the mechanical pin and locks the mechanical pin in a closed position. Desirably, the pin holder has a slot with opposing, parallel, vertical walls through which the mechanical pin slides between its closed and open positions. Desirably, the mechanical pin has longitudinally extending, diametrically opposing, relatively flat lands that are closely received within the opposing vertical slotted walls, so that the pin cannot rotate in the slot as it slides between its open position and its closed position or when the lock nut is tightened. In still another embodiment, the means for locking the mechanical pin includes a movable cleat that is supported from the pin holder in line with a transverse slot in the mechanical pin. The cleat is movable into the slot when the mechanical pin in is the closed position to lock the mechanical pin. Preferably, the slot is in the surface of the mechanical pin and oriented in the vertical direction so that the cleat can drop into the slot when the mechanical pin is in the closed position. Desirably, the cleat is supported from the mechanical pin holder above the mechanical pin so that it can drop into the slot under the force of gravity. In one preferred embodiment, the cleat at one end is hingedly attached to the pin holder. Preferably, the locking cleat forms a backup locking mechanism to the lock nut for locking the mechanical pin in its closed position. In still another preferred embodiment, the locking mechanism includes a reaction mount attached to the one of the deck plate under surface or the removable plate that the pin holder is attached to. The reaction mount is spaced from the pin holder between the pin holder and the receiving socket and has an opening in line with an engagement opening in the receiving socket. The mechanical pin, in its closed position, extends between and into the slots in the pin holder, the opening in the reaction mount and the opening in the receiving socket. Desirably, the mechanical pin further includes a circumferential retainer collar that abuts the lock nut to prevent lateral movement of the pin out of the pin holder. Referring now to the drawings, FIG. 1 shows a steam or vapor generator 10 that utilizes a plurality of U-shaped tubes which form a tube bundle 12 to provide the heating surface required to transfer heat from a primary fluid to vaporize or boil a secondary fluid. The steam generator 10 comprises a vessel having a vertically oriented tubular lower shell portion 14 and a top enclosure or dished head 16, enclosing the upper end and a generally hemispherical shaped channel head 18 enclosing the lower end. The lower shell portion 14 is smaller in diameter than the upper shell portion 15 and a frustoconical-shaped transition 20 connects the upper and lower portions. A tube sheet 22 is attached to the channel head 18 and has a plurality of holes 24 disposed therein to receive ends of the U-shaped tubes. A divider plate 26 is centrally disposed within the channel head 18 to divide the channel head 18 into two compartments 28 and 30, which serve as headers for the tube bundle. Compartment 30 is the primary fluid inlet compartment and has a primary fluid inlet nozzle 32 in fluid communication therewith. Compartment 28 is the primary fluid outlet compartment and has a primary fluid outlet nozzle 34 in fluid communication therewith. Thus, primary fluid, i.e., the reactor coolant, which enters fluid compartment 30 is caused to flow through the tube bundle 12 and out through outlet nozzle 34. The tube bundle 12 is encircled by a wrapper 36, which forms an annular passage between the wrapper 36 and the lower shell 14 and frustoconical transition cone 20. The top of the wrapper 36 is covered by a lower deck plate 40 which includes a plurality of openings 42 in fluid communication with a plurality of riser tubes 44. Swirl vanes 46 are disposed within the riser tubes to cause steam flowing therethrough to spin and centrifugally remove some of the moisture entrained within the steam as it flows through this primary centrifugal separator. The water separated from the steam in this primary separator is returned to the water pool 80 (see FIG. 2) above the lower deck plate. After flowing through the primary centrifugal separator, the steam passes through a secondary separator 48 before reaching a steam outlet nozzle 50 centrally disposed in the dished head 16. The feedwater inlet structure of this generator includes a feedwater inlet nozzle 52 having a generally horizontal portion called a feedring 54 and discharge nozzles 56 elevated above the feedring 54. Feedwater, which is supplied through the feedwater inlet nozzle 52, passes through the feedring 54, and exits through discharge nozzle 56 and mixes with water which was separated from the steam and is being re-circulated. The mixture then flows down over the lower deck plate 40 and into the annular passage 38. The water then enters the tube bundle at the lower portion of the wrapper 36 and flows among and up the tube bundle where it is heated to generate steam. FIG. 2 is a cross-sectional view of the upper portion of the steam generator shown in FIG. 1. The same reference characters are employed to designate the corresponding components in the several figures. The generator illustrated in FIGS. 1 and 2 includes a loose parts collector weir 60 and sludge collectors 68 more fully described in U.S. patent application Ser. No. 12/035,722, filed Feb. 22, 2008. The loose parts collector weir 60 and the sludge collectors 68 are formed as part of the lower deck plate 40 and collect sludge and loose parts that may have been entrained in the feedwater or recirculation stream and captures the same before they damage the tube bundle 12 or impede the efficiency of the generator. The sludge and loose parts are periodically removed during maintenance outages. Access is provided to the steam generator 10 for maintenance through manways 74 between the intermediate deck plate 62 and the upper deck plate 58. The upper deck plate 58 supports the secondary separators 48. The upper deck plate 58 has a removable access plate 64, the underside of which can best be seen in FIG. 4 and is shown with a handle 66 to facilitate handling. FIG. 4 shows the underside of the upper deck plate 58 with the removable access plate 64 held in place by the mechanical locking system 70 of this invention. The mechanical locking system 70 of this invention, which will be described in more detail with respect to FIG. 3, basically includes an elongated mechanical pin 72 retractably attached to a pin holder 76. The mechanical pin 72 extends radially from the pin holder 76 through a reaction mount 78, and in its closed position is captured by a receiving socket 86 and locked in the closed position by a locking nut 82. Three such locking systems 70 are shown in FIG. 4, though it should be appreciated that between 2 and 4 such systems may be used with preferably at least three such systems employed to lock the access plate 64 in position against the upper deck plate 58. As can be seen in FIG. 4, the assembly of the reaction mount 78, mechanical pin 72, lock nut 82, and pin holder 76 may be welded to either the removable access plate 64 or the undersurface of the upper deck plate 58, with the receiving socket 86 welded to the other of the removable access plate 64 or the underside of the upper deck plate 58. Preferably, the assembly of the reaction mount 78, mechanical pin 72, lock nut 82 and pin holder 76 is welded to the removable access plate so that the initial attachment, and any future service that may be required can be performed outside the generator by removal of the removable access plate 64. This allows maintenance work to be performed in a less caustic environment. A receiving socket 86 may be formed from a block of metal with a recess or hole machined to receive the outwardly radially extending end of the mechanical pin 72. Alternately, a welded pad can be employed with a similar recess. The assembly of the reaction mount 78, mechanical pin 72, lock nut 82 and pin holder 76 is more fully shown in a perspective view illustrated in FIG. 3. FIG. 3 shows the mechanical pin 72 in the retracted position. As shown in FIG. 4, the pin holder 76 and the reaction mount 78 are preferably welded to the removable hatch cover as a unit, with the reaction mount 78 adjacent to the receiving socket 86. By assembling the mechanical pin 72 into the reaction mount 78 and the pin holder 76 and attaching retainer collar 84, the mechanical pin 72 and NPT locking nut 82 on the mechanical pin 72 are fully retained to the access plate 64. To engage the mechanical pin 72, the radially inwardly extending free end of the mechanical pin 72 is pushed manually radially outward using the finger grip 88 so that it engages the hole in the receiving socket 86. Preferably, the hole within the pin holder 76 from which the mechanical pin 72 extends, has at least a portion of it diametrically opposed sides slotted, i.e., extending in a straight line horizontally. The slotted side portions closely mate with machined lands 98 that extend longitudinally on either side of the mechanical pin 72, so that when the locking nut is moved into position to start to turn on the NPT (tapered) threads 90, the slotted portion of the walls on the pin holder 76 from which the mechanical pin 72 extends, prevents the pin from rotating. This requires that a portion of NPT threads 90 be machined away to form the lands 98 on diametrically opposite sides of the mechanical pin 72, however, that does not adversely affect the working of the threads 90 or the locking nut 82. After the locking nut 82 is engaged onto the mechanical pin 72, the bearing of a nut 82 against the side of the pin holder 76 prevents the pin from retracting. Desirably, a retainer shoulder or collar 84 is provided on the mechanical pin body 72, to bear against the lock nut 82 and limit lateral motion of the pin 72 thereby retaining the lock nut 82. In one preferred embodiment, a redundant locking feature is also provided to ensure that, in the unlikely event that the locking nut 82 were to back out of its locked position, the pin would still be retained in the locked position. A pin latch or cleat 96 is hingedly secured to the pin holder 76 by a latch hinge pin 94. The latch hinge pin 94 is securely press fit, welded, secured by upsetting the edges of the hole or otherwise firmly restrained in a hole within the pin holder 76. A latch slot 92 is machined in the surface of the pin and extends vertically and is sized to receive the latch as it rotates downward. Sufficient clearance is provided between the latch 96 and the opening in the pin holder 76 through which the mechanical pin 72 extends, so that the latch moves freely. When the mechanical pin 72 is in the closed position, the slot 92 aligns with the pin latch 96 so that when the pin latch 96 rotates around the latch hinge pin 94 it seats in the latch slot 92 preventing lateral movement of the mechanical pin 72. When the mechanical pin 72 is engaged, the pin latch 96 swings down by gravity into the latch slot 92 in the radially inward end of the mechanical pin 72. A slot 100 in the pin latch 96 allows the pin latch to be stored in a retracted position without the need to manually hold the pin latch, so that the installer may freely operate the pin. Accordingly, all movable parts that are not directly welded to either the removable hatch cover plate or the underside of the deck plate, are fully retained; the NPT thread secures the pin in the locked position; a redundant locking tab secures the mechanical locking pin from axial movement in the unlikely event that the NPT locking nut 82 backs off the threads; only standard tooling i.e., a wrench, is required to operate the locking mechanism; most of the work to install the locking mechanisms can be performed outside of the steam generator unit; and repairs if needed to the locking mechanisms can be done by removing the hatch cover to the outside of the generator. While specific embodiments of the invention have been described in detail in an application to a steam generator intermediate deck plate access opening, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. For example, the invention could be used for sealing access opening covers on any of the other steam generator deck plates. Accordingly, the embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention, which is to be given the full breath of the appended claims and any and all equivalence thereof.
summary
047553499
abstract
A mechanism for anti-seismic connection between the pump base and the dome of a nuclear power station is characterized by the fact that the pump base (1) is equipped externally with a flange (3) seated with a slight axial play and significant radial play within a socket (4) which is a fixed cover closed by and able to slide axially with a slight play within a cylindrical reinforcement (7a) of the dome (7). A radial damping mechanism (8) is installed between the flange (3) and the socket (4) to permit slow displacements between these two entities, but to block abrupt displacements. The lower end of the socket (4) comprises a collar (15) equipped with a metallic journal (16) which can slide axially within a bearing (17) firm with the internal wall of the dome (7).
052157040
abstract
Using the method of this invention, the performance of a heat exchanger is determined by measuring the heat transfer capabilities of an individual tube. A relatively small reservoir of service fluid is connected to the inlet and outlet ports of a tube. The reservoir is provided with a heater or chiller and the service fluid is circulated through the tube. When a steady state is reached, the heat transfer characteristics of the tube are measured using known mathematical relationships.
summary
042785600
claims
1. Method for manufacturing mixed crystals containing uranium and gadolinium for conversion into nuclear fuels, which comprises maintaining a body of an aqueous solution of ammonium carbonate at a pH of 9, introducing NH.sub.3, CO.sub.2 and a uranyl salt solution containing gadolinium in dissolved form, said uranyl salt being selected from the group consisting of uranyl nitrate and uranyl sulfate, into the aqueous solution of ammonium carbonate to precipitate ammonium salts of gadolinium and uranium complexes and during precipitation lowering the pH of the body of aqueous solution down to 8.2, separating the precipitate from the body of solution, and converting the precipitate of complexes containing gadolinium and uranium to uranium and gadolinium oxides. 2. Method according to claim 1, wherein the uranyl salt is uranyl nitrate. 3. Method according to claim 1, wherein the uranyl salt is uranyl sulfate. 4. Method according to claim 1, wherein the precipitate of complexes containing gadolinium and uranium is combined with ammonium uranyl carbonate and converted to the oxide form. 5. Method according to claim 1, wherein the degree of gadolinium precipitation and thereby the gadolinium content of the mixed crystals is adjusted through control of the pH drop from 9 to 8.2 with the degree of gadolinium precipitation increasing with greater pH drop. 6. Method according to claim 1, wherein a portion of the body of aqueous solution of ammonium carbonate containing introduced NH.sub.3, CO.sub.2 and uranyl salt solution containing gadolinium in dissolved form, is withdrawn and recirculated to the body to aid in mixing and facilitate precipitation. 7. Method according to claim 6, wherein recirculation is continued after precipitation has ended to equalize the grain size of the precipitation products. 8. Method according to claim 1, wherein UF.sub.6 is an additional material introduced into the solution of ammonium carbonate and reacts with the ammonium carbonate to form ammonium uranyl carbonate.
062467409
claims
1. A control rod for use in a nuclear reactor, said control rod comprising: a cylindrical, thin-walled sleeve comprising an SiC fiber-reinforced SiC composite material which has a porosity of 40% or less and a wall thickness of 5 mm or less; a neutron-absorbing material disposed within said sleeve; and a cylindrical cladding member disposed in overlying, surrounding relationship to said cylindrical, thin-walled sleeve. 2. A control rod according to claim 1, wherein said cylindrical, thin-walled sleeve comprises a circularly knitted SiC continuous fiber. 3. A control rod according to claim 1, wherein said cylindrical, thin-walled sleeve is produced by impregnating a circularly knitted SiC continuous fiber with an organic silicon compound and then calcining the thus impregnated SiC continuous fiber. 4. A control rod according to claim 1, wherein said cylindrical, thin-wall sleeve comprises three or less laminated layers of circularly knitted SiC continuous fiber.
049960199
description
In FIGS. 1 and 2, the reference 10 designates in general terms a drum which, in this case, is shaped like a parallelepiped with a square-section. Drum 10 comprises a side wall 10a and a bottom 10b. At its upper end, the side wall 10a defines an opening which can be tightly sealed by a cover designated in general terms by the reference 12 in FIG. 1. The drum 10 associated with the cover 12 constitutes, when they are tightly connected in a manner to be described hereinafter, a storage container in which is placed low or medium activity radioactive waste. According to an essential feature of the invention, the complete container, namely the drum 10 and the cover 12, is made from concrete reinforced by metal fibers. The metal fibers, which can in particular be cast iron, steel or stainless steel fibers, are uniformly distributed in a random manner within the concrete, while making it possible to reinforce the latter, while giving it a homogeneous character up to the surface. The modulus of elasticity of the container is consequently identical at all points, so that the cracks which normally appear on concrete containers internally reinforced by metal requirements are eliminated and the risk of the container cracking when dropped no longer exists. Drum 10 and container 12 are produced by molding or casting, so that they can be given any appropriate shape. According to FIG. 1, the bottom 10b of drum 10 has support feet 14, possibly removable, which project beyond the outer surface of the bottom, so as to permit the handling of the container by a lifting apparatus. Moreover, the molding of the drum 10 and the cover 12 is carried out in such a way that the inner surfaces of the container are rough and e.g. have cavities. The upper edge 16 of the lateral partition 10a of the drum, as well as the peripheral edge 18 of the cover 12 also have rough surfaces. Moreover, keying grooves 20 and 22 are formed on the edges 16 and 18, respectively around the opening of the drum and around the cover. The width of the keying grooves 20 and 22 increases on moving away from the surfaces of the edges in which said grooves are formed, so that in section these grooves have dovetail or trapezoidal shapes. As illustrated by FIGS. 1 and 3, the dimensions and shape of the cover 12 are such that a lower part 12a of the latter penetrates the opening formed at the top of drum 10 in order to ensure the positioning and centering of the cover on said drum. Above said part 12a of cover 12, the peripheral edge 18 thereof in which is formed the keying groove 22 has a slightly larger size than that of the opening formed in the drum. The tight connection of the drum to the cover is ensured by a keying joint 24, also made from concrete reinforced by metal fibers of the same nature as those of drum 10 and cover 12. The keying joint 24 is produced by molding and penetrates the keying grooves 20 and 22, as illustrated by FIG. 3. It is located both in the extension of side wall 10a of the drum and in the extension of cover 12 and adheres perfectly to their edges 16 and 18 as a result of the roughness of the latter. When the keying joint 24 is formed, the container according to the invention constitutes a homogeneous, tight assembly, in which there is no discontinuity, even in the junction zone between the cover and the drum. This observation has been confirmed by tests, which have shown that if the container is dropped on a corner, in the junction zone there is only a slight surface crumbling, which does not have any consequence with respect to the air and water sealing, or the preservation of the confinement. In the embodiment shown in FIGS. 1 to 3, the waste to be stored is placed in drum 10 and then cover 12 is fitted. Into the container is then injected a filling material, preferably constituted by concrete reinforced by metal fibers of the same composition as the concrete forming the container. In the represented embodiment, injection takes place by at least one of two vertical tubes 26 embedded in the concrete of drum 10 in two opposite angles of the latter. These two tubes 26 issue onto the upper edge of the side wall 10a of the drum and within the latter, immediately above the bottom 10b. During said injection, air and gases are discharged by a vent 28 (FIG. 1) e.g. formed in the center of cover 12. On the side turned towards the inside of the container, tubes 26 and vent 28 are protected by grids 30 and 32 preventing waste from entering the same. The keying joint 24 is put into place prior to tightly joining the cover 12 to drum 10, in the manner described hereinbefore and then filling is carried out. As is more particularly shown in FIGS. 1 and 3, drum 10 and cover 12 respectively have on their upper faces rods 34 and 36 permitting their handling by means of an appropriate installation. In the embodiment shown in FIGS. 4 and 5, the storage container according to the invention comprises a cylindrical drum 110 and a cover 112 cast in the upper opening of the drum following the filling of the latter. More specifically, the opening formed in the upper part of the cylindrical lateral partition 110a of drum 110 has a keying groove 120 with a semicircular cross-section. In this embodiment, drum 110 is firstly produced by molding or casting a concrete reinforced by metal fibers in the same way as in the first embodiment. This molding makes it possible to obtain both groove 120 and a slot 123 formed on the outer peripheral surface of the lateral partition 110a, in order to permit the handling of the drum. Low or medium activity radioactive waste is then placed in the drum 110. In the embodiment shown, said waste is less dense than the filling material, so that a fly-off preventing plate designated in general terms by reference 140 is latched into groove 120 by means of springs 142 or equivalent members. Plate 140 is also made from concrete reinforced by metal fibers and has an identical composition to that of the concrete forming the drum 110. As is more specifically illustrated by FIG. 5, the fly-off preventing plate 140 is generally shaped like a disk 141, whose external diameter is smaller than the diameter of the opening formed in the upper part of the cylindrical partition 110a of the drum. Disk 141 is provided in its center with a circular passage 144 by which the filling material is injected into the drum. As hereinbefore, said filling material is preferably constituted by concrete reinforced by metal fibers and having the same composition as the concrete of drum 110. In order that filling takes place in a satisfactory manner, the drum 110 is then placed on a vibrating table. The lower face of disk 141 is slightly upwardly inclined on moving towards its outer peripheral edge, in order to facilitate during filling the escape of air and gases through passages 146 formed on the periphery of the disk, between radial portions 143 of the fly-off preventing plate 140. These portions 143 in particular ensure the centering of the plate in drum 110. Moreover, every other radial portion 143 has lateral grooves 145 for fixing one of the springs 142 by embedding. Each of the springs 142 is in the form of an elastic metal wire having two portions shaped like an isosceles trapezium and which are connected by their small base. One of these portions having relatively small dimensions is fitted onto the projecting end of one of the radial portions 143 of the fly-off preventing plate, in such a way that its lateral branches penetrate the grooves 145. The second portion shaped like an isosceles trapezium of spring 142 and having larger dimensions is located in a plane which is then outwardly inclined, in such a way that the large base of said second portion is located in drum groove 120. When the portion of the drum located below the fly-off preventing plate 140 is filled with concrete, the cover 112 is directly molded above said plate, so as to be flush with the upper edge of the lateral partition 110a of the drum, while filling the keying groove 120 and coming into close contact with the internal surface of the drum opening. According to the invention, cover 110 is also made from metal fiber-reinforced concrete, in such a way that the said container constitutes, as in the previous embodiment, a homogeneous, tight assembly having a uniform modulus of elasticity and consequently in which cracks and fractures appearing with the prior art containers are eliminated. The container structure also gives it a good resistance to corrosion. Preferably, as in the previously described embodiment, the internal surfaces of the drum 110 and the surfaces of the fly-off preventing plate 140 are rough, so as to permit a good adhesion of the concrete, which is then cast against these surfaces. A third embodiment of the invention will now be described relative to FIG. 6. In FIG. 6, reference 210 designates in general terms a drum to be tightly sealed by a cover 212, in order to form a storage container for receiving low or medium activity radioactive waste coated with a filling material. In the represented embodiment, the container is parallelpipedic and the vessel 210 has a flat bottom 210b and the side wall 210a a square cross-section, whose upper end defines an opening, which can be tightly sealed by the cover 212. According to the invention, the complete container, i.e. drum 210 and cover 212 is made from metal fiber-reinforced concrete. Drum 210 and cover 212 are produced by molding and e.g. have the shapes shown in FIG. 5. In particular, the upper end of the side wall 210a of the drum has a stepped shape successively defining, passing from the exterior towards the interior of the drum, a planar end face 250 and a planar surface 252 parallel to face 250 and set back with respect thereto. Surface 252 is connected to the end face 250 by an inclined, internal peripheral edge 254 forming a Z in cross-section with surface 252 and face 250. The inclined edge 254 steps back progressively outwards on approaching surface 252, in such a way that said inclined edge forms an angle .beta. of at least 10.degree. with the axis of the drum. Cover 212 also has a stepped peripheral zone, which has, starting from its upper face, an inclined, external peripheral edge 256 and a vertical edge 258 set back from the inclined edge 256. These edges 256 and 258 are connected by a planar surface 260 parallel to the upper and lower faces of the cover. The diameter of the inclined edge 256 steps back progressively outwards on approaching surface 260, in such a way that said inclined edge forms with the axis of cover 212 an angle .alpha. of at least 10.degree.. This angle .alpha. between the surface 260 and the cover axis is smaller than the angle .beta. between the surface 254 and the drum axis. When cover 212 is placed on the drum, the lower part of the cover defined by edge 258 is fitted into the opening formed at the top of the drum until surface 260 bears on surface 252. As can be seen in FIG. 6, the inclined edges 254 and 256, which have the same height, then face one another and define between them a dovetail-shaped annular space, whose width is substantially constant from the upper face of the container to the surface 252. This annular space constitutes a keying groove. In order to tightly fix the cover 212 on drum 210, a keying joint 224 is cast into said annular space. According to the invention, said keying joint 224 is made from the same material as the rest of the container, i.e. metal fiber-reinforced concrete. The production of the keying joint 224 is an upwardly open annular space makes it possible to ensure a tight sealing of the container without it being necessary to use a formwork. Moreover, the shape of the keying joint makes it possible to ensure that there is no risk of the cover flying off when this joint is produced. Advantageously, the cover 212 is centrally provided with at least one opening 228 in which is formed a keying groove 229. In this way, the container can be filled following the sealing of cover 212 on drum 210 by means of the keying joint 224. When the waste has been introduced through opening 228, the filling material is introduced until the opening 228 is completely sealed. By using as the filling material metal fiber-reinforced concrete identical to that forming the actual container, a homogeneous assembly is obtained where there is no cracking and breaking risk. As in the preceding embodiments, the internal surfaces of the container and the internal surfaces of the groove for receiving the keying joint 224 and the central opening 228 are made rough by any appropriate means, e.g. by cleaning these surfaces using a brushing or roughening device or high pressure water jets. Tubes for injecting the filling material into the bottom of the vessel can, if appropriate, be embedded in the side wall 210a of the vessel, in the case where the filling is carried out under pressure, in accordance with the teaching of the main patent application. Finally, in order to permit the handling of the container, various means can be provided. In an exemplified manner, these means can include rods or rings 234 sealed onto the planar end face 250 of the drum. A handling groove or slot 223 can also be formed by molding on the outer peripheral surface of drum 210, close to the end face 250. Obviously, the invention is not limited to the embodiments described in exemplified manner hereinbefore and in fact covers all variants thereof. In particular, the three embodiments described can be combined in different ways. Thus, the procedure of molding the cover directly in the drum opening described with reference to FIG. 4 could be applied to non-cylindrical containers. Conversely, the use of keying joints as shown in FIGS. 3 and 5 can also be applied to cylindrical containers. In a comparable way, the shapes of the keying grooves can be reversed. Moreover, cylindrical containers can be equipped with support feet and/or lifting rods. Finally, in the embodiment according to FIG. 4, the fly-off preventing plate 140 can be eliminated when the waste is denser than the filling material.
061012319
abstract
The spring force measurement system includes a draw rod standard, an insertion tube and an extension tube, together with a cable received through the tubes connecting the standard and a load cell, in turn connected by the cable to a winch. The draw rod standard is located in the fuel bundle below the spacer having a spring whose spring force is to be measured. By drawing the standard through the spacer cell, the spring force is computed using the measured tension in the connecting cable as the standard is withdrawn and the known values of the coefficient of friction between the spacer spring projection and the draw rod standard and the combined weight of the cable and draw rod standard. The measuring process is repeated for next higher spacers.
041522044
claims
1. In a core reactor comprising a graphite vessel having walls, and a top cover that serve as a reflector and having a filling of a mass of ball-shaped fuel and breeder elements defining a top surface and having a chamber portion therewith: the improvement in combination therewith comprising a device for controlling the power output of said core reactor and for turning off the same, said device including a first absorbing material containing component in the form of absorber rods movable into said filling of fuel and breeder elements when received in said reflector of graphite for turning off said reactor, said device also including a second neution absorbing material containing component for controlling the output of the core reactor, said second component being separate and distinct distinct from said first component and including a plurality of separate and independent plates vertically displaceable only above the filling within that chamber portion of said reflector which is defined by the top surface of said filling of fuel and breeder elements when received is said reflector of graphite, and is further defined by the top cover which is above the level of said filling of fuel and breeder elements, the depth to which said second component is movable only from said top cover into said chamber portion being limited from below by the top surface of said filling of fuel and breeder elements, and drive means in essence sufficient to overcome gravity for lifting the second absorbing material component for controlling the output of the core reactor, the second abosrbing material component being distributed over a length which corresponds approximately to height of the hollow chamber including thickness of said reflector. 2. In a core reactor in combination according to claim 1, in which said second component for controlling reactor output from above comprises a plurality of members having an area exposed above said filler component and containing neutron absorber material movable into said chamber portion above the fuel and breeder elements in order to decrease neutron flow in said chamber portion and thereby also to decrease neutron flow in said filling of fuel and breeder elements.
048641464
claims
1. An apparatus for simulating a fire-like environment comprising: (a) first radiant energy means for generating and transmitting energy having a blackbody spectral distribution; (b) second radiant energy means for generating and transmitting energy in infrared emission bands; and (c) third radiant energy means for generating and transmitting energy in ultraviolet and visible radiation bands. (a) blackbody radiation means for generating and transmitting energy having a blackbody spectral distribution in the visible and infrared spectral regions; (b) emission band radiation means for generating and transmitting energy in the infrared emission bands associated with certain gases which are the products of combustion; (c) ultraviolet spectral radiation means for generating and transmitting energy in a discrete ultraviolet spectral band; and (d) electrical modulating means for modulating the said blackbody radiation means, emission band radiation means, and ultraviolet spectral radiation means to simulate a fire's flicker. (a) a blackbody source for radiating energy in the visible and infrared spectrum, having a coil of heating wire sealed within a reflecting cavity having a transparent aperture, said cavity containing a mixture of gases including gases which are the products of combustion; (b) an ultraviolet radiation source for radiating energy in the ultraviolet spectrum; (c) a gas discharge tube source for simulating an ignition flash; (d) reflectors and apertures for gathering and directing radiant energy emitted by said ultraviolet and ignition-flash radiation sources; and (e) a noise generator and first and second power amplifiers for producing electrical waveforms for simultaneously heating said blackbody radiation source to the temperature of a fire, heating the gases contained within the blackbody cavity to emission temperature, and driving the ultraviolet radiation source, all in time-varying amplitude to simulate a fire's flicker. (a) generating blackbody-source radiant energy in the visible and infrared spectral regions; (b) generating gaseous emission source radiant energy in infrared emission bands associated with gases which are products of combustion; (c) generating ultraviolet-source radiant energy in a discrete ultraviolet radiation band; and (d) supplying a modulating electrical current to excite said blackbody source, ultraviolet source, and gaseous emission source to energy radiating states simulating time-varying radiation emitted by an actual fire. (a) heating, to the temperature of a fire, a coil of wire sealed in a cavity having a transparent aperture and containing a mixture of gases, including carbon dioxide and nitrogen, to produce emitted radiant energy having blackbody spectral distribution and emissions in the narrow spectral bands associated with carbon dioxide, the heating being accomplished with a modulating electric current. (b) electrically powering an ultraviolet bulb concurrently with said heating step, by a modulating current to produce time-varying, emitted radiant energy having ultraviolet spectral distribution indicative of a fire. 2. The apparatus of claim 1 in which said first radiant energy means and second radiant energy means are combined to provide means for simultaneously generating, within the same blackbody cavity, radiant energy having a blackbody spectral distribution and energy having characteristic emission lines of certain gases. 3. The apparatus of claim 2 wherein the combined first and second radiation means includes a sealed reflecting cavity having a transparent aperture, containing a mixture of gases including carbon dioxide and nitrogen, and further including a coil of wire heated by a modulating electric current to the temperature of a fire. 4. The apparatus of claim 3 in which said gas mixture additionally includes water vapor. 5. The apparatus of claim 3 in which said third radiant energy means includes an electrically discharged fluorescent-type bulb without a fluorescent coating. 6. The apparatus of claim 1 further including simulation means for generating and transmitting energy having an ignition-flash radiation signature. 7. The apparatus of claim 6 wherein the ignition-flash radiation signature from the simulation means is that of a munitions flash. 8. The apparatus of claim 6 wherein said simulation means includes an electrically-fired gas discharge tube. 9. Fire simulating apparatus for simulating static and dynamic radiation attributes of a fire comprising: 10. The apparatus of claim 9 in which said blackbody radiation means and carbon dioxide and water vapor radiation means are combined to provide means for simultaneously generating, within the same blackbody cavity, radiant energy having blackbody spectral distribution and energy having characteristic emission bands or carbon dioxide. 11. The apparatus of claim 10 wherein said combined radiation means also provides radiant energy having the characteristic emission bands of water vapor. 12. The apparatus of claim 9 further including ignition-flash simulation means for generating and transmitting energy having an ignition-flash radiation signature. 13. The apparatus of claim 12 wherein the ignition-flash radiation signature of said ignition-flash simulation means is that of a munitions flash. 14. An apparatus for providing emitted radiation simulating a fire comprising: 15. The apparatus of claim 14 in which the said ultraviolet radiation means includes an electrically discharged fluorescent-type bulb without a fluorescent coating. 16. The apparatus of claim 14 wherein said ignition flash has a radiation signature of a munitions flash. 17. The apparatus of claim 14 wherein the gases contained in said cavity include carbon dioxide and nitrogen. 18. The apparatus of claim 17 wherein said gases further include water vapor. 19. Fire simulation method for testing current and projected technology fire sensor systems, comprising the steps of 20. Fire simulation method disclosed in claim 19 further comprising the step of simulating an explosion-flash by discharging a gas discharge tube with an electrical pulse having the radiation signature of an ignition flash. 21. Fire simulation method disclosed in claim 19 in which said blackbody-source, and gaseous emission-source radiant energy generation is simultaneously and conveniently done by electrically heating a coil of heating wire in a sealed cavity containing a gas mixture including carbon dioxide and nitrogen gases. 22. Fire simulation method disclosed in claim 21 wherein said gas mixture further includes water vapor. 23. Fire simulation method disclosed in claim 19 in which the generation of ultraviolet radiation energy is accomplished by electrically discharging a fluorescent-type bulb without a fluorescent coating. 24. Fire simulation method for testing the operation of fire sensor systems with radiant energy approximating the distinguishing spectral and temporal characteristics of a fire, comprising the steps of: 25. The method of claim 24, further comprising the step of electrically firing a gas discharge tube to produce radiation simulating an ignition flash during or before said heating step. 26. The method of claim 25 where said ignition flash simulation is accomplished by discharging a gas discharge tube with an electrical pulse having the radiation signature of a munitions flash. 27. The method of claim 24 where said gas mixture additionally includes water vapor, to produce radiation having characteristic emission bands of water vapor; 28. The method of claim 24 where said heating current is modulated from 0 to approximately 100 Hertz.
summary
abstract
Apparatus for location-detection of an object within a region comprising a reflective element mountable on the object, a scanning light source adapted to issue a beam of light in a scanning pattern illuminating a point that moves over the region, a detector for light reflected from the reflective element and a control unit adapted to report the position of an object based on the point in the scanning pattern at which the detector detects light returned from the reflective element relative to at least one point in the scanning pattern at which the detector detects light returned from a reflective object.
abstract
A device is provided for use in securing a remnant of a tube through a wall of a pressure vessel. The device include an anti-ejection device for attachment to the remnant on an interior side of the pressure vessel and an end cap for attachment to the remnant on an exterior side of the pressure vessel for sealing an open end of the remnant. The anti-ejection device includes an anti-rotation member for constraining the remnant and the anti-ejection device against relative rotation therebetween. A bolt connects the anti-ejection device, the remnant, and the end cap.
040424545
claims
1. A method of producing a homogeneously n-doped Si rod having a specific resistivity greater than 30 ohm .times. cm wherein a Si rod is subjected to radiation by thermal neutrons and a nuclear reaction occurs within such rod in accordance with: EQU Si.sup.30 (n, .gamma.) Si.sup.31 .sup..beta..sup.- P.sup.31 subjecting a polycrystalline Si rod having a specific resistivity of 550 ohm .times. cm, n-type to at least one crucible-free zone melting process in a suitably protective environment so as to free said rod of any donor material present therein; converting the so-attained polycrystalline rod into a monocrystalline state in a conventional manner; measuring the specific resistivity of the so-produced monocrystalline rod; and subjecting said monocrystalline rod to controlled radiation by thermal neutrons in accordance with the measured resistivity, the intensity of such controlled radiation comprising 8 .times. 10.sup.13 neutrons/cm.sup.2 .times. sec. and the radiation duration comprising about one hour whereby an n-doped Si rod having a concentration of about 4 .times. 10.sup.13 atoms of phosphorous/cm.sup.3 of silicon therein is produced.
summary
044477295
description
The transport container shown in the figures consists essentially of the cylindrical container body 1, the removable holder 2 for the reactor element, the inner cover plate 3, the outer container cover 4, and the two shock absorbers 5, 6 mounted on the ends of the container. The inner space of the container is designed so that different holders 2, 21 with different capacities, for example, seven reactor rods (FIG. 1) or seventeen reactor rods (FIG. 2) can be stored in it. Six carrier pegs 7, four of them mounted on top and two on bottom, are provided for lifting the container. The transport container has a massive steel cylinder 1 with a cylinder floor 8 welded to it. The inner cover plate 3 is fixed to the steel cylinder 1 by means of the bolts 16. The cylinder 1, the floor 8, and the inner cover plate 3 are forged from carbon steel, and provide good shielding against gamma radiation. The inner space of the container as well as the outer parts, which may become radioactively contaminated, are encased in sheet stainless steel. The cylindrical part of the container is surrounded by a water jacket 9. The water jacket extends axially from the lower to the upper carrier pegs 7 for the purpose of isolating the active reactor element zone of all reactor element types involved. The water serves as a neutron shield to stop fast neutrons. The outer casing 10 of the water jacket is surrounded by rings of cooling ribs 11 made of iron, which are provided for heat dissipation and are welded to the outer casing 10. At the upper end of the casing 10 is a ring-shaped expansion space 12, separated from the water. Two concentrically arranged bellows 13 made of stainless steel are placed in this gas-filled expansion space 12. With expansion of the water, the gas in the expansion space 12 is compressed between the bellows 13. The latter will then be equally squeezed together. The normal operating pressure of the water amounts to 2 bars, approximately. To defend the outer casing 10 against excessively high water pressures, several small safety discs are installed in it. To fill in or empty out the water, valves are located at opposite sides of the lower end of the casing 10. Another valve opens into the expansion space 12 for filling the space with gas or emptying it. The casing 10 is sealed with thick, surrounding, lateral ribs 14 top and bottom. These lateral ribs 14 act to deaden shock. Temperature sensors for controlling the temperature of the container are provided, which penetrate to different extents into the container. The temperature sensors are set in the warmest part of the container body 1, directly before the cooling ribs. For best operation, the temperature sensors are placed in a tube, indeed in such fashion as to offer no direct way for the diffused gamma rays to get out. The overall length of the container body 1 is 5.29 m. The depth of the container, measured from the surface of the flange 15 to the container floor, is 5.12 m. With the inner covering plate 3 applied and no spacers used, the maximum depth is 4.675 m. The iron cooling ribs 11 are protected by an epoxy resin layer that can be decontaminated of radioactive material. All other exposed parts of the container, with the exception of the heavy stainless steel ribs 14 at the ends of the ribbed region of the casing, are either made of stainless steel or are at least covered by a layer of 3-mm thick sheet stainless steel. This is true also of the inner hollow surface of the container. The cover is put on by welding. For the sake of safety, the transport container is double-locked. The inner cover plate 3 with the ring flange 15 and the holding bolts 16, the outer container cover 4 with the holding bolts 17, three metal O-rings, not shown in detail, and the flange 18 with holes for the holding bolts 19 of the shock absorbers 5 and 6, serve to lock the container. Various spacer discs can be attached to the inner cover plate 3 to accommodate the size of the container inner space to transported reactor rods. The inner cover plate 3 and the spacer discs fixed to it by bolts form an integral transport unit. Since the inner cover plate 3 is forged from solid steel, sufficient shielding against gamma rays is guaranteed. Protection against neutron radiation is provided by both shock absorbers 5 and 6, which are filled with balsa wood 20. The 24 equally spaced bolts 16 secure the inner covering plate 3 by means of the ring flange 15 to the container body 1. The upper container cover 4 is held by 12 additional, equally spaced bolts, 17. The ring flange 18 as well as eight other equally spaced bolts 19 serve to hold the shock absorbers 5 and 6. The bolts 16, 17, 19, the ring flanges 15 and 18, and the upper cover 4 are made of stainless steel. The inner cover plate 3 is itself encased in sheet stainless steel. Two holders 2 and 21 are shown in FIGS. 1 and 2; these can be installed in the transport container. The holder 2 of FIG. 1 serves to hold seven reactor elements, while the holder 21 of FIG. 2 can hold 17 reactor elements. These holders 2 and 21 have high inner strength and guarantee neutron shielding for safe transportation of the reactor elements. Also, the holders are so constructed that they can withstand any accident situations imaginable. Normally, reactor elements are transported in their dry state. The holders 2 and 21 provided for that, are made of thick, cast aluminum discs set one above the other, 22 held together by rust-free bolts 23. Between the inner wall of the container and the aluminum discs 23 there is a cylindrical gap to take care of thermal expansion. Elongated reactor channels 24 made of rust-free boron steel are set into the inside of the holders 2 and 21. A part of 1% by weight of neutral boron serves for absorption of the neutrons. The holders 2 and 21 also have lower spacing plates 25 (FIG. 10) for the reactor elements. Between the channels 24, cylindrical holes 26 are provided for the reactor elements containing a graphite/boron carbide maxture coated by rust-free steel tubes. This mixture is also a component of the neutron shield. The reactor elements 27-29 can be transported with or without a protective coating 41. If the transportation is done without additional protective coating, supplemental stainless steel channels must be welded to the lower spacing plates 25 which penetrate into the channels 24 from below. One or more of the outer boron steel channels 24 may be placed in the holder 2 in removable fashion. By taking out these channels 24, special protective containers for harmful reactor elements can be installed in the space left free. The upper, nonremovable channels 24 are welded to the upper stainless steel plate 30 of the holder 2. In that form, free thermal expansion below is unhindered. For the wet transportation of reactor elements, thin-walled tubes made of boron steel are installed in the channels 24. To keep these thin-walled tubes from collapsing, spreader rings 31 are provided and set at a distance from one another. These spreader rings 31 have holes in them to permit the water to circulate freely. For transportation in the horizontal position, the weight of the reactor elements is sustained by the spreader rings 31. So that the holder 2 or 21 may be installed in the transport containers in a straight line and be prevented from turning or shifting during transportation, a longitudinal groove is made in its outer surface into which a corresponding projection penetrates to the inner wall of the container. The carrier pegs 7 serve, on the one hand, as handles for lifting in the transportation, and on the other for fastening the container to a transporting bed. A saddle device welded to a transport skid, for example, may serve as the transporting bed. The carrier pegs 7 are screwed into the container body 1 and are removable. With the exception of their inner section, they are deformable. The two lower carrier pegs 7 are eccentric with respect to the container axis 32. The purpose of this is to provide for the tilting of the transport container to a particular side with sagging of the container on the saddle device. The carrier pegs and the bolts are made of stainless steel. The shock absorbers 5 and 6 consist essentially of a thick outer shell 33, a thin inner shell 34, a balsa wood filling 20, and a number of tubes 36. Both shells 33 and 34 are welded to the outside of a ring flange 35. The flange 35 serves as the centering of the shock absorber when it is put on. It is fixed to the container body 1 by means of the holding bolts 19. The thick-walled tubes 36 going through the shock absorber 5 are welded to the outer shell 33 and to the ring flange 35. The bolts 19 can be inserted through the tubes 36. If the transport container should fall downward in the axial direction, the kinetic energy will be absorbed on impact by the following elements: The outer shell 33, convex on the outside and circular in plan view, which is relatively soft and yielding in the axial direction, The filling 20 of balsa wood, whose fibers run parallel to the container axis 32. The balsa wood is capable of absorbing a substantial part of the kinetic energy, The hard tubes 36, which can along cancel out some 50% of the energy by deformation. In a sidewise fall of the transport container, the kinetic energy will be taken up by the toroidal part 42 of the outer shell 33, on the one hand, and by the massive end ribs 14 on the other. Both are simultaneously deformed to some extent, and absorb about the same amount of energy. In sidewise fall, the absorption capacity of the balsa wood is negligible because of the aforementioned fiber orientation. If the transport container tilts on one edge, a combination of the energy absorption of both the aforementioned cases occurs in which more or less energy is absorbed depending on the angle of fall. The described construction of the transport container permits uniform inertia and energy absorption in all directions with no deficient inertial points such as those found in conventional containers with only deformable ribs. Also advantageous is the uniform distribution of the deformation on the outer surface of the present transport container. Inertial values of between 30 and 40 g can be expected, depending on the direction of fall. It can furthermore be established that the transport container is only slightly sensitive to brittle cracking at low temperatures. The carrier pegs 7 are also deformable and can also absorb kinetic energy if they make head-on impact in a sidewise fall. The outer shells 33 and all tubes 36 are made of stainless steel. The sealed inner space between the inner and outer shells is hermetically locked; consequently the balsa wood filling cannot become damp. As has already been mentioned, the container is positioned horizontally on a saddle device with skids in transportation. The carrier pegs are then supported by the saddle device. For rail transportation, the container is loaded on a flatcar or a special bridge car. In the first case, the whole arrangement, consisting of skid, container, and cover, has the dimensions of a standard container and can therefore be immediately loaded on a vessel and transported further. In the second transportation method with the bridge car, the center of gravity is lower so that higher speeds can be attained in transportation. The hollow space inside the container is accessible through the inner cover plate 3, held down by the ring flange 15, by the two valves 37, and by small, sealable openings in the cover plate 3 for taking samples. The sealing of the inner hollow space is guaranteed by both the valves 37 as well as the metal O-rings between the ring flange 18 and the inner locking cover 3 or between this flange 18 and the corresponding sealing surface of the container body 1. An opening for the removal of samples in controlling the inner space atmosphere is in the carrier peg 38 of the inner sealing cover 3. The outer container space is sealed principally by the outer container cover 4 and the valve cover. Between the outer container cover 4 and the container body are plastic O-rings while the valve covers are sealed to the valves by metal O-rings. In the outer cover 4 as in the valve covers are openings for sample removal and for control. These are used specially to test the sealing of the inner space. A particular advantage of the dual inner space is that the valve between both inner spaces keeps a constant check on the escape of radioactive material. All removable parts in the container inner space, with the exception of the cast aluminum discs in the holder, are made of stainless steel or sheathed with sheet stainless steel of at least 3-mm B thickness. The stainless steel is resistant to the following cleaning and decontaminating fluids: Distilled water, PA1 Nitric acid, PA1 Caustic soda, and PA1 Nitrofluorine solution with 5% fluorine. The lower part of the container inner space may be cleaned by way of the lower valves. All of the outer container surfaces, including the fluid pump, are also coated with sheet stainless steel, with the exception of the area containing the cooling ribs. In wet unloading of the transport container, when it is immersed in a discharge pool, the cooling-rib area of the container is covered with a special shield. This last consists of a cylindrical coat of stainless steel with inflatable rubber packing. Before the transport container is immersed in the discharge pool, however, it is set up vertically and fixed to a base plate by means of the lower carrier pegs 7. The stainless steel coat is then applied and is filled by the inflatable rubber packing. At the lower end of the coat opposite the container valve is a removable cover by which conduit pipes can be connected to the container valve even after the coat has already been applied. During this operation, the valve between the upper and lower packing can be emptied while the cooling-rib area is still surrounded by water. With the transport container immersed, the water pressure between the two inflatable packings at both ends is higher than it is outside, so that no water from the unloading pool can get into the rib area. The ribs are applied with epoxy resin paint, which can be easily cleaned and rendered harmless if contaminated by radioactivity. As soon as the coat is applied, the accumulated heat must be dissipated by cooling of the rib area. For that reason connection to cooling water is required for the coating procedure. Heat is dissipated from the container by convection and heat radiation, principally by the cooling ribs since both shock absorbers are heat insulators. Small amounts of heat are also dissipated through the carrier pegs and the unribbed coat surface. For a shock to the container as the result of a free fall or a fire, a loss of water in the coating 10 is taken into account. The empty coating 10 then acts as an insulating layer, and even the cooling ribs 40 inside still dissipate the heat. The various accident possibilities can be investigated by computer simulation. These possibilities will not be discussed further here.
summary
summary
043953808
claims
1. A method for remotely testing the fluid flow condition in a tubular extension of a pipe, the interior of said extension communicating with the interior of said pipe, said method comprising: supplying a fluid under a pressure above atmospheric pressure and at a temperature at least 5.degree. F. above the temperature of the ambient to the interior of said pipe, whereby said fluid flows out of said extension if it is unblocked, and continuing the supply of said fluid to the interior of said pipe for a time sufficient to raise the temperature of said pipe and an unblocked extension to a temperature at least one-half degree F. above the ambient temperature and above the temperature thereof prior to the supply of said fluid thereto; and scanning said pipe and said extension with an infrared detector from a point remote from said pipe and said extension to obtain a thermogram of the pipe and the extension, an unblocked extension appearing as an image of the extension and a blocked extension not providing a significant image, whereby the fluid-flow condition of the extension is indicated by the image of the extension on the thermogram. supplying air under a pressure above atmospheric pressure to said nozzles by way of said header, said air having a temperature such that the temperature of the air passing through the nozzles is in the range from 5.degree. F. above the temperature of the ambient for the nozzles to 200.degree. F., and continuing the supply of said air to said nozzles for a time sufficient to raise the temperature of an unblocked nozzle to a temperature at least one-half degree F. above the ambient temperature and above the temperature thereof prior to the supply of air thereto; and scanning said header and said nozzles while said air is supplied thereto with an infrared camera located remotely from the header and having a thermogram display to obtain a thermogram of the header and each nozzle, an unblocked nozzle appearing as an image of the nozzle and a blocked nozzle not providing a significant image, whereby the fluid-flow condition of the nozzle is indicated by the image of the extension on the thermogram. 2. A method as set forth in claim 1 wherein said fluid is air. 3. A method as set forth in claim 2 wherein the temperature of the fluid supplied to said pipe is selected to provide a temperature of the fluid at said extension which is at least 100.degree. F. 4. A method as set forth in claim 1 wherein said pipe is ring shaped and has a plurality of said extensions spaced from each other thereon in the form of spray nozzles, and wherein said method further comprising moving said infrared detector about the axis of the ring-shaped pipe as said pipe and the extensions are scanned. 5. A method for remotely testing the fluid flow condition of a plurality of metal spray nozzles mounted in spaced relation on a ring-shaped, metal header within the containment building for a nuclear reactor, said nozzles having fluid communication with said header and extending outwardly from said header for delivering fluid supplied to the header to the interior of said building, said method comprising: 6. A method as set forth in claim 5 wherein the scanning of said header and said nozzles includes moving said camera around the ring axis of said ring-shaped header.
description
1. Field of the Invention The present invention relates to method of three-dimensional (3D) image reconstruction and transmission electron microscope for obtaining three-dimensional images of specimens. 2. Description of Related Art Today, transmission electron microscopes are receiving attention as apparatus capable of obtaining three-dimensional (3D) images of specimens. In such a transmission electron microscope equipped with a function of reconstructing a 3D image of a specimen, the specimen is tilted. TEM images are gained at various tilt angles of the specimen, and a CT (computerized tomography) method is applied to the gained TEM images to reconstruct a 3D image of the specimen. It is known that in order to have a TEM image with good contrast, it is better to slightly shift the focal point of the objective lens from the positive focal point lying on the specimen. Accordingly, in a transmission electron microscope having the prior art function of reconstructing 3D images, to image a TEM image with good contrast at each tilt angle of specimen, the following process steps (1) and (2) have been performed at each tilt angle. (1) The position of the positive focal point of the objective lens is detected. (2) The position of the focus of the objective lens is set to a position deviating from the position of the positive focal point by a fixed amount of defocus Δf. At this set focal point, a TEM image is taken. The process steps (1) and (2) above are described, for example, in Japanese Patent Laid-Open No. H4-104445. A transmission electron microscope for obtaining 3D images is described, for example, in Japanese Patent Laid-Open No. H4-337236. It is considered that the amount of defocus Δf (the amount of deviation of the focus from the positive focal point) occurring during imaging greatly affects the image quality of the obtained TEM image. Especially, where the specimen is tilted, it is considered that the optimum amount of defocus varies depending on the tilt angle because the apparent thickness of the specimen varies. It is also considered that the optimum amount of defocus is affected by the material of the specimen. In the prior art, however, the amount of defocus Δf is fixed. Even if the specimen is tilted, the same amount of focus Δf is used. It is an object of the present invention to provide a method of three-dimensional image reconstruction and a transmission electron microscope capable of obtaining three-dimensional images with better image quality than heretofore. A method of three-dimensional image reconstruction that achieves the above-described object in accordance with the teachings of the present invention obtains a 3D image of a specimen by tilting the specimen at plural tilt angles, gaining a TEM image at each of the tilt angles, and reconstructing the 3D image of the image based on the gained TEM images. At each tilt angle of the specimen, the following process steps (a) and (b) are carried out. (a) The position of the positive focal point of the objective lens of the transmission electron microscope is detected. (b) The position of the focus of the objective lens is set to plural positions deviating from the position of the positive focal point by amounts of defocus Δf1, . . . , Δfn, respectively. At each of these set focal point positions, a TEM image is acquired. One optimum TEM image is selected from the TEM images gained by the process steps (a) and (b) at each tilt angle of the specimen. A 3D image of the specimen is reconstructed based on the selected TEM images. Consequently, according to the present invention, 3D images with better image quality than heretofore can be obtained. Other objects and features of the invention will appear in the course of the description thereof, which follows. The preferred embodiment of the present invention is hereinafter described with reference to the accompanying drawing. The drawing shows a transmission electron microscope having a function of reconstructing a three-dimensional image according to the present invention. The structure of this instrument shown in the drawing is first described. In the drawing, the inside of a vacuum chamber 1 is evacuated to a high degree of vacuum by a pumping system. A condenser lens 3, a tilting stage 4, an objective lens 5, an intermediate lens 6, a projector lens 7, and a TV camera 8 are arranged in this order from the side of an electron gun 2 inside the chamber 1. The tilting stage 4 can tilt right and left about an axis of tilt T substantially perpendicular to the optical axis O. A specimen S is placed on the tilting stage 4. In this way, the various electron-optical components are disposed inside the vacuum chamber 1. The electron beam emitted from the electron gun 2 is focused by the condenser lens 3 and made to hit the specimen S. The electron beam transmitted through the specimen S is focused by the objective lens 5. An initial stage of image of the specimen S is formed between the objective lens 5 and the intermediate lens 6. Then, a magnified image of the specimen is formed by the intermediate lens 6 and projector lens 7. Finally, a magnified image of the specimen, i.e., a TEM image, is focused onto the TV camera 8. The TV camera 8 taking the TEM image produces an image signal I indicative of the TEM image to a central controller 9. This central controller 9 incorporates a positive focal point position-detecting circuit 10, a focal point position-setting circuit 11, a defocus amount storage circuit 12, a defocus amount-varying circuit 13, a tilting stage control circuit 14, an image memory 15, and a 3D image reconstruction circuit 16. The central controller 9 is electrically connected with an objective-lens power supply 17 for energizing the coils of the objective lens 5 with an excitation current i, a tilting stage driver 18 for tilting the tilting stage 4, input means 19 including a keyboard and a mouse (i.e., image selecting means), and a CRT 20 acting as a display means. The structure of the transmission electron microscope of FIG. 1 has been described so far. The transmission electron microscope of FIG. 1 performs the three operations: (1) predetection of plural amounts of defocus Δf1, . . . , Δfn, (2) automated acceptance of TEM images, and (3) selection of TEM images for reconstruction of a 3D image. These operations are described in succession below. (1) Predetection of Plural Amounts of Defocus Δf1, . . . , Δfn First, the operator arbitrarily enters a tilt angle θ1 (e.g., −50°) of the tilting stage 4 from the input means 19. The tilting stage control circuit 14 receiving a tilt angle signal from the input means 19 sends a tilt signal θ1 (−50) for setting the tilt angle θ1 of the stage 4 to −50° to the tilting stage driver 18. On receiving this tilt signal θ1 (−50), the stage driver 18 tilts the tilting stage 4 by −50°. When the specimen S is tilted by −50° in this way, the operator then enters an instruction from the input means 19 to “detect the position of the positive focal point”. The positive focal point position-detecting circuit 10 receiving the instruction signal from the input means 19 sends an excitation signal for varying the objective lens current i in steps to the objective-lens power supply 17. The power supply 17 receiving the excitation signal varies the objective lens current i in steps. As the excitation current i of the objective lens varies, the quality of the TEM image taken by the TV camera 8 varies. The variation of the image quality of the TEM image is recorded in the positive focal point position-detecting circuit 10. That is, the image signal I from the TV camera 8 is supplied to the positive focal point position-detecting circuit 10. TEM images taken at different values of the objective lens current are stored in the image memory of the positive focal point position-detecting circuit 10, together with the respective objective lens current values. When the focal point of the objective lens 5 agrees with the positive focal point position, the contrast value of the TEM image is minimized. Accordingly, the positive focal point position-detecting circuit 10 image-processes each stored TEM image, finds the contrast value, and extracts a TEM image with a minimum contrast value. The detecting circuit 10 then detects the objective lens current value i (f1) when the extracted TEM image is taken. The contrast value is found, for example, by image processing as described in Japanese Patent Laid-Open No. H4-104445. When the objective lens current value i (f1) is detected in this way (i.e., the positive focal point position f, at the tilt angle of −50°), the detecting circuit 10 sends information about the detected lens current value i (f1) to the focal point position-setting circuit 11. The focal point position-setting circuit 11 sends an excitation signal for setting the objective lens current to i (f1) to the objective-lens power supply 17. The objective-lens power supply 17 receiving this excitation signal sets the objective lens current to i (f1). Currently, the central controller 9 is supplying the image signal I from the TV camera 8 to the CRT 20. Therefore, a TEM image of the specimen S which is at the positive focal point and tilted by −50° is being displayed on the CRT 20. Because the image is derived from the specimen at the positive focal point, the TEM image displayed on the CRT 20 has poor image quality having no contrast. Accordingly, the operator manipulates the “defocus amount knob” on the input means 19 to improve the image quality of the TEM image displayed on the CRT 20. In response to the manipulation, the defocus amount-varying circuit 13 sends an excitation signal for varying the objective lens current by Δi in response to the amount of manipulation to the objective-lens power supply 17. The lens power supply 17 receiving the excitation signal varies the set value of the objective lens current from the present value of i (f1) to i (f1)+Δi. When a TEM image with better contrast and the best image quality is finally displayed on the CRT 20, the objective lens current is set to i (f1)+Δi (f1). Where the objective lens current is set to i (f1)+Δi (f1) in this way, the focal point position of the objective lens 5 is set to a position which is deviated from the positive focal point position f1 by amount of defocus Δf1. If the optimum amount of defocus Δi (fi) at the tilt angle θ1=−50° is found in this way (i.e., the objective lens current Δi (fi) corresponding to this amount of defocus Δf1), the current value Δi (fi) is stored as amount of defocus Δf1 in the defocus amount storage circuit 12. Predetection of the amount of defocus Δf1 when the tilt angle of the specimen is 50 degrees (θ1=−50°) has been described so far. Subsequently, predetection of optimum amounts of defocus at other arbitrary tilt angles of specimen is similarly done. In the present embodiment, the number of the other arbitrary tilt angles is two. For example, the tilt angle of the specimen is set to 0° (θ2=0°). An optimum amount of defocus Δf2 is predetected. What is found in practice is an objective lens current value Δi (f2) corresponding to Δf2. Then, the tilt angle is set to 50° (O3=50°), and an optimum amount of defocus Δf3 is predetected. What is found in practice is an objective lens current value Δi (f3) corresponding to Δf3. (2) Automated Acceptance of TEM Images To permit automated acceptance of TEM images, the operator enters data from the input means 19, for example, in order that the specimen be tilted from −60° to 60° in increments of 1° and that a TEM image be taken at each tilt angle (−60°, −59°, . . . , 0°, . . . , 59°, and 60°). The tilting stage control circuit 14 sends a tilting signal for setting the tilt angle of the stage 4 to −60° to the tilting stage driver 18. Therefore, the stage driver 18 tilts the tilting stage 4 by −60°. When the specimen S is tilted by −60° in this way, the positive focal point position-detecting circuit 10 detects a positive focal point position f−60 in the same way as in the foregoing process. That is, the detecting circuit 10 detects the objective lens current value i (f−60) corresponding to the positive focal point position f−60 and sends information about the lens current value i (f−60) to the focal point position-setting circuit 11. The focal point position-setting circuit 11 refers to the current values Δi (f1), Δi (f2), and Δi (f3) stored in the defocus amount storage circuit 12 and sends an excitation signal to the objective-lens power supply 17 to set the objective lens current to i(f−60)+Δi(f1) at first. The power supply 17 receiving the excitation signal sets the objective lens current to i(f−60)+Δi(f1). At this time, a TEM image is taken by the TV camera 8, and the image signal I from the camera 8 is sent to the image memory 15. The TEM image produced when the objective lens current is i(f−60)+Δi(f1), i.e., TEM image I (Δf1) produced when the focal point of the objective lens 5 is shifted from the positive focal point position f−60 by amount of defocus Δf1, is stored in a corresponding manner to the tilt angle of −60° in the image memory 15. Subsequently, the focal point position-setting circuit 11 sends an excitation signal for setting the objective lens current to i(f−60)+Δi(f2) to the objective-lens power supply 17, using the current value Δi (f2) stored in the defocus amount storage circuit 12. The power supply 17 receiving the excitation current sets the objective lens current to i(f−60)+Δi(f2). The TEM image produced when the objective lens current is i(f−60)+Δi(f2), i.e., the TEM image I (Δf2) produced when the focal point position of the objective lens 5 has been shifted from the positive focal point position f−60 by amount of defocus Δf2, is stored in the image memory 15 in a corresponding manner to the tilt angle of −60°. Furthermore, the focal point position-setting circuit 11 sends an excitation current to the objective-lens power supply 17 to set the objective lens current to i (f−60)+Δi (f3), using the current value Δi (f3) stored in the defocus amount storage circuit 12. The power supply 17 receiving the excitation signal sets the objective lens current to i (f−60)+Δi (f3). The TEM image produced when the objective lens current is i (f−60)+Δi (f3), i.e., TEM image I Δ(f3) produced when the focal point position of the objective lens 5 is shifted from the positive focal point position f−60 by amount of defocus Δf3, is stored in the image memory 15, in a corresponding manner to the tilt angle of −60° of the specimen. The automated acceptance of the TEM images I (Δf1), I (Δf2), and I (Δf3) produced when the tilt angle of the specimen is −60° has been described so far. Subsequently, the TEM images I (Δf1), I (Δf2), and I (Δf3) produced with amounts of defocus of Δf1, Δf2, and Δf3 and tilt angles of from −59° to −60° are stored in a corresponding manner to the respective tilt angles in the image memory 15. (3) Selection of TEM Image in 3D Image Reconstruction The operator manipulates the input means 19 to give an instruction to “select a TEM image”. The central controller 9 receiving the image selection instruction signal from the input means 19 reads out TEM image data stored in the image memory 15 and sends the data to the CRT 20. At this time, image data about the TEM images I (Δf1), I (Δf2), and I (Δf3) obtained when the tilt angle is −60° are first sent to the CRT 20. As a result, the TEM images I (Δf1), I (Δf2), and I (Δf3) of the specimen S tilted at an angle of −60° are displayed juxtapositionally on the CRT 20. The operator then selects one TEM image with the best image quality from the three TEM images using the input means 19. For example, if the TEM image I (Δf1) is selected, the central controller 9 sends the image data about the TEM image I (Δf1) produced at the tilt angle of −60° to the 3D image reconstruction circuit 16. Subsequently, the operator similarly performs image selection regarding TEM images I (Δf1), I (Δf2), and I (Δf3) obtained when the tilt angle is from −59° to 60°. One optimum TEM image at each tilt angle is stored in the 3D-image reconstruction circuit 16. The reconstruction circuit 16 reconstructs a three-dimensional image I (T) of the specimen S from the TEM images produced at the various tilt angles and selected by the operator by computerized tomography. Image data about the reconstructed three-dimensional image I (T) is read out by the central controller 9 and sent to the CRT 20. As a result, the three-dimensional image I (T) is displayed on the CRT 20. The operation of the transmission electron microscope shown in the drawing has been described so far. In the inventive transmission electron microscope shown in the drawing, when TEM images are taken at various tilt angles of the specimen, the amount of defocus Δf is switched to plural amounts Δf1, Δf2, and Δf3, in turn, unlike the prior art in which the amount of defocus Δf is fixed. The amounts of defocus Δf1, Δf2, and Δf3 have been previously found for the specimen to be imaged, and are intrinsic to the imaged specimen. In addition, in the three-dimensional image reconstruction of the present invention, one optimum TEM image is selected according to the operator's visual judgment at each tilt angle of the specimen. Based on the selected TEM images, a three-dimensional image is reconstructed. The present invention having features described so far makes it possible to obtain a three-dimensional image with better image quality than heretofore. It is to be understood that the present invention is not limited to the above-described embodiment. In the above embodiment, three amounts of defocus Δf1, Δf2, and Δf3 are found. More amounts of defocus may be found. The amounts of defocus may also be previously found in the manner described below. Plural amounts of defocus are found. The maximum and minimum amounts of defocus among the found amounts of defocus are adopted. Plural amounts of defocus are set at regular intervals between the maximum and minimum amounts of defocus. In the embodiment described above, the amount of defocus is set while observing the TEM images taken by the TV camera. The amount of defocus may also be set while observing TEM images projected onto a fluorescent screen. Having thus described my invention with the detail and particularity required by the Patent Laws, what is desired protected by Letters Patent is set forth in the following claims.
042017386
claims
1. The method of directly preparing U.sub.3 O.sub.8 nuclear fuel material having a controlled particle size distribution from an aqueous solution of uranyl nitrate which comprises (a) contacting said uranyl nitrate solution with sufficient formic acid to effect a denitration of said solution and to form an unsaturated solution of uranyl formate; (b) further contacting said unsaturated uranyl formate solution with additional formic acid in sufficient stoichiometric excess of formic acid to precipitate uranyl formate monohydrate from said solution; (c) removing and drying said precipitate to provide a crystalline uranyl formate monohydrate; and (d) calcining said crystalline uranyl formate monohydrate to produce U.sub.3 O.sub.8 nuclear fuel material having a controlled particle size distribution. 2. The method of claim 1 wherein the temperature of said denitration is maintained at about 90.degree. C. 3. The method of claim 1 wherein the concentration of said formic acid is in excess of about 19 M. 4. The method of claim 1 wherein the rate of addition of formic acid to said unsaturated uranyl formate solution is controlled to provide a substantial portion of said U.sub.3 O.sub.8 nuclear fuel material with a particle size that does not exceed about 150 .mu.m. 5. The method of claim 1 wherein the amount of and rate of addition of formic acid to said uranyl nitrate solution in step (a) is controlled to effect complete denitration and to prevent precipitation of uranyl formate during said denitration. 6. The method of claim 1 wherein said calcining is conducted in air by heating said crystalline uranyl formate monohydrate at a rate of about 10.degree. C. per minute to a temperature of about 800.degree. C. 7. The method of claim 4 wherein the formic acid is added at a rate of between about 0.40 and 1.27 moles/(min)(liter of uranyl nitrate solution).
046708960
abstract
Installation allowing the adjustment of compensating filters without exposure to permanent irradiation. According to one possible embodiment, a radiological image is taken (source, brightness or luminance amplifier) and memorized in an image memory prior to being projected by the video projector onto the patient by through-crossing the filter, this filter having brightness absorption and X-ray absorption properties which are similar.
abstract
A method for determining at least one threshold value of at least one operating parameter of a nuclear reactor is implemented by an electronic determination system and includes the steps of determining a first threshold value of a respective operating parameter for an operation of the reactor at a first power; and determining a second threshold value of said parameter for an operation of the reactor at a second power. The operation at the lower power of the first and second powers is an operation continued for a duration of at least 8 hours over a 24-hour sliding window. The method also includes determining a third threshold value of said parameter for an operation of the reactor at a third power between the first power and the second power.
description
This application is the U.S. national phase of PCT Application No. PCT/RU2017/000762 filed on Oct. 17, 2017, which claims priority to Russian Patent Application No. RU 2016145575 filed on Nov. 21, 2016, the disclosures of which are incorporated in their entirety by reference herein. The invention relates to thermonuclear engineering and is used in the production of tokamak thermonuclear power plants. A thermonuclear reactor is known from the prior art, which comprises a vacuum vessel and protective blanket modules connected thereto by means of flexible supports with fasteners and electrical connectors (A. Rene Raffray, Mario Merola. Overview of the design and R&D of the ITER blanket system. Fusion Engineering and Design, 87 (2012), pp. 769-776). The supports with fasteners provide mechanical connection, while the electrical connectors provide electrical connection. In the known thermonuclear reactor, a support is designed for mounting a blanket module on the vacuum vessel of the thermonuclear reactor, taking up the compressive and tensile components from an external load. An electrical connector is designed for diverting current away from a blanket module to the vacuum vessel of the reactor. To prevent the flow of electric current through the support, an electrically insulating coating is applied on a number of surfaces of several fasteners of the support. The inclusion of the insulating coating in the support requires additional process operations to apply the insulating coating; in addition, the conditions of maintaining integrity and performance capability in a vacuum under varying temperature fields and high impact loads are imposed on the coating, which complicates the design of the support, necessitates compliance with a number of requirements for handling structural elements with insulating coatings at all stages of the life cycle of the product to allow for preservation of the integrity and insulating properties of the coating, and, consequently, reduces the reliability of the support assembly. Besides, said coatings prevent eddy currents from being diverted away from the blanket modules, which eddy currents are induced in the modules when plasma disruptions occur during the operation of the thermonuclear reactor, which is why a special device is required for this purpose: an electrical connector installed between the vacuum vessel of the reactor and the blanket module providing a contact between the connector and the vessel and the blanket module. In addition to the supports, coolant inlet and outlet pipes, insulating cover plates, coolant collectors, and cables and sensors for diagnostic systems are arranged on the side of the protective blanket modules facing the vacuum vessel; in this way, the entire rear portion of the protective module is fully occupied. For next-generation thermonuclear reactor modules, which do not have protective functions alone (with tritium breeding, with afterburning of long-lived actinides, with enrichment of heavy elements, research and material testing modules, etc.), the appropriate remotely serviced channels will be required; however, there is no space left for the connections of these channels if the current module mounting arrangement is preserved. The disadvantages of the known thermonuclear reactor are as follows: the need to install electrical connectors for diverting eddy currents away from the blanket modules, and the need to introduce structural elements with an insulating coating into the supports. The need to install electrical connectors reduces the reliability of the reactor due to the increased number of elements incorporated therein, and creates bunching on the module side facing the vacuum vessel. The need to introduce structural elements with an insulating coating into the supports reduces the reliability of the reactor, because the number of structural elements in the support assemblies is increased, and the design of some of these elements should have an insulating coating with special design and handling requirements. This disadvantage also increases the degree of bunching in the module mounting area, because the design of the support assemblies, which include structural elements with insulating coatings, takes up more space and complicates the design of the modules whose spaces accommodate parts with insulating coatings, because surfaces with insulating coatings should only be linear (plane, cylinder, cone) to permit finishing of the coating, and they require a special structural design with grooves, chamfers, translational surfaces, etc. The objective of the present invention is to provide a thermonuclear reactor with higher reliability. The technical result of the present invention consists in diverting eddy currents away from the blanket module of a thermonuclear reactor while simultaneously eliminating electrical connectors from the composition of the blanket and reducing bunching on the side of the blanket module facing the vacuum vessel. The technical result is achieved by the fact that, in a thermonuclear reactor comprising a vacuum case and blanket modules connected thereto by means of flexible supports, wherein each flexible support is secured at one end on the vacuum vessel and at the other end on the blanket module, according to the invention, the flexible supports further carry out the functions of electrical connectors, wherein the supports are made of a material with high electrical conductivity, while the two secured ends of each flexible support face the blanket module, the flexible support itself is formed from two hollow cylindrical elements placed one in the other and perforated by longitudinal slots in a part free from mountings, and the ends of the hollow cylindrical elements opposite the secured ends are connected electrically and mechanically. The arrangement of said elements of a thermonuclear reactor in this manner eliminates the use of an insulating coating in the design of the supports, while forming a support from a material with high electrical conductivity allows eddy currents to be diverted away from the blanket module of the thermonuclear reactor without the use of additional equipment (electrical connectors), and also reduces the release of energy in the support and, accordingly, the temperature of the support, which factors in conjunction simplify the design of the thermonuclear reactor and, as a consequence, increase its reliability. However, when the functions of the electrical connector are transferred to the flexible support, the transverse forces generated when electric current interacts with the reactor's magnetic field also begin to act upon the support. To balance out the transverse forces, the flexible support is configured as two perforated cylindrical elements placed one in the other, which enables a counterflow of electric current through them. This, in turn, balances out the transverse forces acting on the support when electric current interacts with the reactor's magnetic field, because the force resulting from two equal and oppositely directed forces will be zero. Balancing out the transverse forces inside the support reduces stresses in the support, increasing its load capacity and reliability. The thermonuclear reactor comprises a vacuum vessel 1 and a blanket module 2 connected thereto by means of a flexible support 3. The support 3 is secured at one end on the blanket module 2, forming mechanical and electrical connections. The other end of the flexible support 3 is connected to the vacuum vessel 1 of the thermonuclear reactor. The two secured ends of the flexible support 3 face the blanket module 2, while the flexible support 3 itself is formed from two hollow cylindrical elements placed one in the other and perforated with slots extended in the axial direction in a part free from mountings. The ends of the hollow cylindrical elements opposite to the secured ends are electrically and mechanically connected in one known way or another (for example, soldering, welding, or soldered thread), or else the entire support is made of a solid workpiece. The flexible support 3 is made of a material with high electrical conductivity, such as chrome-zirconium bronze, and further performs the functions of an electrical connector. The claimed thermonuclear reactor operates as follows. During the operation of the thermonuclear reactor, electric currents and dynamic loads caused by plasma disruptions act upon the blanket module 2. The module 2 should be reliably secured on the vacuum vessel 1 of the thermonuclear reactor to balance out the compressive and tensile components of external loads. In addition, a reliable electrical contact is required between the module 2 and the reactor vessel 1 to divert eddy currents away from the module 2 to the vacuum vessel 1. The flexible support 3 performs the function of mounting the blanket module 2 on the vacuum vessel 1, while the compressive or tensile component of external loads from the blanket module 2 is transferred via a connection to the flexible support 3, and from the support, via a second connection, to the vacuum vessel 1 in a way known from the prior art. Since the flexible support 3 is made of a material with high electrical conductivity, in addition to transferring the compressive and tensile components of the force, it diverts electric current away from the blanket module 2 to the vacuum vessel 1. Electric current flows from the blanket module 2 into the cylindrical perforated element 4 of the flexible support 3 connected thereto. Electric current then flows from the said perforated element 4 to another perforated element 5 via their connection point. Electric current then flows from the second element 5 to the vacuum vessel 1 via the point where the flexible support is connected. Meanwhile, the electric current flows through the closely spaced perforated elements 4 and 5 in opposite directions, which balances out the transverse forces generated from the interaction between the electric current and the reactor's magnetic field and acting upon the support as a whole, because the force resulting from the two equal and oppositely directed forces will be zero. The compressive and tensile loads are taken up by the perforated elements of the flexible support 3, because the perforation is implemented with slots extended in the direction of action of this load component (in the axial direction). In the direction transverse to the axial direction, the support 3 is yielding (flexible), because, in the part free from mountings, the perforated elements of the support are a set of rods that are capable of bending.
summary
description
1. Field Example embodiments generally relate to fuel structures used in nuclear power plants and methods for using fuel structures. 2. Description of Related Art Generally, nuclear power plants include a reactor core having fissile fuel arranged therein to produce power by nuclear fission. A common design in U.S. nuclear power plants is to arrange fuel in a plurality of cladded fuel rods bound together as a fuel assembly, or fuel assembly, placed within the reactor core. These fuel assemblies may include one or more interior channels, or water rods, that permit fluid coolant and/or moderator to pass through the assembly and provide interior heat transfer/neutron moderation without significant boiling. As shown in FIG. 1, a conventional fuel assembly 10 of a nuclear reactor, such as a BWR, may include an outer channel 12 surrounding an upper tie plate 14 and a lower tie plate 16. A plurality of full length fuel rods 18 and/or part length fuel rods 19 may be arranged in a matrix within the fuel assembly 10 and pass through a plurality of spacers (also known as spacer grids) 20 axially spaced one from the other and maintaining the rods 18, 19 in the given matrix thereof. The fuel rods 18 and 19 are generally continuous from their base to terminal, which, in the case of the full length fuel rod 18, is from the lower tie plate 16 to the upper tie plate 14. One or more water rods 22 may be present in an interior or central position of assembly 10. Water rods 22 may extend the full-length of assembly 10 or terminate at a desired level to provide fluid coolant/moderator throughout assembly 10. Water rods 22 may be continuous, preventing fluid from flowing outside the rods 22, or perforated, segmented, or otherwise broken to permit fluid coolant moderator to flow between rods 22 and the remainder of assembly 10. FIGS. 2A-2D are axial cross-section illustrations of conventional 10×10 fuel assemblies like those shown in FIG. 1, showing various water rod configurations in conventional assemblies. As shown in FIGS. 2A-2D, water rods 22 may be a variety of lengths (such as full-length or part-length), sizes (for example, rod-sized cross-section or larger), and shapes (including circular, rectangular, peanut-shaped, etc.). Similarly, any number of distinct rods 22 may be present in conventional assemblies 10, depending on the desired neutronic characteristics of assemblies having the water rods 22. Water rods 22 may be symmetric about an assembly center, as shown in FIGS. 2A and 2D, or offset as shown in FIGS. 2B and 2C. Example embodiments are directed to methods and apparatuses for generating desired isotopes within water rods of nuclear fuel assemblies. Example methods may include selecting a desired irradiation target based on the target's properties, loading the target into a target rod based on irradiation target and fuel assembly properties, exposing the target rod to neutron flux, and/or harvesting isotopes produced from the irradiation target from the target rod. Example embodiment target rods may house one or more irradiation targets of varying types and phases. Example embodiment target rods may further secure and contain irradiation targets within a water rod of a nuclear fuel assembly. Example embodiment target rods may be affixed to or secured with example embodiment securing devices to water rods to maintain their position during operation of a nuclear reactor containing the fuel assembly. Example embodiment securing devices include a ledge collar and/or bushing that support target rods within a water rod and permit moderator/coolant flow through the water rod. Other example embodiment securing devices include one or more washers with one or more apertures drilled therein to hold one or more example embodiment target rods in a water rod while permitting coolant/moderator to flow through the water rod. Example embodiment washers may be joined to water rods to secure their position. Example embodiments and methods may be used together or with other methods in order to produce desired isotopes. Detailed illustrative embodiments of example embodiments are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. The example embodiments may, however, be embodied in many alternate forms and should not be construed as limited to only example embodiments set forth herein. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected,” “coupled,” “mated,” “attached,” or “fixed” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between”, “adjacent” versus “directly adjacent”, etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the language explicitly indicates otherwise. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. While example embodiments may be discussed in a particular setting or with reference to a particular field of technology, it is understood that example methods and embodiments may be employed and adapted outside of the disclosed contexts without undue experimentation or limiting the scope of the examples disclosed herein. For instance, although example embodiments may be shown in connection with a particular type of nuclear fuel assembly and water rod configuration, example embodiments may be adapted and/or applicable to any other fuel assembly and/or water rod configuration. Similarly, although example embodiments and methods are discussed with respect to conventional nuclear fuel assemblies, example embodiments and methods may also be applied in future fuel assembly designs. The inventors have recognized that water rods in nuclear fuel assemblies provide an excellent source of fluid moderator to nuclear fuel assemblies and thereby also provide an excellent source of thermal neutrons within nuclear fuel assemblies. The inventors have recognized that the excellent source of thermal neutrons in water rods, instead of being used for continuing the nuclear chain reaction as in conventional fuel assemblies, may also be used to irradiate particular materials so as to produce desired isotopes and radioisotopes. These particular materials may be placed in water rods in nuclear fuel and then irradiated during operation of a reactor containing the nuclear fuel. The materials may be placed in positions and configurations so as to achieve desired assembly neutronic characteristics. The resulting isotopes and radioisotopes may then be harvested and used in industrial, medical, and/or any other desired applications. The inventors have created the following example methods and apparatuses in order to uniquely enable taking advantage of these newly-recognized benefits. Example Methods FIG. 3 is a flow chart illustrating example methods of using water rods to generate radioisotopes. As shown in FIG. 3, in S300, the user/engineer selects a desired material for use as an irradiation target. The engineer may select the target material and/or amount of target material based on type and half-life of isotopes that are produced from that material when exposed to a neutron flux. The engineer may further select the target material and/or amount of target material based on the knowledge of the length, amount, and type of a neutron flux that the target will be subjected to and/or absorb at its eventual position in an operating nuclear reactor. For example, cobalt-59, nickel-62, and/or iridium-191 may be selected in example methods because they readily convert to cobalt-60, nickel-63, and iridium-102, respectively, in the presence of a neutron flux. Each of these daughter isotopes have desirable characteristics, such as use as long-lived radioisotopes in the case of cobalt-60 and nickel-63, or use as a radiography source as in the case of iridium-102. The initial irradiation target amount may be chosen and/or example irradiation target products may have sufficiently long half-lives such that a useful amount of products remain undecayed at a time when the products are available for harvesting. In S310, the selected targets may be placed and/or formed into a target rod. Example embodiment target rods are discussed and illustrated below. It is understood that several different types and phases of irradiation target materials may be placed into a target rod in S310 and that example embodiment target rods may be formed from irradiation targets. Alternatively, only a single type and/or phase of target material may be placed into a target rod in order to separate produced isotopes therein. In S320, target rods containing the selected irradiation target are installed in water rods of nuclear fuel assemblies. Example embodiment mechanisms for installing target rods in water rods are also discussed below with regard to example embodiments. The engineer may further position and configure the target rods in S320 based on knowledge of operating conditions in a nuclear reactor and the fuel assembly into which the target rod will be installed. For example, the engineer may desire a larger water volume at higher axial positions within water rods and may accordingly place fewer target rods at higher axial positions within water rods and/or reduce the diameter of target rods at higher axial positions. Alternatively, for example, the engineer may calculate a desired level of neutron flux for a particular axial level within a fuel assembly and place target rods at the axial level to absorb excess flux and achieve the desired level of neutron flux absorption from the core. It is understood that the engineer may configure the target rods in shape, size, material, etc. and position the rods in S320 in order to achieve several desired assembly characteristics, including thermo-hydraulic and/or neutronic assembly characteristics. Similarly, such placement and configuration of target rods in S320 may meet other design goals, such as maximized isotope production, maximized water rod water volume, etc. It is understood that any determination of target rod configuration or placement and irradiation target selection based on fuel assembly parameters and desired characteristics may be made before executing example methods entirely, such that the desired configurations and placements in S320 are predetermined. In S330, the target rods within water rods of nuclear fuel assemblies are exposed to neutron flux that converts the irradiation targets into desired daughter products. For example, the fuel assembly containing target rods may be loaded into a commercial nuclear reactor rated at 100 or more Megawatts-thermal and power operations may be initiated, thereby generating neutron flux in the assembly and water rods. The water rods, containing larger volumes of liquid moderator, may deliver larger amounts of thermal neutrons to target rods, enhancing desired isotope production from irradiation targets therein. Non-commercial reactors and testing settings may also be used to irradiate the irradiation targets within assembly water rods. In S340, the produced isotopes may be harvested from the target rods. For example, the fuel assembly containing the target rods may be removed from the reactor during an operational outage, and the target rods may be removed from the assembly on-site or at off-site fuel handling facilities. The isotopes within the target rods may be removed from the target rods and processed or otherwise prepared for use. For example, irradiation targets and produced isotopes may be removed from a single target rod and chemically separated in hot-cell facilities, in order to purify the produced isotope. Example methods being described, example embodiment target rods and other mechanisms for placing target rods in S310 and S320 are described below. It is understood that other example embodiments may be used with example methods described above in order to produce desired isotopes in water rods of nuclear fuel assemblies. Similarly, example embodiments described below may be used with other example methods using different steps and/or step ordering. Example Embodiment Target Rods FIG. 4 illustrates an example embodiment target rod 100 useable in water rods of nuclear fuel assemblies to produce desired isotopes. As shown in FIG. 4, example target rods 100 may be generally elongated and cylindrical or otherwise shaped to fit within water rods 22 (FIGS. 1 & 2) in nuclear fuel assemblies. Example embodiment target rods 100 may have a cross section or diameter 101 that is smaller than a cross-section or diameter of water rods 22, in order to fit within the water rods. Diameter 101 may also be variable and/or substantially smaller than a diameter or cross-section of water rods in order to permit appreciable amounts of fluid coolant/moderator to pass through water rods while target rods 100 are installed in the water rods. Example embodiment target rod 100 has an outer surface 104 that defines at least one internal cavity 105 where irradiation targets 110 may be contained. Cavity 105 is shaped and positioned within rod 100 so as to maintain irradiation targets 110 at desired axial heights or in other desired positions. As described in example methods above, irradiation targets 110 may be placed directly into cavity 105 of target rod 100, particularly if irradiation targets 110 and isotopes produced therefrom are solid materials. Similarly, liquid and/or gaseous irradiation targets 110 may be filled into cavity 105. Alternatively, additional containment structures 111 may be filled with desired irradiation targets 110, sealed, and placed within internal cavity 105. Containment structures 111 may provide an additional layer of containment between irradiation target 110 and the operating nuclear reactor and/or may serve to separate and contain different types/phases of irradiation targets and produced isotopes within cavity 105. For example, one or more different types of irradiation targets 110 may be placed in different containment structures 111 all placed into cavity 105. The different containment structures 111 may separate the different irradiation targets 110 and varying isotopes produced therefrom when exposed to neutron flux. Similarly, if a produced isotope is a liquid or gas, containment structures 111 may contain the produced liquid or gas in a smaller, defined region for easier handling and removal from cavity 105. Containment structure 111 and/or irradiation targets 110 may bear indicia 113 identifying the target type and/or other characteristic. Similarly, example target rod 100 may include an external indicia 130 identifying the target or targets 110 contained therein or other desired information regarding target rod 100. Example irradiation target rod 100 may further include an access point 120 that permits access to internal cavity 105 and irradiation targets 110 and isotopes produced from irradiation targets 110 in cavity 105. Access point 120 may be sealed so as to contain irradiation targets 110 and/or containment structures 111 while the target rod 100 is being exposed to neutron flux in an operating nuclear reactor. For example, access point 120 may be a mechanical seal or material bond sealing internal cavity 105 after irradiation targets 110 and/or containment structures 111 are placed therein. Access point 120 may include a series of hexes, flats, or other thinning mechanisms that permit controlled breaking and access to cavity 105 for harvesting produced isotopes therein. Alternatively, access point 120 may include a threaded end and complementary threaded inner surface that permit screwing and unscrewing parts of rod 100 in order to seal and access cavity 105 repeatedly. Other known joining and disjoining mechanisms may be present at access point 120, permitting access to and sealing of internal cavity 105. Example embodiment target rod 100 may include one or more fastening devices 160 that permit joining or otherwise securing example target rod 100 within a water rod in an operating nuclear reactor. For example, fastening device 160 may be a fastener that latches on to an exterior of water rods 22 (FIG. 1) or may be a welding connection point to water rods 22 (FIG. 1). Alternatively, fastening device 160 may interact with example embodiment securing mechanisms discussed below. Example embodiment target rod 100 may take on any desired shape or configuration to meet desired fuel assembly parameters and/or neutron flux exposure. For example, example target rod 100 may be a length that permits or prevents target rod 100 and/or irradiation targets 110 therein extending to axial positions within a water rod where target rod 100 presence is desired or undesired. For example, the engineer may identify a particular axial position within the nuclear fuel assembly with ideal neutron flux levels for producing isotopes from an amount of material in an irradiation target 110 and may create target rod 100 and internal cavity 105 such that the irradiation target 110 is positioned at the axial position when installed in the water rod. Or, for example, target rod 100 may further include tapered ends 150 that reduce target rod 100 cross section and permit larger water volume in water rods where target rod 100 is placed, so as to permit larger amounts of moderation and/or heat transfer to the water. Example embodiment target rod 100 may be fabricated of any material that will substantially maintain its mechanical and neutronic properties in an operating nuclear reactor environment while providing adequate containment to irradiation targets 110 housed therein. For example, target rod 100 may be fabricated from zirconium and alloys thereof, corrosion-resistant stainless steel, aluminum, etc., based on the material needs of target rod 100 and/or materials used to fabricate water rods 22 (FIG. 1). In an alternative embodiment, example target rods may be fabricated from the irradiation target material itself, if the irradiation target and produced isotopes therefrom have appropriate physical characteristics. For example, example target rods 100 may be fabricated of iridium-191 and placed within water rods in accordance with example methods, because iridium-191 and its generated isotope—iridium-192—are solid and compatible with operating nuclear reactor conditions. In such an embodiment, target rods may or my not possess internal cavities that house yet further irradiation targets. It is understood that example embodiment target rods may be varied in several ways from the descriptions given above and still perform the functions of containing the irradiation targets within water rods of nuclear fuel assemblies. Further, example embodiment target rods may be affixed to or otherwise held in water rods alone or in combination with the example embodiment loading and securing mechanisms discussed below. Example Embodiment Securing Mechanisms Several different example securing mechanisms may be used to hold one or more example embodiment target rods within water rods of nuclear fuel assemblies. FIG. 5 is an illustration of an example embodiment water rod ledge collar 500. Example embodiment collar 500 may be affixed to a conventional water rod 22 at its lower terminus 502 in a fuel assembly. Collar 500 may radially extend into the channel of water rod 22 and provide a ledge on which example embodiment target rods 200 may rest in water rod 22. Example embodiment target rods 200 may be similar to example target rods discussed above and may be miniaturized or otherwise altered in size to fit on collar 500 and/or to permit appropriate spacing within water rod 22. Similarly, one or more irradiation targets 210 may be placed in and/or strung together within a target rod 200. Collar 500 retains a flow passage 503 through which liquid coolant/moderator may flow into and through water rod 22. Target rods 200 may rest upon or be fastened, welded, threaded and/or otherwise secured to collar 500 in order to retain target rods in a constant position within water rod 22. Additionally, a bushing 501 may be joined to collar 500 and extend axially upward into water rod 22. Bushing 501 may additionally secure example embodiment target rods 200 to a circumferential position within water rod 22. Bushing 501 may be fastened, welded, or continuous with collar 500 and retain flow passage 503 into water rod 22. Both collar 500 and bushing 501 may be fabricated from materials retaining their mechanical and neutronic properties when exposed to operating conditions in a nuclear reactor, including example materials such as stainless steel and/or zirconium alloys. Collar 500 and bushing 501 may be a variety of shapes, depending on the shape of water rod 22. For example, if water rod 22 were peanut-shaped, collar 500 and/or bushing 501 may additionally be peanut-shaped. Similarly, collar 500 and bushing 501 do not necessarily extend around the entire inner perimeter of water rods 22; collar 500 and/or bushing 501 may be present at only a portion of the inner perimeter of water rods 22. Although collar 500 and bushing 501 are shown at a lower terminus 502 of water rod 22, it is understood that collar 500 and/or bushing 501 may be moved to other axial positions in water rod 22, in order to achieve a desired positioning of example embodiment target rods 200 supported thereby. It is understood that example embodiment collar 500, with or without bushing 501, may be used in conjunction with other retaining devices for example target rods. For example, rod 200 may be further fastened to water rod 22 through fastening device 160 (FIG. 4) in addition to being supported by collar 500 and bushing 501. FIGS. 6A and 6B are illustrations of an example embodiment modular washer 600 that may be used to secure and retain example target rods 200 within water rods 22. As shown in FIG. 6A, one or more example embodiment washer 600 may be placed within water rod 22 at one or more axial positions. Example washer 600 may be held at a particular axial position by friction alone and/or through fastening or joining mechanisms such as welding and/or an indentation in water rod 22 that holds washer 600 stationary. Alternatively, as shown in FIG. 6B, a central post or tube 610 may extend through an aperture 605 and be affixed to several washers 600. The washers may thus be held at constant relative distances and rotations by central tube 610, while central tube 610 still permits fluid moderator/coolant to flow through central tube 610 and water rod 22. Example embodiment modular washer 600 includes one or more apertures 605 at desired locations in washer 600. Apertures 605 are shaped to permit at least one target rod 200 pass through and/or join washer 600. Target rods 200 may frictionally seat within apertures 605 and/or may be otherwise held or loosely fit within apertures 605. In this way, apertures 605 hold target rod 200 in a fixed angular and/or axial position within washer 600 and thus in water rod 22. Apertures 605 holding target rods 100 may prevent or reduce movement of target rods 100 during operation of the nuclear reactor. Washer 600 may further include several unfilled apertures 605 that permit coolant/moderator flow through water rod 22. Several apertures 605 may hold target rods 200, such that multiple target rods 200, may be held in constant positions relative to each other within water rod 22 by example embodiment washers 600. Multiple washers 600 may be used in a single water rod 22. As shown in FIG. 6A, other washers may hold to a same and/or different target rods 200 within water rod 22. The additional example embodiment washers may provide additional stability and alignment for target rods 200 passing through multiple washers 600. Example embodiment washers 600 may be fabricated from materials retaining their mechanical and neutronic properties when exposed to operating conditions in a nuclear reactor, including example materials such as stainless steel and/or zirconium alloys. Washers 600 may be a variety of shapes, depending on the shape of water rod 22. For example, if water rod 22 were triangular, washers 600 may be similarly triangular. Example embodiment washer 600 does not necessarily extend around the entire inner perimeter of water rods 22; washer 600 may be present at only a portion of the inner perimeter of water rods 22. It is understood that washer 600 may be moved to other axial positions in water rod 22, in order to achieve a desired positioning of example embodiment target rods 200 supported thereby. It is understood that example embodiment washers 600 may be used alone or in conjunction with other retaining devices for example target rods. For example, target rod 200 may be further fastened to water rod 22 through fastening device 160 (FIG. 4) or supported by collar 500 and bushing 501 (FIG. 5) in addition to being secured by washers 600. Example embodiment fuel assemblies may include all or some of the above-described example embodiment target rods and retaining structures useable in accordance with example methods. Example embodiment retaining structures including example embodiment washers 600 and/or ledge collar 500 may be installed during manufacture of fuel assemblies that will contain the same. Example embodiment retaining structures may also be installed after a fuel assembly is completed, or in existing fuel assemblies. As described above with regard to example methods, example embodiment retaining structures may be installed at desired positions/configurations to meet specific assembly criteria. Example embodiment target rods may be installed with retaining structures or after their installation, as described in S320 above. Because example embodiments and methods permit and enable irradiation targets to be subjected to plentiful thermal flux levels present in nuclear reactor water rods, isotope products created in example embodiments and methods may possess higher activity and/or purity and may be generated in smaller amounts of time. Example embodiments and methods further provide nuclear engineers with additional tools for configuring fuel assembly neutronic and/or thermodynamic properties by placing irradiation targets within water rods where they may favorably affect these properties while generating desired isotopes. Example embodiments thus being described, it will be appreciated by one skilled in the art that example embodiments may be varied through routine experimentation and without further inventive activity. For example, although example embodiments and methods are given with respect to existing fuel assembly designs and water rod configurations, it is certainly within the skill of the nuclear engineer to revise example embodiments and methods to suit future designs while maintaining the above-described properties of example embodiments and methods. Variations are not to be regarded as departure from the spirit and scope of the exemplary embodiments, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
claims
1. A lithographic apparatus comprising:a radiation system configured to form a projection beam of radiation comprising:(a) a radiation source that emits radiation;(b) a filter system arranged to filter debris particles out of a predetermined cross-section of the radiation emitted by the radiation source, the filter system including a first set of foils and a second set of foils for trapping the debris particles, and a first heat sink and a second heat sink, each foil of the first set of foils being thermally connected to the first heat sink, and each foil of the second set of foils being thermally connected to the second heat sink, so that heat is conducted substantially towards the first heat sink through each foil of the first set, and heat is conducted substantially towards the second heat sink through each foil of the second set, the first set of foils extending substantially in a first section of the predetermined cross-section, and the second section of foils extending substantially in a second section of the predetermined cross-section, the first section and the second section being substantially non-overlapping; and(c) an illumination system configured to form the radiation emitted by the radiation source to a conditioned radiation beam; anda projection system configured to project the projection beam of radiation onto a substrate. 2. A lithographic apparatus according to claim 1, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils is, apart from its connection with the respective heat sink, unconnected with respect to any other part of the filter system. 3. A lithographic apparatus according to claim 1, wherein the filter system is arranged such that substantially all of the filter system remains below a predetermined maximum temperature when exposed to the radiation beam. 4. A lithographic apparatus according to claim 1, wherein at least one foil of the first set of foils and at least one foil of the second set of foils extend in substantially the same virtual plane. 5. A lithographic apparatus according to claim 4, wherein a distance in the virtual plane between the foil of the first set of foils and the respective foil of the second set of foils is selected so as to maintain a gap between the foil of the first set and the respective foil of the second set when the foil of the first set and the respective foil of the second set reach their respective maximum temperatures. 6. A lithographic apparatus according to claim 4, wherein the virtual plane extends through a predetermined position that coincides with the position from which the radiation source emits radiation. 7. A lithographic apparatus according to claim 1, wherein a portion of at least one foil of the first set of foils extends between two foils of the second set of foils. 8. A lithographic apparatus according to claim 1, wherein at least a part of the filter system is movable such that each foil of the first set of foils and/or each foil of the second set of foils may actively catch debris particles by intercepting debris particles in their course along a path that the radiation propagates. 9. A lithographic apparatus according to claim 1, wherein each foil of the first set of foils is connected to a first ring, and each foil of the second set of foils is connected to a second ring, the first ring and the second ring being spatially separated and having a common axis. 10. A lithographic apparatus according to claim 9, wherein each foil of the first set of foils extends towards the second ring, and each foil of the second set of foils extends towards the first ring. 11. A lithographic apparatus according to claim 1, wherein at least one of the first and second heat sinks is arranged to be actively cooled. 12. A lithographic apparatus according to claim 11, wherein each of the first and second heat sinks is arranged to be cooled independently of any other heat sink. 13. A lithographic apparatus according to claim 11, wherein at least one of the first and second heat sinks includes at least one support for connection with each foil of either the first or the second set of foils, and wherein the radiation system further comprises a cooling system having a surface that is arranged to be cooled, the cooling system and the at least one support being positioned with respect to each other such that a gap is formed between the surface of the cooling system and the support, the cooling system further being arranged to inject gas into the gap. 14. A lithographic apparatus according to claim 13, wherein a path between an entrance position at which the gas enters the gap and an exit position from which the gas exits the gap forms a meandering path. 15. A lithographic apparatus according to claim 13, wherein the gap is such that a smallest distance between the surface of the cooling system and the at least one support is in a range that varies from about 20 micrometers to about 200 micrometers. 16. A lithographic apparatus according to claim 15, wherein the gap is such that a smallest distance between the surface of the cooling system and the support is in a range that varies from about 40 micrometers to about 100 micrometers. 17. A lithographic apparatus according to claim 13, wherein the support is ring-shaped. 18. A lithographic apparatus according to claim 17, wherein the support is rotatable. 19. A lithographic apparatus according to claim 13, wherein the surface of the cooling system is arranged to be stationary with respect to the support. 20. A lithographic apparatus according to claim 13, wherein the surface of the cooling system is arranged to be cooled with a fluid. 21. A lithographic apparatus according to claim 20, wherein the fluid is water. 22. A lithographic apparatus according to claim 13, wherein the gas is argon. 23. A lithographic apparatus according to claim 13, wherein the support is provided with a recess for holding the gas before the gas flows through the gap. 24. A lithographic apparatus according to claim 13, wherein the cooling system is arranged to cool the gas before injecting the gas into the gap. 25. A lithographic apparatus according to claim 1, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils substantially coincides with a straight virtual plane that extends through a predetermined position that substantially coincides with the position from which the radiation source emits radiation, wherein a tensed wire extends within the straight virtual plane between the at least one foil and the predetermined position. 26. A lithographic apparatus according to claim 25, wherein the tensed wire is connected to the at least one foil. 27. A lithographic apparatus according to claim 25, wherein the tensed wire is held tight by at least one spring element. 28. A lithographic apparatus according to claim 25, wherein the tensed wire is thermally insulated from the at least one foil. 29. A lithographic apparatus according to claim 25, wherein the tensed wire is made out of a material that comprises at least one of the metals of the group consisting of tantalum and tungsten. 30. A radiation system configured to form a beam of radiation, comprising:a radiation source that emits radiation;a filter system arranged to filter debris particles out of a predetermined cross-section of the radiation emitted by the radiation source, the filter system including a first set of foils and a second set of foils for trapping the debris particles, and a first heat sink and a second heat sink, each foil of the first set of foils being thermally connected to the first heat sink, and each foil of the second set of foils being thermally connected to the second heat sink, so that heat is conducted substantially towards the first heat sink through each foil of the first set, and heat is conducted substantially towards the second heat sink through each foil of the second set, the first set of foils extending substantially in a first section of the predetermined cross-section, and the second set of foils extending substantially in a second section of the predetermined cross-section, the first section and the second section being substantially non-overlapping; andan illumination system configured to form the radiation emitted by the radiation source to a conditioned radiation beam. 31. A radiation system according to claim 30, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils is, apart from its connection with the respective heat sink, unconnected with respect to any other part of the filter system. 32. A radiation system according to claim 30, wherein the filter system is arranged such that substantially all of the filter system remains below a predetermined maximum temperature when exposed to the radiation beam. 33. A radiation system according to claim 30, wherein at least one foil of the first set of foils and at least one foil of the second set of foils extend in substantially the same virtual plane. 34. A radiation system according to claim 33, wherein a distance in the virtual plane between the foil of the first set of foils and the respective foil of the second set of foils is selected so as to maintain a gap between the foil of the first set and the respective foil of the second set when the foil of the first set and the respective foil of the second set reach their respective maximum temperatures. 35. A radiation system according to claim 33, wherein the virtual plane extends though a predetermined position that coincides with the position from which the radiation source emits radiation. 36. A radiation system according to claim 30, wherein a portion of at least one foil of the first set of foils extends between two foils of the second set of foils. 37. A radiation system according to claim 30, wherein at least a part of the filter system is movable such that each foil of the first set of foils and/or each foil of the second set of foils actively catch debris particles by intercepting debris particles in their course along a path that the radiation propagates. 38. A radiation system according to claim 30, wherein each foil of the first set of foils is connected to a first ring, and each foil of the second set of foils is connected to a second ring, the first ring and the second ring being spatially separated and having a common axis. 39. A radiation system according to claim 38, wherein each foil of the first set of foils extends towards the second ring, and each foil of the second set of foils extends towards the first ring. 40. A radiation system according to claim 30, wherein at least one of the first and second heat sinks is arranged to be actively cooled. 41. A radiation system according to claim 40, wherein each of the first and second heat sinks is arranged to be cooled independently of any other heat sink. 42. A radiation system according to claim 40, wherein at least one of the first and second heat sinks includes at least one support for connection with each foil of either the first or the second set of foils, and wherein the radiation system further comprises a cooling system having a surface that is arranged to be cooled, the cooling system and the at least one support being positioned with respect to each other such that a gap is formed between the surface of the cooling system and the support, the cooling system further being arranged to inject gas into the gap. 43. A radiation system according to claim 42, wherein a path between an entrance position at which the gas enters the gap and an exit position from which the gas exits the gap forms a meandering path. 44. A radiation system according to claim 42, wherein the gap is such that a smallest distance between the surface of the cooling system and the at least one support is in a range that varies from about 20 micrometers to about 200 micrometers. 45. A radiation system according to claim 44, wherein the gap is such that a smallest distance between the surface of the cooling system and the support is in a range that varies from about 40 micrometers to about 100 micrometers. 46. A radiation system according to claim 42, wherein the support is ring-shaped. 47. A radiation system according to claim 46, wherein the support is rotatable. 48. A radiation system according to claim 42, wherein the surface of the cooling system is arranged to be stationary with respect to the support. 49. A radiation system according to claim 42, wherein the surface of the cooling system is arranged to be cooled with a fluid. 50. A radiation system according to claim 49, wherein the fluid is water. 51. A radiation system according to claim 42, wherein the gas is argon. 52. A radiation system according to claim 42, wherein the support is provided with a recess for holding the gas before the gas flows through the gap. 53. A radiation system according to claim 42, wherein the cooling system is arranged to cool the gas before injecting gas into the gap. 54. A radiation system according to claim 30, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils substantially coincides with a straight virtual plane that extends through a predetermined position that substantially coincides with the position from which the radiation source emits radiation, and wherein a tensed wire extends within the straight virtual plane between the at least one foil and the predetermined position. 55. A radiation system according to claim 54, wherein the tensed wire is connected to the at least one foil. 56. A radiation system according to claim 54, wherein the tensed wire is held tight by at least one spring element. 57. A radiation system according to claim 54, wherein the tensed wire is thermally insulated from the at least one foil. 58. A radiation system according to claim 54, wherein the tensed wire is made out of a material that comprises at least one of the metals of the group consisting of tantalum and tungsten. 59. A filter system for filtering debris particles out of a predetermined cross-section of the radiation emitted by a radiation source of a lithographic apparatus, the filter system comprising:a first set of foils and a second set of foils for trapping the debris particles, anda first heat sink and a second heat sink,each foil of the first set of foils is thermally connected to the first heat sink, and each foil of the second set of foils is thermally connected to the second heat sink, so that heat is conducted substantially towards the first heat sink through each foil of the first set of foils, and heat is conducted substantially towards the second heat sink through each foil of the second set of foils,the first set of foils extending substantially in a first section of the predetermined cross-section, and the second set of foils extending substantially in a second section of the predetermined cross-section, the first section and the second section being substantially non-overlapping. 60. A filter system according to claim 59, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils is, apart from its connection with the respective heat sink, unconnected with respect to any other part of the filter system. 61. A filter system according to claim 59, wherein the filter system is arranged such that substantially all of the filter system remains below a predetermined maximum temperature when exposed to the radiation beam. 62. A filter system according to claim 59, wherein at least one foil of the first set of foils and at least one foil of the second set of foils extend in substantially the same virtual plane. 63. A filter system according to claim 62, wherein a distance in the virtual plane between the foil of the first set of foils and the respective foil of the second set of foils is selected so as to maintain a gap between the foil of the first set and the respective foil of the second set when the foil of the first set and the respective foil of the second set reach their respective maximum temperatures. 64. A filter system according to claim 62, wherein the virtual plane extends though a predetermined position that coincides with the position from which the radiation source emits radiation. 65. A filter system according to claim 59, wherein a portion of at least one foil of the first set of foils extends between two foils of the second set of foils. 66. A filter system according to claim 59, wherein at least a part of the filter system is movable such that each foil of the first set of foils and/or each foil of the second set of foils actively catches debris particles by intercepting debris particles in their course along a path along which the radiation propagates. 67. A filter system according to claim 59, wherein each foil of the first set of foils is connected to a first ring, and each foil of the second set of foils is connected to a second ring, the first ring and the second ring being spatially separated and having a common axis. 68. A filter system according to claim 67, wherein each foil of the first set of foils extends towards the second ring and each foil of the second set of foils extends towards the first ring. 69. A filter system according to claim 59, wherein at least one of the first and second heat sinks is arranged to be actively cooled. 70. A filter system according to claim 69, wherein each of the first and second heat sinks is arranged to be cooled independently of any other heat sink. 71. A filter system according to claim 69, wherein at least one of the first and second heat sinks includes at least one support for connection with each foil of either the first or the second set of foils, and wherein the filter system further comprises a cooling system having a surface that is arranged to be cooled, the cooling system and the at least one support being positioned with respect to each other such that a gap is formed between the surface of the cooling system and the support, the cooling system further being arranged to inject gas into the gap. 72. A filter system according to claim 71, wherein a path between an entrance position at which the gas enters the gap and an exit position from which the gas exits the gap forms a meandering path. 73. A filter system according to claim 71, wherein the gap is such that a smallest distance between the surface of the cooling system and the at least one support is in a range that varies from about 20 micrometers to about 200 micrometers. 74. A filter system according to claim 73, wherein the gap is such that a smallest distance between the surface of the cooling system and the support is in a range that varies from about 40 micrometers to about 100 micrometers. 75. A filter system according to claim 71, wherein the support is ring-shaped. 76. A filter system according to claim 75, wherein the support is rotatable. 77. A filter system according to claim 71, wherein the surface of the cooling system is arranged to be stationary with respect to the support. 78. A filter system according to claim 71, wherein the surface of the cooling system is arranged to be cooled with a fluid. 79. A filter system according to claim 78, wherein the fluid is water. 80. A filter system according to claim 71, wherein the gas is argon. 81. A filter system according to claim 71, wherein the support is provided with a recess for holding the gas before the gas flows through the gap. 82. A filter system according to claim 71, wherein the cooling system is arranged to cool the gas before injecting the gas into the gap. 83. A filter system according to claim 59, wherein at least one foil of the first set of foils and/or at least one foil of the second set of foils substantially coincides with a straight virtual plane that extends through a predetermined position that substantially coincides with the position from which the radiation source emits radiation, wherein a tensed wire extends within the straight virtual plane between the at least one foil and the predetermined position. 84. A filter system according to claim 83, wherein the tensed wire is connected to the at least one foil. 85. A filter system according to claim 83, wherein the tensed wire is held tight by at least one spring element. 86. A filter system according to claim 83, wherein the tensed wire is thermally insulated from the at least one foil. 87. A filter system according to claim 83, wherein the tensed wire is made out of a material that comprises at least one of the metals of the group consisting of tantalum and tungsten.
description
1. Field of the Invention The present invention relates to a method for correcting and configuring a photolithographic pattern, particularly to a method for correcting and configuring an optical mask pattern. 2. Description of Related Art Recently, the semiconductor has focused on the development of miniature integrated circuit (IC) element design. Photolithography plays a key role in such a miniaturization development for semiconductor industry because it determines critical dimensions (CDs) of thin film patterns related to semiconductor element structures. To meet the increasing dement for semiconductor components of smaller sizes and higher resolutions, the KrF exposure machine is used together with a phase shift mask (PSM). However, further advancement of exposure machine requires light source of shorter wavelengths, such as: KrF laser (248 nm), ArF laser (193 nm), F2 laser (157 nm), and Ar2 laser (126 nm) for less linewidths and smaller component sizes. However, exposure devices are either too expensive or under development. Therefore, a novel pattern shrink technology, chemical shrink process, is provided. Said chemical shrink process includes coating chemical shrink agent on an exposed and developed photo-resistant layer for subsequent baking process to form a new material layer configured at the sidewalls of patterns in the photo-resistant layer. A typical chemical shrink process usually employs a certain bias value to pre-estimate a shrinkage magnitude, wherein the bias value is equal to the CD of the already shrunk pattern minus the CD of pattern yet to be shrunk. Additionally, the bias value is used for determining the target CD of the developed pattern on the photo-resistant layer and the target CD is used for correcting the dimension of the original pattern of optical masks. However, using a single bias value for correcting different original patterns of the equivalent CD and different pattern densities fails to produce desired results with possibly seriously negative impact on productivity, reliability and workability of elements. An objective of the invention is to provide a method for correcting and configuring an optical mask pattern with different CD bias values for correcting optical mask patterns. Patterns obtained on wafers according to the method are relatively accurate with improved reliability and the A-grade ratio in production. The present invention provides a method for correcting an optical mask pattern. The method includes: preparing a test optical mask including a plurality of original patterns configured according to original drawing data; transferring the original patterns to a first photo-resistant layer corresponding to the forming of a plurality of first post-development patterns, and measuring first dimensions of all the first post-development patterns, respectively; a pattern shrink process of the first post-development patterns is performed corresponding to the forming of a plurality of first post-shrinkage pattern, and measuring second dimensions of all the first post-shrinkage patterns, respectively; calculating the bias value between the first dimensions and the second dimensions, and collecting data of the original patterns, the first dimensions, the second dimensions and the bias value for information in the database; building an optical proximity effect correction (OPC) module in accordance with such information in the database, and correcting the original drawing data according to the correcting module for the data of the corrected drawing. According to an embodiment of the invention, the method for correcting an optical mask pattern further includes the process of a first verifying step for verifying the OPC module after building an OPC module in accordance with the database. The first verifying step includes: building a verifying fitting curve module with the original drawing data and the first dimensions; comparing the OPC module with the verifying fitting curve module to determine whether the plurality of second post-development patterns preformed on the second photo-resistant layer correct or not. If it is incorrect, the step of building the OPC module repeats. According to an embodiment of the invention, the method for correcting an optical mask pattern further includes the process of a second verifying step for the OPC module after the first verifying step. The second verifying step includes: comparing the OPC module and the original drawing data to determine whether the plurality of second post-shrinkage patterns preformed on the second photo-resistant layer correct or not. If it is incorrect, the step of building the OPC module repeats. According to an embodiment of the invention, the pattern shrink process discussed above includes a chemical shrink process, a thermal flow process, a chemical amplification of resist line process or a double exposure with Levnson-type phase shift masks process. According to an embodiment of the invention, the foregoing original drawing data includes critical dimensions (CDs), pattern density, and duty ratio. The present invention further provides a method for configuring an optical mask pattern. Said method for configuring an optical mask pattern includes: forming a plurality of original patterns on a test optical mask according to an original drawing data; transferring the original patterns to a first photo-resistant layer corresponding to the forming of a plurality of first post-development patterns and measuring first dimensions of all the first post-development patterns, respectively; a pattern shrink process of the first post-development patterns corresponding to the forming of a plurality of first post-shrinkage pattern, and measuring second dimensions of all the first post-shrinkage patterns, respectively; calculating the bias value between the first dimensions and the second dimensions, and collecting data of the original patterns, the first dimensions, the second dimensions and the bias value for information in the database; building an OPC module in accordance with the database and correcting the original drawing data according to the OPC module for a corrected drawing data; a writing step is applied to write the corrected drawing data onto an optical mask and to configure a pattern on the optical mask. According to an embodiment of the invention, the method for configuring an optical mask pattern further includes the process of a first verifying step for the an OPC module after building an OPC module in accordance with the database. The first verifying step includes: building a verifying fitting curve module with the original drawing data and the first dimensions; comparing the OPC module with the verifying fitting curve module to determine whether the plurality of second post-development patterns preformed on the second photo-resistant layer correct or not. If it is incorrect, the step of building the OPC module repeats. According to an embodiment of the invention, the method for configuring an optical mask pattern further includes the process of a second verifying step for the OPC module after the first verifying step. The second verifying step includes: comparing the OPC module and the original drawing data to determine whether the plurality of second post-shrinkage patterns preformed on the second photo-resistant layer correct or not. If it is incorrect, the step of building the OPC module repeats. According to an embodiment of the invention, the pattern shrink process discussed above includes a chemical shrink process, a thermal flow process, a chemical amplification of resist line process or a double exposure with Levnson-type phase shift masks process. According to an embodiment of the invention, the original drawing data above includes critical dimensions (CDs), pattern density, and duty ratio. According to an embodiment of the invention, the wiring step above includes the process of an electron beam or a laser beam. According to the present invention, data obtained from a chemical shrink process and OPE are collected for building a database. An OPC module is obtained from the database by calculation and is used for correcting original drawing data to obtain a target CDs for the original wiring distribution. Therefore, the production cost can be saved with improved the reliability and the A-grade ratio in production. Reference will now be made in detail to the present preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts. FIG. 1 is a flow chart of an embodiment of a method for configuring an optical mask according to the invention. Referring to FIG. 1, in step 100, a test optical mask is provided. The test optical mask includes a plurality of original patterns thereby configured according to original drawing data. The original patterns can be configured by an original drawing data of the original designed wiring pattern to the test optical mask. The original drawing data includes CDs, pattern dimensions and duty ratio of the original designed wiring pattern. In step 110, transferring the original patterns of the test optical mask to a photo-resistant layer corresponding to the forming of a plurality of post-development patterns and measuring CDs of all of each of the post-development patterns. The method for transferring the original patterns of the test optical mask to the photo-resistant layer may include a conventional exposure process and developing procedure known to those skilled in the art and are not to be further illustrated herein. The CDs of the post-development patterns are measured with a scanning electron microscopy (SEM) or optical microscopy (OM). In general, as the originally exposed and developed patterns transferred to the photo-resistant layer, interference of a light source for the step of exposure will occur and the photo-resistant agent of the photo-resistant layer will effect the results of post-development patterns with problems including right angle rounding, line-end shortening, and linewidth increasing or decreasing, all of which are so called optical proximity effect (OPE). In step 120, a pattern shrink process of the post-development patterns corresponding to the forming of a plurality of post-shrinkage pattern, and measuring CDs of all the post-shrinkage patterns, respectively. Similarly, the CDs of the post-development patterns are measured with a scanning electron microscopy (SEM) or optical microscopy (OM). The pattern shrink process includes a chemical shrink process, a thermal flow process, a chemical amplification of resist line process or a double exposure with Levnson-type phase shift masks process. The chemical shrink process is exemplified for illustration purpose only, and the present invention should not be limited to. Herein, the foregoing chemical shrink process includes a resolution enhancement lithography assist by chemical shrink (RELACS) technology. The RELACS technology includes: coating chemical shrink agents onto an exposed and developed photo-resistant layer and a baking process to form a new material layer configured at the sidewalls of the patterns in the photo-resistant layer with the patterns shrunk thereby. Furthermore, it is noted that the shrinkage of the configured post-shrinkage patterns, obtained from the post-development patterns after the chemical shrink process, varies in accordance with the CDs, pattern density and duty ratio. Herein, the shrinkage of the configured post-shrinkage patterns above refers to the bias value between the CDs of the post-shrinkage patterns and the CDs of the post-development patterns. In step 130, a data base built includes data obtained from: calculating the bias value between the CDs of each post-shrinkage pattern and the CDs of each pose-development pattern, and collecting data of the original drawing patterns, CDs of post-shrinkage patterns and CDs of pose-development patterns as well as the bias value therebetween for obtaining a database. In step 140, an optical proximity effect correction (OPC) module is built in accordance with the database. The OPC module is built with commercial software, such as: an OPC software, calculating the data from the database and correcting the results. Many factors, in particular, including reactant concentration, reactive period, diffusing speed and reacting area may affect the chemical shrink process. Therefore, a great amount of data must be collected to deduce mathematic modules, such as: the reactive dynamic module and substance transferring module for satisfying such a complicated reactive mechanism. In addition, the optical mask patterns can be corrected for obtaining the desired target CDs for original wiring design. Moreover, OPE incorporates more complexity and requires more data and more complicated deduction. The present invention builds a database according to the OPE and the chemical shrink process, and employs a commercial OPC software to calculate the database. An OPC module is subsequently built for correcting the original drawing data to obtain the desired target CDs for original wiring design. Therefore, the production cost can be saved with improved the reliability and the A-grade ratio in production. According to an embodiment of the invention, following the step 140, the process of a first verifying step 150 performs for verifying OPC module above. The first verifying step is for judging that after the corrected patterns is written to the optical mask and transferred to the photo-resisted layer, whether the patterns preformed on photo-resistant layer in allowable dimension for processing or not. If it is allowable, a test fitting curve module is built with commercial software such as: post OPC verification software in accordance with the original drawing data and the CDs of the post development patterns. Additionally, comparing the OPC module to the verifying fitting curve module to determine whether the plurality of post-development patterns preformed on the photo-resistant layer correct or not. If it is not correct, it means there is any pattern bridge between post-development patterns or open patterns remained closed. Subsequently, the step 140 repeats to rebuild an OPC module. According to an embodiment of the invention, following the step 150, the process of a second verifying step 160 performs for verifying OPC module above. The first verifying step is for determine whether the patterns formed therein correct or not after the corrected patterns written to the optical mask and transferred to the photo-resisted layer and after the chemical shrink process. If it is correct, a post OPC verification software is then employed to compare the OPC module to original drawing data to determine whether the plurality of post-development patterns preformed on the photo-resistant layer correct or not. If it is incorrect, the step 140 repeats to rebuild an OPC module. As a result, the present invention employs commercial software (post OPC verification software) to verify the reliability and accuracy of the built OPC module and associated costs that can be saved. Referring to the drawing again, in step 170, the original drawing data is corrected according to the OPC module and a corrected drawing data is obtained. The steps 100 through 170 are about a method for correcting an optical mask pattern. Thereafter, a method for configuring an optical mask pattern can be further processed. In step 180, the corrected drawing data is written onto the optical mask and a pattern is then configured on the optical mask. The writing step is an electron beam or a laser beam. In summary, the present invention has at least the advantages as below: 1. The present invention builds an OPC module for correcting an optical mask pattern with a correcting process for eliminating the affection caused by the chemical shrink process and the OPE. Accordingly, patterns obtained on wafers according to the method are relatively accurate, and the reliability and the A-grade ratio of production are improved; 2. The present invention utilizes commercial software, instead of complicated deduction for correcting optical mask patterns to obtain desired original wiring distribution patterns to save production costs. It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.
claims
1. A method for acquiring uranium and/or fission products from irradiated nuclear fuel, the method comprising:exposing the irradiated nuclear fuel to an aqueous solution to form a mixture comprising a solid phase and a liquid phase while maintaining the pH of the liquid phase at from greater than 7 to less than 10;separating the solid phase from the liquid phase; andprocessing the liquid phase to acquire at least a portion of one or both of the uranium and/or the fission products. 2. The method of claim 1 wherein the aqueous solution comprises one or more of ammonium, carbonate, and peroxide. 3. The method of claim 1 wherein the aqueous solution comprises an ammonium-carbonate solution. 4. The method of claim 1 wherein the aqueous solution comprises carbonate and peroxide. 5. The method of claim 1 wherein the processing comprises exposing at least a portion of the liquid phase to an adsorbent to remove at least some of the solute from the liquid phase and form an effluent containing the portion of one or both of the uranium and/or the fission products. 6. The method of claim 5 wherein the adsorbent comprises an ion exchange resin. 7. The method of claim 5 further comprising exposing the effluent to an extraction solution to purify the portion of one or both of the uranium and/or the fission products. 8. The method of claim 1 wherein the irradiated nuclear fuel further comprises cladding. 9. The method of claim 1 wherein the irradiated nuclear fuel are targets within regulated containers. 10. The method of claim 1 further comprising exposing the targets to peroxide. 11. The method of claim 1 further comprising exposing the targets to carbonate. 12. The method of claim 1 further comprising exposing the targets to carbonate and peroxide. 13. The method of claim 1 wherein the processing the liquid phase can comprise combining the liquid phase with a hydroxide solution to form another mixture. 14. The method of claim 13 wherein the hydroxide solution comprises Ba. 15. The method of claim 13 wherein the processing the liquid phase can further comprise warming the other mixture while maintaining ammonium carbonate in the other mixture. 16. The method of claim 13 wherein the processing the liquid phase can further comprise exposing the other mixture to an adsorbent to acquire the portion of one or both of the uranium and/or the fission products.
claims
1. A grazing incidence reflector for extreme ultraviolet (EUV) radiation, comprising:a first mirror layer configured to reflect at least partially EUV radiation incident on the reflector with grazing incidence angles in a first range, the first mirror layer being configured to transmit EUV radiation in a second range of incidence angles which overlaps and extends beyond the first range of incidence angles;a multilayer mirror structure beneath the first mirror layer configured to reflect EUV radiation which is incident on the reflector with grazing incidence angles in said second range and which penetrates through the first mirror layer; anda second mirror layer on top of the first mirror layer, the second mirror layer being configured to provide enhanced reflectance for EUV radiation with grazing incidence angles in a third range which is within the first range of angles. 2. The grazing incidence reflector according to claim 1, wherein the first mirror layer has a thickness of less than 20 nm. 3. The grazing incidence reflector according to claim 1, wherein the second mirror layer has a thickness of less than 10 nm and has a reflectance greater than 40% over a range of grazing incidence angles extending from 0 degree to less than 10 degrees. 4. The grazing incidence reflector according to claim 1,wherein the first mirror layer occupies only a portion of a surface of the multilayer mirror structure so as to provide enhanced reflectance for EUV radiation with grazing incidence angles in the second range. 5. The grazing incidence reflector according to claim 1, wherein the reflector is configured for operation at EUV wavelengths in the range 6-7 nm. 6. The grazing incidence reflector according to claim 5, wherein the first mirror layer has a thickness in the range 15-17 nm and is made of ThO2, and has a reflectance greater than 40% over the first range of grazing incidence angles between greater than 0 degree and less than 12 degrees. 7. The grazing incidence reflector according to claim 6, wherein:the second range of grazing incidence angles is greater than or equal to 12 degrees and less than or equal to 14 degrees; andthe multilayer mirror structure is formed of a number of laminated elements, each laminated element having a first sub-unit and a second sub-unit on top of the first sub-unit, the second sub-unit having a lower index of refraction than that of the first sub-unit. 8. The grazing incidence reflector according to claim 7, wherein materials of the first sub-unit and the second sub-unit are selected from a group of Th, La, U, B, nitrides, oxides, borides, fluorides of heavy metal elements, and carbides of light elements. 9. The grazing incidence reflector according to claim 1, wherein the reflector is configured for operation at EUV wavelengths in the range 13-14 nm. 10. The grazing incidence reflector according to claim 9, wherein the first mirror layer has a thickness in the range 15-17 nm and is made of Ru, and has a reflectance greater than 40% over the first range of grazing incidence angles between greater than 0 degree and less than 25 degrees. 11. The grazing incidence reflector according to claim 10, wherein:the second range of grazing incidence angles is greater than or equal to 25 degrees and less than or equal to 30 degrees; andthe multilayer mirror structure is formed of a number of laminated elements, each laminated element having a first sub-unit and a second sub-unit on top of the first sub-unit, the second sub-unit having a lower index of refraction than that of the first sub-unit. 12. The grazing incidence reflector according to claim 11, wherein materials of the first sub-unit and the second sub-unit are selected from a group of Mo, Si, Ru and diamond-like carbon. 13. The grazing incidence reflector according to claim 1wherein at least one of a period and a composition of the multilayer mirror structure varies across the multilayer mirror structure so that a grazing incidence angle of maximal reflectance varies across the multilayer mirror structure. 14. A lithographic apparatus comprising a grazing incidence reflector comprising:a first mirror layer configured to reflect at least partially EUV radiation incident on the reflector with grazing incidence angles in a first range, the first mirror layer being configured to transmit EUV radiation in a second range of incidence angles which overlaps and extends beyond the first range of incidence angles;a multilayer mirror structure beneath the first mirror layer configured to reflect EUV radiation which is incident on the reflector with grazing incidence angles in said second range and which penetrates through the first mirror layer, anda second mirror layer on top of the first mirror layer, the second mirror layer being configured to provide enhanced reflectance for EUV radiation with grazing incidence angles in a third range which is within the first range of angles. 15. A method for manufacturing a grazing incidence reflector configured to reflect extreme ultraviolet (EUV) radiation, the method comprising:disposing a first mirror layer on top of a multilayer mirror structure and a surface structure on top of the multilayer mirror structure; anddisposing a second mirror layer on top of the first mirror layer; wherein the first mirror layer is configured to at least partially reflect EUV radiation incident on the reflector with grazing incidence angles in a first range, the first mirror layer being configured to transmit EUV radiation in a second range of incidence angles which overlaps and extends beyond the first range of incidence angles, and the second mirror layer being configured to provide enhanced reflectance for EUV radiation with grazing incidence angles in a third range which is within the first range of angles; andthe multilayer mirror structure is configured to reflect EUV radiation which is incident on the reflector with grazing incidence angles in said second range and which penetrates through the first mirror layer. 16. A method for manufacturing a device by a lithographic process, comprising:illuminating a patterning device with extreme ultraviolet (EUV) radiation from an EUV source via an illumination system; andprojecting an image of the patterning device onto a substrate by projection of said EUV radiation via projection system;wherein at least one of the illumination system or the projection system comprises a grazing incidence reflector for:reflecting at least partially EUV radiation incident on the reflector with grazing incidence angles in a first range with a first mirror layer being configured to transmit EUV radiation in a second range of incidence angles which overlaps and extends beyond the first range of incidence angles;providing enhanced reflectance for EUV radiation with grazing incidence angles in a third range which is within the first range of angles with a second mirror layer on top of the first mirror layer; andreflecting EUV radiation which is incident on the reflector with grazing incidence angles in said second range and which penetrates through the first mirror layer with a multilayer mirror structure beneath the first mirror layer. 17. A method for manufacturing a grazing incidence reflector configured to reflect extreme ultraviolet (EUV) radiation, the method comprising:disposing a first mirror layer on top of a multilayer mirror structure and a surface structure on top of the multilayer mirror structure;wherein the first mirror layer is configured to at least partially reflect EUV radiation incident on the reflector with grazing incidence angles in a first range, the first mirror layer being configured to transmit EUV radiation in a second range of incidence angles which overlaps and extends beyond the first range of incidence angles, and the first mirror layer occupies only a portion of a surface of the multilayer mirror structure so as to provide enhanced reflectance for EUV radiation with grazing incidence angles in the second range; andthe multilayer mirror structure is configured to reflect EUV radiation which is incident on the reflector with grazing incidence angles in said second range and which penetrates through the first mirror layer.
claims
1. A method of employing an eddy current sensor and a computerized device to non-destructively detect a loose part within a steam generator of a nuclear power plant, the steam generator having a plurality of tubes, at least some of the tubes of the plurality of tubes each being elongated, the method comprising:receiving the eddy current sensor within an interior of a first tube from among the plurality of tubes;robotically moving the eddy current sensor through the interior of the first tube along the longitudinal extent of the first tube;generating, with the eddy sensor, a first number of data streams comprising a first eddy current signal at each of a plurality of tube positions along at least a portion of the longitudinal extent of the first tube;detecting, using the computerized device, in the first eddy current signal a first signal anomaly at a first tube position from among the plurality of tube positions;converting, using the computerized device, the first tube position into a three-dimensional first generator location within the steam generator;receiving the eddy current sensor within an interior of a second tube from among the plurality of tubes different from the first tube;robotically moving the eddy current sensor through the interior of the second tube along the longitudinal extent of the second tube;generating, with the eddy current sensor, a second number of data streams comprising a second eddy current signal at each of another plurality of tube positions along at least a portion of the longitudinal extent of the second tube;identifying, using the computerized device, in the second eddy current signal a second signal anomaly at a second tube position from among the another plurality of tube positions;converting, using the computerized device, the second tube position into a three-dimensional second generator location within the steam generator;determining, using the computerized device, that a loose part exists in the vicinity of the first and second generator locations based at least in part upon a determination that the first generator location and the second generator location are within a predetermined proximity of one another; anddetermining, using the computerized device, a degree of damage that has occurred to at least one tube of the plurality of tubes. 2. The method of claim 1, further comprising employing a first type of detection in the identifying of the first and second signal anomalies and, responsive to the determining that a loose part exists, performing a further analysis of at least the first and second tube positions with a second type of detection different from the first type of detection. 3. The method of claim 1, further comprising:identifying signal anomalies in alternative data streams of the first and second number of data streams contemporaneously generated with the first and second eddy current signals; andconfirming the existence of the loose part by:identifying, using the computerized device, from a first alternative data stream an alternative first signal anomaly at the first tube position along the first tube, andidentifying, using the computerized device, from a second alternative data stream the alternative second signal anomaly at the second tube position along the second tube. 4. The method of claim 1 further comprising detecting, using the computerized device, that the first signal anomaly comprises a signal change between a signal from the first eddy current signal with respect to the first tube position along the first tube and a signal from the first eddy current signal with respect to an adjacent tube position along the first tube. 5. The method of claim 4, further comprising ignoring the signal change when the signal change fails to meet a predetermined threshold. 6. The method of claim 4, further comprising ignoring the signal change when:at least one of the first tube position and the adjacent tube position is situated adjacent a tube sheet of the steam generator, andan additional number of streams indicate that a minority of the tubes among the plurality of tubes each possess a similar signal change at a similar location therein. 7. The method of claim 4, further comprising, when at least one of the first tube position and the adjacent tube position is situated adjacent a tube sheet of the steam generator:retrieving, using the computerized device, a historic signal change between a previous eddy current signal with respect to the first tube position along the first tube and a previous eddy current signal with respect to the adjacent tube position along the first tube;subtracting, using the computerized device, the historic signal change from the signal change to generate a net signal change; andemploying, using the computerized device, the net signal change as the first signal anomaly. 8. The method of claim 1, further comprising detecting, using the computerized device, that the first signal anomaly comprises a change between a signal from the eddy current signal with respect to the first tube position along the first tube and a previous eddy current signal with respect to the first tube position along the first tube. 9. A system operable to non-destructively detect a loose part within a steam generator of a nuclear power plant, the steam generator having a plurality of tubes, at least some of the tubes of the plurality of tubes each being elongated, the system comprising:an eddy current sensor configured to generate a number of data streams;a robotic arm configured to move the eddy current sensor;a processor; anda memory having stored therein one or more routines comprising instructions which, when executed, cause the processor to:command the robotic arm to move the eddy current sensor at a controlled rate through an interior of a first tube from among the plurality of tubes along the longitudinal extent of the first tube;receive, from the eddy current sensor, a first number of data streams comprising a first eddy current signal at each of a plurality of tube positions along at least a portion of the longitudinal extent of the first tube;identify, via the processor, in the first eddy current signal, a first signal anomaly at a first tube position from among the plurality of tube positions;convert, via the processor, the first tube position into a three-dimensional first generator location within the steam generator;command the robotic arm to move the eddy current sensor at a controlled rate through an interior of a second tube from among the plurality of tubes along the longitudinal extent of the first tube;receive, from the eddy current sensor, a second number of data streams comprising a second eddy current signal at each of another plurality of tube positions along at least a portion of the longitudinal extent of the second tube;identify, via the processor, in the second eddy current signal, a second signal anomaly at a second tube position from among the another plurality of tube positions;convert, via the processor, the second tube position into a three-dimensional second generator location within the steam generator; anddetermine, via the processor, that a loose part exists in the vicinity of the first and second generator locations based at least in part upon a determination that the first generator location and the second generator location are within a predetermined proximity of one another; anddetermine, via the processor, a degree of damage that has occurred to at least one tube of the plurality of tubes. 10. The system of claim 9, wherein the instructions which, when executed on a processor, further cause the computerized device to employ a first type of detection in the identifying of the first and second signal anomalies and, responsive to the determining that a loose part exists, instruct a further analysis of at least the first and second tube positions with a second type of detection different from the first type of detection. 11. The system of claim 9, wherein the instructions which, when executed on a processor, further cause the computerized device to:identify signal anomalies in alternative data streams of the first and second number of data streams contemporaneously generated with the first and second eddy current signals; andconfirm the existence of the loose part by:identifying from a first alternative data stream an alternative first signal anomaly at the first tube position along the first tube, andidentifying from a second alternative data stream the alternative second signal anomaly at the second tube position along the second tube. 12. The system of claim 9 wherein the instructions which, when executed on a processor, further cause the computerized device to detect that the first signal anomaly comprises a signal change between a signal from the first data stream with respect to the first tube position along the first tube and a signal from the first data stream with respect to an adjacent tube position along the first tube. 13. The system of claim 12, wherein the instructions which, when executed on a processor, further cause the computerized device to ignore a signal change that fails to meet a predetermined threshold. 14. The system of claim 12, wherein the instructions which, when executed on a processor, further cause the computerized device to:ignore the signal change when:at least one of the first tube position and the adjacent tube position k situated adjacent a tube sheet of the steam generator, andan additional number of streams indicate that a minority of the tubes among the plurality of tubes each possess a similar signal change at a similar location therein. 15. The system of claim 12, wherein the memory is further configured to store a historic signal change between a previous eddy current signal with respect to the first tube position along the first tube and a previous eddy current signal with respect to the adjacent tube position along the first tube, and wherein, when at least one of the first tube position and the adjacent tube position is situated against a tube sheet of the steam generator, the instructions further cause the computerized device to:retrieve the historic signal change between a previous eddy current signal with respect to the first tube position along the first tube and a previous eddy current signal with respect to the adjacent tube position along the first tube;subtract the historic signal change from the signal change to generate a net signal change; andemploy, the net signal change as the first signal anomaly. 16. The system of claim 9, wherein the instructions which, when executed on a processor, further cause the computerized device to detect that the first signal anomaly comprises a change between a signal from the eddy current signal with respect to the first tube position along the first tube and a previous eddy current with respect to the first tube position along the first tube.
050842358
summary
BACKGROUND OF THE INVENTION This invention relates to a direct cycle-type atomic power plant, fuel rods for the plant and a method for operating the plant. In a direct cycle-type nuclear reactor such as a boiling water nuclear reactor (BWR), an advanced thermal reactor (ATR), etc., a turbine is directly driven by the steam generated in the nuclear reactor by the heat of nuclear fission reaction in the core, and the oxygen atoms in the water molecules of nuclear reactor water, which will be hereinafter referred to as reactor water, are activated by neutron irradiation in the core, so that carry-over of the resulting radioactive nitrogen atoms .sup.16 N causes an increase in the dose rate in the turbine system. The increase in the dose rate due to .sup.16 N in the turbine system amounts to a few R/h in terms of surface dose rate of the main steam piping, but must be suppressed for the following two reasons. (1) Reduction of radiation exposure at the inspection during the nuclear reactor operation, and (2) Regulation of dose rate at the boundary of power plant site (sky-shine regulation). For these reasons, the upper part of a main steam piping 9 and a turbine 10 are shielded with a steel plate 14, as shown in FIG. 2, but a step for suppressing generation and emission of .sup.16 N in the nuclear reactor has not been taken yet, because their phenomena themselves have not been thoroughly studied. In atomic power plants where no steps for preventing stress corrosion crackings by use of sensitized stainless steel, as taken in Japanese nuclear reactors from the viewpoint of stress and materials, are not taken, another step for reducing the oxygen concentration of reactor water by hydrogen injection has been widely taken. In that case, there is such a problem that the .sup.16 N concentration of the main steam increases with an increasing rate of hydrogen injection, as shown in FIG. 3, which has been found through hydrogen injection tests of 7 atomic power plants. In some atomic power plants, no hydrogen injection can be carried out owing to the sky-shine regulation. Mechanism of increase in .sup.16 N through the hydrogen injection has not been clarified yet. For this reason, atomic power plants as disclosed in Japanese Patent Applications Kokai (Laid-open) Nos. 57-194,399 and 62-151,797 have been proposed. That is, Japanese Patent Application No. 57-194,399 proposes to provide an apparatus for removing N.sub.2, but .sup.16 N exists substantially not in the chemical form of N.sub.2, but in the form of NOx and entrained into the steam in this form. That is, the apparatus for removing N.sub.2 cannot remove .sup.16 N effectively. Japanese Patent Application Kokai (Laid-open) No. 62-151,797 proposes to provide an apparatus for injecting a N.sub.2 gas, but has such a disadvantage that the efficiency of isotope exchange reaction between .sup.14 NH and .sup.16 NH is not good. Steel plate shielding has been only a step for .sup.16 N in the turbine system, but has the following problems. (1) Energy of gamma rays emitted from .sup.16 N is as high as 6-7 MeV, and the necessary thickness of steel plates for the shielding amounts to about 150 mm. In other words, the total weight of steel plates covering the main steam piping and turbine proper amounts to a few hundred tons. That is, the turbine house itself must be in a structure that can support such a heavy weight and is also subject to a very severe design regulation from the viewpoint of earthquake and load resistances. (2) At the regular inspection of an atomic power plant, the main steam piping and the turbine are disassembled and subjected to repairing, if any, and the working for the disassembling and repairing is quite hard owing to such heavy shielding steel plates. (3) In case of hydrogen injection, the dose rate increases about 5 times the normal dose rate as shown in FIG. 3. When the dose rate is to be reduced by shielding, the turbine house itself must be sometimes in such a large structure as mentioned above owing to the heavy weight of the shielding steel plates. Thus, the step for reducing .sup.16 N dose rate by shielding is not satisfactory for the above reasons. SUMMARY OF THE INVENTION An object of the present invention is to reduce an absolute amount of .sup.16 N, as generated in the reactor water, to be transferred into the main steam system. This object can be attained by proving a means for suppressing transfer of radioactive nitrogen oxides, as generated by nuclear reaction in the nuclear reactor core of direct cycle-type, atomic power plant, from the reactor water into the steam. Furthermore, this object can be also attained in a direct cycle-type, atomic power plant comprising a nuclear reactor, a turbine, a condensate water-purifying equipment, a feed water heater and a reactor water-purifying apparatus as essential members, where (a) a means for injecting a hydrogen radical scavenger having an action to lower the hydrogen concentration through reaction with hydrogen atoms in the reactor water in order to convert radioactive nitrogen oxides in the reactor water to anions and (b) a means for injecting a hydrogen radical-generating agent for reducing and removing the hydrogen radical scavenger in excess in the reactor water are provided.
abstract
A system and a method for evaluating a lithography mask, the system may include: (a) electron optics for directing primary electrons towards a pellicle that is positioned between the electron optics and the lithography mask; wherein the primary electrons exhibit an energy level that allows the primary electrons to pass through the pellicle and to impinge on the lithographic mask; (b) at least one detector for detecting detected emitted electrons and for generating detection signals; wherein detected emitted electrons are generated as a result of an impingement of the primary electrons on the lithographic mask; and (c) a processor for processing the detection signals to provide information about the lithography mask.
abstract
The invention relates to nuclear technology, and to irradiation targets and their preparation. One embodiment of the present invention includes a method for preparation of a target containing intermetallic composition of antimony Ti—Sb, Al—Sb, Cu—Sb, or Ni—Sb in order to produce radionuclides (e.g., tin-117 m) with a beam of accelerated particles. The intermetallic compounds of antimony can be welded by means of diffusion welding to a copper backing cooled during irradiation on the beam of accelerated particles. Another target can be encapsulated into a shell made of metallic niobium, stainless steel, nickel or titanium cooled outside by water during irradiation. Titanium shell can be plated outside by nickel to avoid interaction with the cooling water.
061378534
claims
1. An inspection apparatus comprising: a stationary frame having a cutaway section and a centerline axis; a rotating frame having a cutaway section and rotatably mounted on said stationary frame, said rotating frame being rotatable about said centerline axis and comprising first and second linear rails arranged parallel to said centerline axis; carriage means which are translatable along said first and second linear rails, respectively; means for translating said carriage means along said first and second linear rails, respectively; and ultrasonic transducer means mounted on said carriage means, wherein said cutaway sections are aligned when said rotating frame is located in a predetermined angular position relative to said stationary frame. a stationary frame having a centerline axis; a rotating frame rotatably mounted on said stationary frame, said rotating frame being rotatable about said centerline axis; carriage means translatably mounted on said rotating frame; first pivotable means pivotably mounted on said carriage means, said first pivotable means being freely pivotable about a first pivot axis which lies in a generally radial direction; second pivotable means pivotably mounted on said first pivotable means, said second pivotable means being freely pivotable about a second pivot axis which lies perpendicular to said first pivot axis; and first ultrasonic transducer means coupled to said second pivotable means, whereby said first ultrasonic transducer means are freely pivotable about said first and second pivot axes. 2. The inspection apparatus as defined in claim 1, wherein said rotating frame is rotatably mounted on said stationary frame via a plurality of rollers. 3. The inspection apparatus as defined in claim 1, further comprising a section of a toothed ring gear which is securely mounted on said rotating frame, and first and second drive gears which are rotatably mounted on said stationary frame, each of said first and second drive gears having teeth which engage said toothed ring gear section when said cutaway sections are aligned, said rotating frame being rotatable 360.degree. around said centerline axis, the axes of rotation of said first and second drive gears being angularly spaced such that at least one of said first and second drive gears is in engagement with said toothed ring gear section at all times. 4. The inspection apparatus as defined in claim 3, further comprising an angular motion motor, an angular motion drive pulley coupled to said angular motion motor, first and second driven pulleys coupled to said first and second drive gears respectively, and first and second belts for coupling said first and second driven pulleys to said angular motion drive pulley, wherein said angular motion motor and said angular motion drive pulley are mounted on said stationary frame. 5. The inspection apparatus as defined in claim 1, wherein said carriage means comprise first and second linear carriages which are translatable along said first and second linear rails respectively. 6. The inspection apparatus as defined in claim 1, further comprising first hinge means coupled to said carriage means and having a first hinge axis which lies in a generally radial direction, said ultrasonic transducer means being freely pivotable about said first hinge axis. 7. The inspection apparatus as defined in claim 6, further comprising second hinge means having a second hinge axis which is perpendicular to said first hinge axis and to said centerline axis, and contour follower means coupled to said second hinge means, said contour follower means being freely pivotable about said second hinge axis and said second hinge axis being freely pivotable about said first hinge axis. 8. The inspection apparatus as defined in claim 7, further comprising third hinge means having a third hinge axis which is parallel to said second hinge axis, said third hinge means being pivotable about said second hinge axis and said ultrasonic transducer means being pivotable about said third hinge axis. 9. An inspection apparatus comprising: 10. The inspection apparatus as defined in claim 9, further comprising third pivotable means pivotably mounted on said second pivotable means, said third pivotable means being pivotable about a third pivot axis parallel to said second pivot axis, wherein said first ultrasonic transducer means are mounted on said third pivotable means and are pivotable about said third pivot axis. 11. The inspection apparatus as defined in claim 9, further comprising contour follower means pivotably mounted on said second pivotable means, said contour follower means being freely pivotable about said first and second pivot axes. 12. The inspection apparatus as defined in claim 11, wherein said contour follower means comprise a U-shaped frame pivotably mounted on said second pivotable means, and first and second rolling means rotatably mounted on said U-shaped frame. 13. The inspection apparatus as defined in claim 10, further comprising second ultrasonic transducer means mounted on said first pivotable means, whereby said second ultrasonic transducer means are pivotable about said first pivot axis but not said second pivot axis. 14. The inspection apparatus as defined in claim 10, wherein said rotating frame comprises first and second linear slide rails, and said carriage means comprise first and second linear slide carriages which are slidable along said first and second linear slide rails respectively. 15. The inspection apparatus as defined in claim 10, further comprising first drive means for rotating said rotating frame relative to said stationary frame, and second drive means for translating said carriage means relative to said rotating frame.
042630975
summary
The present invention is directed to the production, control and confinement of plasma in systems involving a generally toroidal plasma configuration, and more particularly is directed to the driving of a plasma current in such systems. Various apparatus has been developed for confining plasmas, which are ionized gases comprising approximately equal numbers of positively charged ions and free electrons at high temperatures. One general type of device for plasma confinement comprises an endless, closed tube, such as a toroid, with a geometrically co-extensive, externally imposed magnetic field (e.g., a toroidal magnetic field) in which magnetic lines of induction extend around the toroid generally parallel to its minor axis. Such a magnetic field is conventionally provided by electrical currents in one or more conductive coils encircling the minor axis of the toroid. Illustrative of such devices are the toroidal diffuse pinch plasma confinement devices of the Tokamak configuration, and such devices may be generally referred to hereinafter as tokamak devices or systems. The toroidal configuration may be advantageously employed with plasmas and plasma confinement systems of noncircular cross-section either with respect to planes perpendicular to the minor axis or the major axis such as those involving plasma configurations which are axisymmetrically elongated in a direction parallel to the major toroidal axis. In this connection, U.S. Pat. Nos. 3,692,626 and 3,801,438 illustrate plasma generation and confinement apparatus of the toroidal type having a noncircular cross-section in respect of a plane parallel to and intercepting the major toroidal axis. As previously indicated, toroidal systems for the containment of high-temperature plasmas comprise means for providing a strong, toroidal magnetic field in which the plasma is to be embedded, and which is conventionally provided by electrical current in one or more conductive coils encircling the minor toroidal axis. The term "axis" is used herein to include multiple axes or axial surfaces, such that reference to toroidal diffuse pinch systems may include such systems having a noncircular crosssection. Conventional toroidal plasma systems may also comprise means for providing a toroidal electric field to produce a current flowing in the plasma, generally in the direction of the minor axis, and this plasma current in turn may generate a magnetic field component which is poloidal (i.e., the magnetic flux lines are closed about the minor toroidal axis). The combination of the poloidal magnetic field produced by the plasma current, with the toroidal magnetic field produced by the toroidal coil current, is suitable for providing helix-like magnetic field lines that generally lie on closed, nested magnetic surfaces. The plasma is accordingly subjected to confining, constricting forces generated, at least in part, by the current flowing in the plasma. The resulting magnetic field provides for a diffused pinching force in the confining magnetic field which may be substantially greater than the outward pressure of the plasma. The generation of a current in the plasma may conventionally be provided by providing current in an inductive primary coil configured such that the plasma serves as the secondary coil of a transformer system. Such inductive current further provides for inductive, ohmic heating of the plasma. However, such inductive plasma current generation utilizes pulsed current in the primary winding, and can only sustain an ohmic current in the plasma for brief time periods before the peak current in the primary is reached and begins to decay. Such factors limiting the time duration of inductively produced plasma current are a substantial disadvantage and have the effect of limiting the performance and operating parameters of toroidal plasma systems. However, steady state operation of toroidal plasma systems is a recognized goal in the development of plasma technology and substantial effort in the art has been directed to non-inductive methods which might provide the capability for steady-state operation. Substantial effort has also been directed to methods for heating of plasmas. Techniques currently being considered for providing auxiliary heating in toroidal plasma apparatus include high energy neutral beam injection, radio frequency heating and bootstrap current. [T. Ohkawa, Nuclear Fusion 10, 185 (1970); Messjaen, et al., Nuclear Fusion 15, 75 (1975); D. Wort, Plasma Physics 13, 258 (1971); Kadomtsev, et al., Plasma Physics and Controlled Nuclear Fusion Research (Proc. 5th Int. Conf. Madison, 1971) 2 IAEA 110 (1971); Bickerton, et al., Nature, Phys. Science 229, 110 (1971); patents and papers referred to herein are hereby incorporated in this specification by reference]. Due to long-range electromagnetic interactions between charged particles and external electromagnetic fields, there exists a host of collective motions (waves) in the plasma [T. H. Stix, "The Theory of Plasma Waves," McGraw-Hill, New York (1962)]. The existence of these waves provide a means for coupling of external electromagnetic energy such as radio frequency (r-f) electromagnetic wage energy into the plasma. Various of the plasma waves which may have utilization in respect of plasma heating, in ascending frequency, are: alfven waves, ion cyclotron waves, lower hybrid waves, and electron cyclotron waves. In connection with Alfven wave plasma heating, for frequencies below the ion cyclotron frequency, .omega.<.omega..sub.ci, there are two modes with the dispersion relations EQU .omega..sup.2 =k.sub..perp..sup.2 V.sub.A.sup.2 EQU .omega..sup.2 =k.sup.2 V.sub.A.sup.2 where V.sub.A =.sqroot.B.sup.2 /4.pi.m.sub.i n.sub.i is the Alfven speed, k is the wave number and .perp. denotes the component perpendicular to the magnetic field, and m.sub.i, n.sub.i are the mass and density of the plasma ions. The plasma motion of the first mode is an incompressible shearing motion and the wave is called the shear Alfven waive or torsional Alfven wave. The plasma motion in the second mode is a compressional one with a phase velocity faster than both that of the shear mode and the sound speed. The wave is called a compressional Alfven wave or fast magnetosonic wave. For typical fusion grade plasmas, the frequency of the shear Alfven wave is less than 1.0 MHz and the vacuum wave length is the order of several meters. An r-f coupling structure may comprise coils surrounding the plasma and located inside the metallic vacuum vessel, in order to prevent shielding of the r-f field. In a confined plasma, the shear Alfven wave has a continuous spectrum for a given wave number which may be fixed by the coil structure. According to conventional theory, at the resonant layer x.sub.n where the driving frequency matches the local shear Alfven frequency .omega.=k.sub..parallel. V.sub.A X.sub.n (subscript .parallel. denotes the component parallel to the magnetic field phase mixing occurs and wave energy is damped [Chen and Hasgawa, Phys. Fluids 17, 1399 (1974); J. Tataronis, J. of Plasma Phys. 13, 87 (1975)]. Alfven wave heating mechanisms might presently be considered to potentially involve transient time magnetic pumping, electron Landau damping, ion viscous damping or some nonlinear process, but are not presently fully understood or fully utilized in plasma systems. The development of effective plasma heating systems utilizing Alfven wave interaction would provide for realization of potentially favorable characteristics of this form of heating, including the relatively low frequency of the waves and the conventional availability of relatively inexpensive power sources for this frequency range. Furthermore, losses between an r-f generator and the plasma can in principle be made very small (e.g., less than 10%) in respect of r-f-Alfven wave coupling. However, disadvantages of conventional Alfven wave utilization include the requirement for protection and cooling of the coils within the metallic vessel and possible large impurity production. Furthermore, because the frequency range is below the ion cyclotron frequency range, Alfven wave excitation may induce enhanced plasma loss. Conventional Alfven wave heating techniques have not been thoroughly tested on tokamaks, although low power experiments have been conducted. As the frequency .omega. approaches the ion cyclotron frequency .omega..sub.ci, the shear Alfven wave becomes an ion cyclotron wave with frequency .omega..sub.ci and is left-hand polarized (in the same sense of ion gyration). As .omega. increases beyond .omega..sub.ci, this wave disappears due to the ion cyclotron damping. On the other hand, the compressional mode has a high percentage of right-hand polarization and is only weakly damped by the ion cyclotron damping for frequencies at the ion cyclotron frequency and disappears only at the electron cyclotron resonance. In the frequency range above the ion cyclotron frequency, it can also heat electrons via transient time magentic pumping. Since the ion cyclotron wave is heavily damped and its propagation region is generally confined to the high magnetic field side of the resonance, it is not readily adapted for heating in tokamak type machines in which little space is available in the high field region. However, the compressional wave propagates around the torus. Experiments on the ST Tokamak at a frequency near the second harmonic 2.omega..sub.ci have demonstrated good heating, and similar results have also been obtained in Tokamak TO-1 with a loop exciter [Ivanov and Kovan, Proc. IAEA Conf. (Tokyo) Vol I (1974) p. 231]. Fast magnetosonic wave experiments are currently being carried out on the TFR Tokamak as well. For typical fusion grade plasma, the frequency may be in the 10 MHz range and the vacuum wavelength may be on the order of about a meter. The excitation structures in such a system may comprise coils surrounding the plasma or large wave guides with a size of one-half meter or so. Wave guide coupling is considered favorable as the wave guides can also be used as pumping ports. In a plasma with two ion species, the fast magnetosonic wave can also parameterically excite the two ion Buchsbaum modes [J. Adam et al., paper A3-2 in IAEA-CN-33 (1974)] which may result in nonlinear heating [Sperling and Perkins, Phys. Fluids 17, 1857 (1974)]. Favorable characteristics of this form of heating include the fact that the r-f power is available and not too expensive. The power may be fed into the plasma system by means of waveguides which might also be used for pumping ports. The method has been tested on ST Tokamak and the theoretically predicted eigenmodes were observed, with wave generation efficiencies of up to 90%. At .omega..sub.o =.omega..sub.ci, the ion temperature was doubled (.DELTA.T.sub.i =100 eV) with 20% heating efficiency. No deleterious effects on confinement due to the r-f field was observed. Even in the linear region, plasma heating is reasonably good and the physics of heating is simple. Disadvantages of conventional ion cyclotron wave heating proposals include the eigenmode frequency change as the density varies, requiring wave generation systems with sufficient bandwith to follow an eigengrequency. Moreover, as demonstrated in r-f experiments on the ST Tokamak, there may be significant production of impurities, (possibly resulting from the low field and low current required to obtain resonance for the ST Tokamak) and the heating of particles on ion "banana" orbits that hit the wall. While such problems may presumably be alleviated in larger tokamaks in which r-f heating might be specifically designed to heat only the plasma core, such systems have not been developed. The lower hybrid plasma wave has the dispersion relation ##EQU1## The lower hybrid wave is a slow electrostatic wave, and for a fusion grade plasma, its frequency made be in the range of a few GHz, which is the upper limit below which relatively inexpensive power systems are conventionally available. The vacuum wavelength may be in the range of 10 cm. In order to have access to the resonant region without first crossing a region where it becomes evanesent, the wave must have a wave number parallel to the field line satisfying the following relationship: ##EQU2## Therefore, properly-phased wave guides are required for the coupling [Brambilla, M., in "Symposium on Plasma Heating in Toroidal Devices," Varenna, Italy (1974) p. 113]. According to the linear theory the incident wave, traveling in the magnetized plasma with a gradually increasing density, will convert into a slow electrostatic mode in the vicinity of the lower hybrid resonant layer [Stix, T. H., Phys. Rev. Lett 15, 878 (1965); Piliya, A. D., and V. I. Fedorov, Sov. Phys. JEIP 33, 210 (1971), and 30, 653 (1970]. The converted, short-wavelength electrostatic mode may heat the plasma by either linear ion Landau damping or cyclotron damping, and nonlinear parametric processes may also be involved. In the nonlinear parametric processes, the incoming wave may parametrically excite a short-wavelength lower hybrid mode plus either a backward ion cyclotron wave, an ion Bernstein mode, or an ion quasi-mode [Sperling, J., and C. Chu, "Sherwood Annual Theory Meeting," Madison, Wis. (1976); Berger, R. L., and F. W. Perkins, Phys. Fluids 19, 406 (1976); Rogister, A., and G. Hasselberg, Phys. Fluids 19, 108 (1976); Porklab, M., Phys. Fluids 17, 1432 (1974)]. Such instabilities may lead to large internal electrical fields and anomalous heating of the plasma. The amount of energy fed into the electrons and ions depends upon the angle of propagation of the daughter waves. The electrons are heated in the parallel direction while ions are heated in the perpendicular directions. Similar results have been observed experimentally. [Kitsenko, A. B., et al., Nucl. Fusion 13, 557 (1973)], and recent results on the ATC and Alcator systems indicate plasma heating by nonlinear processes. The Tokamak FT-1 shows strong collisional absorption in the vicinity of the resonance with possible parametric heating for the ions [Golant, V. E., et al., Proc. of IAEA Conf. (Tokyo), Vol. I, (1974) p. 231]. Favorable characteristics of the lower hybrid resonance frequency are that the frequency is much higher than the ion cyclotron frequency, so that the field-induced diffusion may not be as detrimental as experiments might presently indicate. Moreover, the heating is localized and is suitable for profile control purposes. However, the accessibility condition is not completely understood at present. There are some indications that nonlinear effects could be important in wave propagation. However, heating by nonlinear processes is hard to control; the heating mechanism is not well understood, and resolution of uncertainties concerning the complex mechanisms involved by conventional lower hybrid resonance heating proposals will require additional experimental evidence and theoretical studies. In respect of conventional proposals for r-f plasma heating, it is recognized that the higher the frequency and the shorter the wavelength of the wave, the less deleterious it is on plasma confinement. High frequency waves with frequencies near the electron cyclotron frequency .omega..sub.ce or the second harmonic 2.omega..sub.ce, can be absorbed by electrons via electron cyclotron damping. These waves have a vacuum wavelength in the millimeter range. Conventional approaches for coupling and injection are relatively simple, and the effect of such waves on plasma confinement may be beneficial [Alikaev, V. V., et al., MATT-TRANS-120 (1976)]. Commercially available power sources at the indicated wavelengths are undesirably expensive for economical fusion grade plasma heating, but commercial development of high power, millimeter wavelength sources to provide high power, single tubes is presently being carried out. Radio frequency heating has various potential advantages over neutral beam injection techniques. The conversion rate of wave energy into thermal energy can potentially be made considerably larger than the slowing-down rate of energetic ion beams. Radio frequency heating is less sensitive to charge-exchange; therefore, impurity generation by high energy neutrals may be greatly reduced. Furthermore, the penetration of neutral beams is more difficult with increasing machine size and higher plasma density. While wave energy penetration may also be more difficult under such conditions, wave heating may be more flexibly chosen to heat the bulk or the tail of the distribution function. However, despite the potential advantages of r-f heating there are disadvantages with respect to various of the conventional radio frequency heating approaches, and new developments in radio frequency plasma heating technology would be desirable. Furthermore, such conventional r-f technology does not provide for the maintenance of a continuous plasma current for magnetic field generation.
047611278
claims
1. Apparatus for the encapsulation of harmful waste products, comprising a drum formed with axially compressible rolling rings, a charge chute for the delivery of wastes and an encapsulating material into the drum, a vibratable platform supporting the drum beneath the charge chute, an inflatable support means for the platform for lifting the drum against a surface at the bottom of the charge chute and for axially compressing the rolling rings by a predetermined amount to maintain the end of the drum in sealing engagement about the charge chute, and vibration means for vibrating the platform to agitate the contents of the drum but without breaking the seal at the charge chute due to the pre-compression of the rolling rings. 2. Apparatus accordiing to claim 1 in which the inflatable support comprises a plurality of pneumatic cushions beneath the platform and connected to a common compressed gas supply. 3. Apparatus according to claim 1 in which the vibration means comprises at least one vibrator secured to and mounted under the platform.
description
This application is based upon and claims the benefit of priority from Japanese Patent Application No. 2017-029944, filed on Feb. 21, 2017; the entire content of which is incorporated herein by reference. The embodiments of the present invention relate to an emergency core cooling system (ECCS) of a boiling water reactor (BWR) plant. In nuclear plant safety systems, a safety system which has both an active safety system and a passive safety system is called a hybrid safety system. Now an example of a safety system is explained referring to FIG. 12 (Refer also to Japanese Patent Application Publication 2008-281426 A). In this example, there are three active safety divisions each of which has at least one active safety system. A safety division in general is a space area that is separated from other safety divisions by physical separation walls to prevent incidents in the other divisions from affecting the concerned safety division in case of an assumed accident such as a fire or a flooding in a nuclear plant. Such an accident is assumed to take place for safety design of the plant. A safety division which has one or more active safety systems only is called an active safety division, while a safety division which has at least one passive safety system is called a passive safety division. <Explanation Relating to FIG. 12> In FIG. 12, each of the active safety divisions has: a high pressure core flooder system (HPCF) 1, a low pressure flooder system (LPFL) 2 which is shared with a residual heat removal system (RHR) 3, and an emergency diesel generator (EDG) 4d. Each of the emergency diesel generators (EDGs) 4d is cooled by a reactor coolant water system (RCW) and a reactor sea water system (RSW). There are three residual heat removal systems 3. Each of the three residual heat removal systems 3 is also cooled by a reactor coolant water system (RCW) and a reactor sea water system (RSW). There is only one passive safety division, which has an isolation condenser (IC) 5 and a passive containment cooling system (PCCS) 12. The high pressure core flooder system 1 is a high pressure water injection system which can inject water into the core at a high pressure (9 MPa, for example) that is sufficiently higher than a normal operational pressure in the reactor pressure vessel. The low pressure flooder system 2 is a low pressure water injection system which can inject water into the core only when the pressure in the reactor pressure vessel is lower than the normal operational pressure, at 2 MPa for example. The high pressure core flooder system 1 and the low pressure flooder system 2 in each of the active safety divisions has a 100% or more capacity required to cool the core in a loss of coolant accident (LOCA) that is a design basis accident (DBA). Each of the residual heat removal systems 3 in the active safety systems has a 100% or more capacity required to cool the reactor containment vessel in a loss of coolant accident that is a design basis accident. As a means for depressurization of the nuclear reactor, an automatic depressurization system (ADS) is also equipped, although it is not illustrated. The automatic depressurization system automatically opens a plurality of safety relief valves to depressurize the reactor at a small pipe break accident and so on. Conventional boiling water reactors are commonly equipped with an automatic depressurization system. There are three active safety divisions and three residual heat removal systems 3. Therefore, even when a loss of coolant accident is assumed to occur by a break of an injection pipe of the high pressure core flooder system 1 in the first active safety division and a single failure in the emergency diesel generator 4d in the second active safety division is assumed, and the emergency diesel generator 4d in the third active safety division is assumed to be in an on-line maintenance, the core can be cooled by the low pressure flooder system 2 in the first active safety division, and at the same time, the reactor containment vessel is cooled by the residual heat removal systems 3 in the first safety division. Such a capability of ensuring safety when two safety functions are lost in the active safety divisions due to a single failure and an on-line maintenance is called an N−2 (N minus 2) capability. In order to achieve the N−2 capability, in the example shown in FIG. 12, there are six active safety systems and three residual heat removal systems 3. By the way, capability where safety is ensured even when one of the active safety divisions fails to function due to a single failure is called an N−1 (N minus 1) capability. Each of the residual heat removal systems 3 requires a reactor coolant water system (RCW) and a reactor sea water system (RSW) as disclosed in Japanese Patent Application Publication 2005-201742 A, which results in many devices including motor-driven pumps and pipes. Each of the reactor coolant water systems requires two motor-driven pumps, and each of the reactor sea water systems also requires two motor-driven pumps. Thus, in the example shown in FIG. 12, there are six motor-driven pumps for the emergency core cooling system, while there are twelve motor-driven pumps for the secondary system. However, even when the number of the residual heat removal systems 3 is increased to three, reliability of the total system cannot be enhanced in proportion to the number of the sub-systems due to common mode failures of similar active systems. In addition, if all of the reactor coolant water systems and the reactor sea water systems are flooded by tsunami and fail to be activated as in the accident in Fukushima Daiichi Nuclear Power Plant, all the residual heat removal systems 3 of the primary system would fail to be activated. In general, cooling of a pump itself by air using an air fin cooler (AFC) is also a well known technique. However, in a case where many pumps are to be cooled and the heat exchangers of the residual heat removal systems 3 are to be simultaneously cooled, it was necessary to cool them by water using the reactor coolant water systems and the reactor sea water systems. Now a conventional passive containment cooling system is explained referring to FIGS. 13 to 16. <Explanation Relating to FIG. 13> FIG. 13 is an elevational cross-sectional view showing an example of a structure of a conventional passive containment cooling system. In FIG. 13, a core 101 is installed in a reactor pressure vessel (RPV) 102. The reactor pressure vessel 102 is contained in a containment vessel 30. The containment vessel 30 has a shape of a hollow cylinder (See also FIG. 14). The space inside the containment vessel 30 is divided into a dry well 40 that contains the reactor pressure vessel 102, and a wet well 50. The dry well 40 and the wet well 50 constitute part of the containment vessel 30. A suppression pool 60 is formed in the wet well 50, and a wet well gas phase 70 is formed above the suppression pool 60 in the wet well 50. The outer walls of the dry well 40 and the wet well 50 are united into a hollow cylindrical outer wall of the containment vessel 30. The top part of the dry well 40 is shaped in a flat plate and is called a top slab 40a of the dry well 40. Atmosphere in the containment vessel 30 for a boiling water reactor is replaced with nitrogen, so that oxygen concentration is limited at a low level there. The containment vessel 30 may be, for example, a steel containment vessel, a reinforced concrete containment vessel (RCCV), a pre-stressed concrete containment vessel (PCCV) or a steel concrete complex containment vessel (SCCV). In an RCCV and a PCCV, the inner surface of the vessel is lined with a steel liner, although it is not illustrated. FIG. 13 shows an example of an RCCV. As shown in FIG. 14, the outer wall of the RCCV is in shape of a circular hollow cylinder. The design pressure of the RCCV used in an advanced boiling water reactor (ABWR) is 310 kPa in gauge pressure. The reactor pressure vessel 102 is supported by a circular hollow cylindrical pedestal 91 via an RPV skirt 92 and an RPV support 93. The pedestal 91 may be made of steel, concrete or combination of them, for example. The space in the dry well 40, below the reactor pressure vessel 102 and surrounded by the cylindrical wall of the pedestal 91 is called a pedestal cavity 91a. In case of an RCCV of an advanced boiling water reactor, the cylindrical wall of the pedestal 91 forms a boundary dividing the wet well 50 and the dry well 40, and the space is specifically called a lower dry well 40b. A containment vessel lid 110 is provided above the reactor pressure vessel 102. The dry well 40 and the suppression pool 60 are connected by LOCA vent pipes 80. The number of the LOCA vent pipes 80 may be ten as shown in FIG. 14, for example, although only two of them are shown in FIG. 13. In case of an RCCV, the LOCA vent pipes 80 are placed within the cylindrical wall of the pedestal 91. Therefore, the cylindrical wall of the pedestal 91 is also called a vent wall. The vent wall is made of reinforced concrete with a thickness of about 1.7 m and has steel plates on the inner and outer surfaces. The LOCA vent pipes 80 and the pedestal 91 constitute part of the containment vessel 30. A plurality of vacuum breaker valves 90 are provided in order to return the gas in the wet well gas phase 70 back to the dry well 40. The number of the vacuum breaker valves 90 may be eight for example, although only one vacuum breaker valve 90 is shown in FIG. 13. The vacuum breaker valves 90 may be installed on the roof of the wet well 50 as shown in FIG. 13. Alternatively, the vacuum breaker valves 90 may be disposed on the side wall of the wet well 50 or on the LOCA vent pipes 80. The vacuum breaker valves 90 open when the pressure in the wet well 50 rises higher than the pressure in the dry well 40 and the differential pressure becomes larger than a preset differential pressure. The preset differential pressure may be, for example, about 2 psi (about 13.79 kPa). The vacuum breaker valves 90 constitute part of the containment vessel 30. A cooling water pool 13 of the passive containment cooling system 12 is provided outside of the containment vessel 30. Cooling water 14 is stored in the cooling water pool 13. The cooling water pool 13 may be of a tank type, as shown in FIG. 13, for example. Alternatively, the cooling water pool 13 may be of a pool type. In case of a pool-type cooling water pool, the top is covered with a lid. An exhaust pipe 15 is provided to exhaust steam out of the gas phase region above the water surface of the cooling water pool 13 to the environment. Optionally, a screen (not illustrated) as an insect protection may be disposed at the exit of the exhaust pipe 15. The cooling water pool 13 is typically disposed above the containment vessel 30, but may be alternatively disposed beside the containment vessel 30. A heat exchanger 16 is so arranged that at least part of the heat exchanger 16 is submerged in the cooling water 14 in the cooling water pool 13. Typically, a plurality of heat exchangers 16 are disposed, although only one heat exchanger 16 is shown in FIG. 13. The heat exchanger 16 includes an inlet plenum 17, an outlet plenum 18 and heat transfer tubes 19 (See FIG. 15). In the example shown in FIG. 13, only the heat transfer tubes are in the cooling water pool 13, and the inlet plenum 17 and the outlet plenum 18 (See FIG. 15) protrude out of the cooling water pool 13. Alternatively, the whole heat exchanger 16 including the inlet plenum 17 and the outlet plenum 18 may be submerged in the cooling water pool 13. A dry well gas supply pipe 20 is connected to the inlet plenum 17 for supplying gas in the dry well 40 to the inlet plenum 17. An end of the dry well gas supply pipe 20 is connected to the dry well 40. A condensate return pipe 21 and a gas vent pipe 22 are connected to the outlet plenum 18. An end of the condensate return pipe 21 is connected to inside of the containment vessel 30. The end of the condensate return pipe 21 is introduced into the LOCA vent pipe 80 in the example shown in FIG. 13. Alternatively, the end of the condensate return pipe 21 may be introduced into the dry well 40 or into the suppression pool 60. An end of the gas vent pipe 22 is introduced into the wet well 50, and the end is submerged in the suppression pool 60. The depth of the submerged end of the gas vent pipe 22 in the suppression pool 60 is set smaller than the depth of the top of the openings of the LOCA vent pipes 80 in the suppression pool 60. <Explanation Relating to FIG. 15> FIG. 15 is an elevational cross-sectional view of a heat exchanger of a passive containment cooling system of the prior art. Now, a structure of heat exchanger 16 of a passive containment cooling system 12 of the prior art is described referring to FIG. 15. As shown in FIG. 15, the outlet plenum 18 is disposed below the inlet plenum 17. Many U-shaped heat transfer tubes 19 are connected to a tube plate 23. Straight parts of the heat transfer tubes 19 extend horizontally. In FIG. 15, only two heat transfer tubes are illustrated out of much more heat transfer tubes 19 for simplicity of illustration. The cooling water 14 (See FIG. 13) is filled outside of the heat transfer tubes 19. The inlets of the heat transfer tubes 19 are open to the inlet plenum 17. The outlets of the heat transfer tubes 19 are open to the outlet plenum 18. The dry well gas supply pipe 20 is connected to the inlet plenum 17, through which mixture gas of nitrogen, hydrogen, steam and so on in the dry well 40 is supplied to the inlet plenum 17. The mixture gas is introduced into the heat transfer tubes 19. Then, the steam is condensed into condensate, which flows out to the outlet plenum 18 via the outlets of the heat transfer tubes 19, and then, accumulate in lower part of the outlet plenum 18. The condensate return pipe 21 is connected to the lower part of the outlet plenum 18. The condensate in the outlet plenum 18 returns to inside of the containment vessel 30 by gravity via the condensate return pipe 21. The gas vent pipe 22 is connected to upper part of the outlet plenum 18. Non-condensable gases such as nitrogen and hydrogen that have not condensed in the heat transfer tubes 19 are exhausted from the heat transfer tubes 19 and accumulate in the upper part of the outlet plenum 18. The end of the gas vent pipe 22 reaches the suppression pool 60. The non-condensable gases in the outlet plenum 18 flow through the gas vent pipe 22, push the pool water in the suppression pool 60 downward, and are vented in the pool water. Then, the non-condensable gases flow into the wet well gas phase 70. The venting of the non-condensable gases through the gas vent pipe 22 is conducted by the differential pressure passively when the pressure in the dry well 40 is higher than the pressure in the wet well gas phase 70. When the pool water in the suppression pool 60 is not boiling, the pressure in the dry well 40 is kept higher than the pressure in the wet well gas phase 70 due to the pressure of the steam generating in an accident in the dry well 40. In the conventional passive containment cooling system 12, if the differential pressure between the dry well 40 and the wet well gas phase 70 is not kept, the non-condensable gases cannot be vented, and the function of the passive containment cooling system 12 will be lost. That is because the non-condensable gases stay in the heat transfer tubes 19 and the steam in the dry well 40 cannot be introduced through the dry well gas supply pipe 20. Therefore, in order for the conventional passive containment cooling system 12 to function, it is required that the pressure in the dry well 40 is maintained to be higher than the pressure in the wet well gas phase 70 and the non-condensable gases in the heat transfer tubes 19 are vented through the gas vent pipe 22. The heat transfer tubes 19 are not limited to horizontal U-shaped type. In an alternative example, the heat transfer tubes 19 may be disposed with their straight tube parts extending vertically. The inlet plenum 17 is always positioned above the outlet plenum 18. Thus, the condensate condensed in the heat transfer tubes 19 is guided to the outlet plenum 18 by gravity. The horizontal-type heat exchanger 16 has an advantage in resistance to earthquake and in efficiency in utilizing the cooling water 14. The vertical-type heat exchanger has an advantage in drainage of the condensate. <Explanation Relating to FIG. 16> Now, operation of the conventional passive containment cooling system 12 in a loss of coolant accident is explained referring to FIG. 16. FIG. 16 is a graph showing an analytical result of the pressure in the containment vessel when a loss of coolant accident has occurred and water injection into the reactor by active safety system is continued while the conventional passive containment cooling system 12 is used for cooling the containment vessel. The depressurization valves (DPVs; not illustrated) are activated to supply more steam to the passive containment cooling system. Fundamental purpose of the depressurization valves is to forcefully make openings, through which the steam in the reactor pressure vessel is discharged to the dry well 40, in order to depressurize the reactor pressure vessel 102. The depressurization valves are installed in an ESBWR (Economic Simplified Boiling Water Reactor). The analyzed plant is an ABWR of 1,350 kWe class, and it is assumed that water is injected by only one low pressure flooder system in a loss of coolant accident. The design pressure (1 Pd) of the containment vessel of the ABWR is 410 kPa (in absolute pressure). The pressure in the containment vessel must be below the design pressure (1 Pd) in a loss of coolant accident of a design basis accident. However, the pressure in the reactor pressure vessel reaches the design pressure (1 Pd) about 12 hours after the start of the accident, and continues to rise to reach a pressure (2 Pd) of two times of the design pressure about 30 hours after the start of the accident, as shown in FIG. 16. In FIG. 16, the containment vessel vent is activated at that time, and the containment vessel is depressurized. If the containment vessel vent is not activated, the containment vessel may break. In this case, water injection into the reactor is conducted by the low pressure flooder system 2 of the active safety system, and cooling water 103 is supplied to the reactor pressure vessel 102, so that integrity of the core 101 is secured. The decay heat generated in the core 101 transfers to the cooling water 103, and enhances the temperature of the cooling water 103 (See FIG. 13). When cooling by the cooling water 103 is sufficiently conducted, the amount of the steam generated through the break opening 104 is suppressed and mainly high temperature water flows out from the break opening 104 as a break flow. Steam is discharged from the depressurization valves, and is condensed by the passive containment cooling system 12. However, only small part of the decay heat is cooled by the passive containment cooling system 12. Most of the decay heat is transferred to the high temperature cooling water 103, and the cooling water 103 flows out through the break opening 104 to the dry well 40. The flown out water then flows into the suppression pool 60 through the LOCA vent pipes 80. Most of the decay heat is transferred to the pool water in the suppression pool 60 because the high temperature cooling water flows into the suppression pool 60. As described above, the pool water in the suppression pool 60 is used for cooling the core 101 in a recirculating mode by the low pressure flooder system 2 that is an active safety system. As a result, the pool water in the suppression pool 60 is heated up by the decay heat that has been transferred by the high temperature water, and starts boiling several hours after the start of the accident. The pressure in the wet well 50 rises with time due to the saturated vapor pressure of the vapor generated in the wet well gas phase 70. In accordance with the pressure rise in the wet well 50, the pressure in the dry well 40 also rises. Because the depressurization valves are activated and high pressure steam is discharged to the dry well 40, the pressure in the dry well 40 is always kept higher than the pressure in the wet well 50. Therefore, the pressure in the dry well 40 rises in accordance with the pressure increase in the wet well 50. FIG. 16 shows the pressure in the dry well 40. Although the passive containment cooling system 12 functions, sufficient amount of steam corresponding to the decay heat is not supplied to the passive containment cooling system 12. Therefore, the pressure in the dry well 40 rises. On the contrary, if the depressurization valves are not activated, the pressure in the wet well gas phase 70 becomes higher than the pressure in the dry well 40 which is depressurized by the passive containment cooling system 12. Then, the nitrogen in the wet well gas phase 70 returns to the dry well 40 via the vacuum breaker valves 90. This nitrogen is one that had originally existed in the dry well 40 during normal operation. Then, as a loss of coolant accident happens, it has been discharged to the wet well 50 via the LOCA vent pipes 80 with the steam in the blowdown phase and being confined in the wet well gas phase 70. As a result, the atmosphere in the dry well 40 has been mainly occupied by steam, and the steam has been efficiently drawn and condensed by the conventional passive containment cooling system 12. However, when the nitrogen confined in the wet well gas phase 70 flows back into the dry well 40 via the vacuum breaker valves 90, the nitrogen is drawn to the heat exchanger 16 via the dry well gas supply pipe 20 with the steam in the dry well 40. The steam is cooled by the heat exchanger 16 and is condensed, while the nitrogen drawn into the heat exchanger 16 is not condensed and remains in the heat exchanger 16. Once the heat exchanger 16 is filled with the nitrogen, the steam cannot be drawn into the heat exchanger 16. At this moment, the conventional passive containment cooling system 12 loses the steam condensation function. In this case, the passive containment cooling system 12 does not function at all. As a result, the pressure in the containment vessel 30 may rise more rapidly. The cooling function of the conventional passive containment cooling system 12 cannot be recovered as the venting of the nitrogen filling the heat exchanger 16 is limited. That is because the nitrogen filling the heat exchanger 16 cannot be vented to the wet well 50 via the gas vent pipe 22, since the pressure in the wet well gas phase 70 is higher than the pressure in the dry well 40 when the pool water in the suppression pool 60 is boiling. Therefore, even if a conventional passive containment cooling system 12 is installed, when the emergency core cooling system is used which cools the core with an active safety system, the containment vessel 30 cannot be cooled, and the deterioration of reliability of the residual heat removal systems 3 due to common cause failures could not be compensated. As described above, the conventional passive containment cooling system 12, in which the gas vent pipes 22 are led to the wet well 50, cannot cool the containment vessel while water injection into the reactor by the active safety systems is conducted in a loss of coolant accident. In other words, the conventional passive containment cooling system 12 cannot be used as a system for removing heat in a loss of coolant accident in a nuclear reactor where active safety systems are used. By the way, if the gravity-driven core cooling system (GDCS) of a passive safety system is used in a loss of coolant accident (in an ESBWR, for example), the flow rate of cooling water injected into the reactor in the circulation mode is the minimum flow rate required to remove the decay heat of the core. Therefore, the steam equivalent to all of the supplied cooling water (or the steam corresponding to the decay heat) flows out through the break opening and the depressurization valves. Thus, the steam equivalent to the decay heat is supplied to the passive containment cooling system, and containment vessel cooling can be conducted by the conventional passive containment cooling system. <Explanation Relating to FIG. 17> Now, the structure of the emergency core cooling system of an ABWR, which is a representative example of a conventional BWR with active safety systems is explained. As shown in FIG. 17, the emergency core cooling system of a conventional ABWR has three active safety divisions. Each of the active safety divisions has: a low pressure flooder system (LPFL) 2 which is commonly used in a residual heat removal system (RHR) 3, and an emergency diesel generator (EDG) 4d which supplies power source for the active safety systems. The residual heat removal systems 3 are cooled by the reactor coolant water systems (RCWs) and the reactor sea water systems (RSWs). The emergency diesel generators (EDGs) 4d are also cooled by the reactor coolant water systems (RCWs) and the reactor sea water systems (RSWs). In addition, a reactor core isolation cooling system (RCIC) 11 is installed in the first safety division. The reactor core isolation cooling system 11 does not require an emergency diesel generator (EDG) 4d for operation because the reactor core isolation cooling system 11 is driven by its turbine using the steam in the reactor as a source of power. The reactor core isolation cooling system 11 does not require cooling by the reactor coolant water system, either. Therefore, the reactor core isolation cooling system 11 can cool the core even in a station blackout. A reactor core isolation cooling system is installed also in previous BWRs than the ABWR. In the previous BWRs than the ABWR, the reactor core isolation cooling system is a non-safety system, and is installed in a non-safety division. The reactor core isolation cooling system can inject water into the reactor pressure vessel when the reactor is in high pressure (about 9 MPa, for example) to low pressure (about 1 MPa, for example). In the ABWR, the reactor core isolation cooling system has also a function of an emergency core cooling system. Therefore, the reactor core isolation cooling system is installed in the first safety division of the emergency core cooling system. In addition, a high pressure core flooder system (HPCF) 1 is installed in each of the second and third safety divisions. Thus, the high pressure systems of the emergency core cooling system of the ABWR consists of three high pressure systems that includes one reactor core isolation cooling system 11 and two high pressure core flooder systems 1. The low pressure system also consists of three low pressure systems that are three low pressure flooder systems 2. The core can be kept flooded with those in a loss of coolant accident. The loss of coolant accident as a design basis accident is a break of a pipe in the high pressure core flooder system 1 in the second safety division, for example. Even if a single failure of an emergency diesel generator (EDG) 4d in the third safety division is assumed in the case, water injection is started from a high pressure condition of the reactor pressure vessel 102 by the reactor core isolation cooling system 11 in the first safety division. After the reactor pressure vessel 102 is depressurized, water can be injected by the low pressure flooder system 2 in the first safety division and the low pressure flooder system 2 in the second safety division. As explained above, the core 101 is kept flooded by initiating water injection into the reactor pressure vessel 102 by the reactor core isolation cooling system 11 from the high pressure condition of the reactor pressure vessel 102. If water injection by the reactor core isolation cooling system 11 was not conducted, start of water injection by the low pressure flooder system 2, which is activated after depressurization in the reactor pressure vessel 102, would be delayed, and upper portion of the core 101 would be uncovered partially. However, even in that case, it is so designed that maximum temperature of the fuel claddings would be well below 1,200 degrees Celsius (specifically, about 900 degrees Celsius, for example). In addition, an automatic depressurization system (ADS) as a means for depressurizing the reactor is installed, although it is not illustrated. The automatic depressurization system automatically opens a plurality of safety relief valves in order to depressurize the reactor, when a small diameter pipe break accident etc. has occurred. The automatic depressurization system is installed commonly in conventional boiling water reactors. <Explanation Relating to FIG. 18> Now, a conventional air-cooled injection system (AIS) 6 is explained referring to FIG. 18. As shown in FIG. 18, a conventional air-cooled injection system 6 has a motor-driven pump 6a, a suction pipe 6c, an injection pipe 6b, an air fin cooler (AFC) 61, a circulation pump 65, and a circulation pipe 66. The structures of the motor-driven pump 6a, the suction pipe 6c, and the injection pipe 6b are the same as those of a conventional motor-driven active emergency core cooling system. The air fin cooler 61 is an air-cooled heat exchanger, and has a tube bundle 62 of cooling tubes 62a and a fan 63. Cooling water flows in the cooling tubes 62a, and external air 68 driven by the fan 63 is blown to the tube bundle 62. Fins are attached to the cooling tubes 62a in order to enhance heat removal efficiency. The fan 63 is rotated by an electric motor 64. The tube bundle 62 is fixed and supported by a support structure 67. The cooling water cooled by the air fin cooler 61 flows in the circulation pipe 66 driven by the circulation pump 65. The cooling water flows in the motor-driven pump 6a and cools the motor-driven pump 6a, and then, is led to the tube bundle 62 of the air fin cooler 61 via the circulation pipe 66. The cooling water is cooled again there. In a loss of coolant accident, the decay heat generated in the core 101 heats up the break flow, and the break flow heats up the pool water in the suppression pool 60 because the break flow goes to the suppression pool 60 via the LOCA vent pipes 80. Since the conventional air-cooled injection system 6 uses the high temperature pool water drawn from the suction pipe 6c as a water source, temperature inside of the motor-driven pump 6a is also raised. Therefore, mechanical seal part, which is a sliding part, needs to be cooled by cooling water from the air fin cooler 61. The motor-driven pumps of the ordinary emergency core cooling system are cooled by cooling water supplied from the reactor coolant water systems. In case of the air-cooled injection system 6, the air fin cooler 61 is used instead of the reactor coolant water systems. The air fin cooler 61 has an advantage that a reactor sea water system is not required that is required in case of a reactor coolant water system, because the air fin cooler 61 discharges heat directly to the external air. Therefore, power source for the air-cooled injection system 6 is generally a gas turbine power generator that does not require cooling by the reactor coolant water system. In some cases, the power source for the air-cooled injection system 6 is an air-cooled diesel generator (air-cooled DG) that also does not require cooling by the reactor coolant water system. In such a case, the air-cooled DG is cooled by the air fin cooler 61. The air fin cooler 61 is usually installed on the roof of a building because the external air is taken in and exhausted. Alternatively, the air fin cooler 61 may be installed inside a building, with external air flow passages secured for taking in and for exhausting external air. In a conventional hybrid safety system, a residual heat removal system is provided in each of the active safety divisions. However, there was a problem that each of the residual heat removal systems requires a reactor coolant water system and a reactor sea water system as secondary systems, so that it requires a lot of constituting devices such as motor-driven pumps and pipes, as disclosed in Japanese Patent Application Publication 2005-201742 A. That results in a lot of constituting devices depending on the number of the active safety divisions, in a case of conventional hybrid safety system with three or more active safety divisions. Basically, it is preferable that the number of the active safety divisions is increased for improving safety. If a single failure is considered, at least two active safety divisions are required. However, if the number of the active safety divisions was increased to three or more, the number of the reactor coolant water systems and the number of the reactor sea water systems would increase, and the amount of the devices would increase, which would be a problem. In addition, even if the number of residual heat removal systems is increased, total reliability is not improved much due to common mode failures of the same kind of active devices, which is another problem. Furthermore, the reactor sea water system is fragile against giant earthquakes and large tsunami. If the reactor sea water systems lose their function due to the giant earthquakes or large tsunami, the residual heat removal systems would also lose their function, which is yet another problem. In the conventional passive containment cooling system, in a loss of coolant accident, the containment vessel cannot be cooled if the active emergency core cooling system is activated, and the limit of reliability of the residual heat removal systems due to the common cause failures cannot be compensated. An object of the embodiments of the present invention is to improve reliability of cooling the containment vessel in a loss of coolant accident in a nuclear plant with three or more active safety divisions while reducing the number of components of residual heat removal systems, the reactor coolant water systems and the reactor sea water systems. According to an embodiment, there is presented an emergency core cooling system for a boiling water reactor plant, the plant including: a reactor pressure vessel containing a core a containment vessel having: a dry well containing the reactor pressure vessel, a wet well containing a suppression pool in a lower part thereof, and a wet well gas phase in an upper part thereof, a LOCA vent pipe connecting the dry well and the suppression pool, an outer well disposed outside of the dry well and the wet well, adjacent to the dry well via a dry well common wall, and adjacent to the wet well via a wet well common wall, and a scrubbing pool storing water, disposed in the outer well, the emergency core cooling system comprising: at least three active safety divisions each including only one motor-driven active safety system; at least one passive safety division each including a passive safety system that does not require any electric motors; an emergency power source disposed in each of the active safety divisions to supply electric power to the motor-driven active safety system; and an advanced passive containment cooling system disposed in the passive safety division including a gas vent pipe, leading end of the gas vent pipe being submerged in water in the scrubbing pool, the advanced passive containment cooling system being configured to be able to cool the containment vessel when a loss of coolant accident has occurred and the active safety systems are activated, wherein only two active safety divisions out of the at least three active safety divisions each includes a low pressure flooder system that is commonly used with a residual heat removal system as the only one motor-driven active safety system, the active safety divisions except for the only two active safety divisions, each of which includes the low pressure flooder system that is commonly used with the residual heat removal system, includes an air-cooled injection system as the only one motor-driven active safety system, and the advanced passive containment cooling system includes: a cooling water pool for storing cooling water, the cooling water pool being disposed outside the containment vessel; a heat exchanger including an inlet plenum, an outlet plenum and heat transfer tubes, at least part of the heat exchanger being submerged in the cooling water in the cooling water pool; a gas supply pipe connected to the inlet plenum of the heat exchanger at one end and to a gas phase part of the containment vessel at another end, the gas supply pipe being configured to guide gases in the containment vessel into the heat exchanger; a condensate return pipe connected to the outlet plenum of the heat exchanger at one end and to the containment vessel at another end, the condensate return pipe being configured to guide condensate in the heat exchanger into the containment vessel; and a gas vent pipe connected to the outlet plenum of the heat exchanger at one end and submerged in the scrubbing pool in the outer well at another end, the gas vent pipe being configured to vent non-condensable gases in the heat exchanger into the outer well. According to another embodiment, there is presented a boiling water reactor plant comprising: a core; a reactor pressure vessel containing the core; a containment vessel having: a dry well containing the reactor pressure vessel, a wet well containing a suppression pool in a lower part thereof, and a wet well gas phase in an upper part thereof, a LOCA vent pipe connecting the dry well and the suppression pool, an outer well disposed outside of the dry well and the wet well, adjacent to the dry well via a dry well common wall, and adjacent to the wet well via a wet well common wall, and a scrubbing pool storing water, disposed in the outer well; and an emergency core cooling system including: at least three active safety divisions each including only one motor-driven active safety system; at least one passive safety division each including a passive safety system that does not require any electric motors; an emergency power source disposed in each of the active safety divisions to supply electric power to the motor-driven active safety system; and an advanced passive containment cooling system disposed in the passive safety division including a gas vent pipe, leading end of the gas vent pipe being submerged in water in the scrubbing pool, the advanced passive containment cooling system being configured to be able to cool the containment vessel when a loss of coolant accident has occurred and the active safety systems are activated, wherein only two active safety divisions out of the at least three active safety divisions each includes a low pressure flooder system that is commonly used with a residual heat removal system as the only one motor-driven active safety system, the active safety divisions except for the only two active safety divisions, each of which includes the low pressure flooder system that is commonly used with the residual heat removal system, each includes an air-cooled injection system as the only one motor-driven active safety system, and the advanced passive containment cooling system includes: a cooling water pool for storing cooling water, the cooling water pool being disposed outside the containment vessel; a heat exchanger including an inlet plenum, an outlet plenum and heat transfer tubes, at least part of the heat exchanger being submerged in the cooling water in the cooling water pool; a gas supply pipe connected to the inlet plenum of the heat exchanger at one end and to a gas phase part of the containment vessel at another end, the gas supply pipe being configured to guide gases in the containment vessel into the heat exchanger; a condensate return pipe connected to the outlet plenum of the heat exchanger at one end and to the containment vessel at another end, the condensate return pipe being configured to guide condensate in the heat exchanger into the containment vessel; and a gas vent pipe connected to the outlet plenum of the heat exchanger at one end and submerged in the scrubbing pool in the outer well at another end, the gas vent pipe being configured to vent non-condensable gas in the heat exchanger into the outer well. According to yet another embodiment, there is presented a boiling water reactor plant comprising: a core; a reactor pressure vessel containing the core; a containment vessel; and an emergency core cooling system configured to be able to cool at least one of the containment vessel or the core, wherein the emergency core cooling system includes: at least three active safety divisions each including only one motor-driven active safety system, and at least one passive safety division each including a passive safety system that does not require any electric motors, only two of the at least three active safety divisions each includes a low pressure flooder system as the only one motor-driven active safety system, the active safety divisions except for the only two active safety divisions, each of which includes the low pressure flooder system each includes an air-cooled injection system as the only one motor-driven active safety system, and the at least one passive safety division each includes an advanced passive containment cooling system that is configured to be able to cool the containment vessel when the active safety system is activated. Specific embodiments of the present invention are now described referring to FIGS. 1 to 11. In those drawings, same or similar parts of those in FIGS. 12 to 18 are represented by the same reference numerals, and some repetitive explanation will be omitted. Now, a first embodiment is explained referring to FIGS. 1 to 4. <Explanation Relating to FIG. 1> FIG. 1 is an explanatory drawing showing a total structure of a first embodiment of an emergency core cooling system according to the present invention. The emergency core cooling system (ECCS) of the present embodiment is constituted by first to fourth safety divisions. The first to third safety divisions are active safety divisions. The fourth safety division is a passive safety division which has a passive emergency core cooling system. In each of the first and second active safety divisions, a low pressure flooder system (LPFL) 2 as only one motor-driven low pressure core cooling system, a residual heat removal system (RHR) 3 as a residual heat removal system which commonly uses part of pumps and pipes with the low pressure flooder system 2, and an emergency power source (EPS) 4 which supplies electric power to the low pressure flooder system 2 and the residual heat removal system 3. Since part of the low pressure flooder system 2 and the residual heat removal system 3 share some pumps and part of the pipes, it is shown as “LPFL 2/RHR 3” in FIG. 1. In addition, an automatic depressurization system (ADS) is installed as means for depressurization of the reactor, although it is not illustrated. The automatic depressurization system is a system for automatically opening a plurality of safety relief valves in a case of a small diameter pipe break accident and so as to depressurize the reactor. The automatic depressurization system is commonly installed also in a conventional boiling water reactor. Each of the low pressure flooder systems 2 has a 100% water injection capacity required to cool the core at least in a loss of coolant accident (LOCA) of a design basis accident (DBA). That is, the low pressure flooder system 2 can cool the core safely in a loss of coolant accident of a design basis accident under the specified operation pressure (less than 2 MPa, for example) with only one system in operation. The heat removal capacity of the residual heat removal system 3 is at least 100% heat removal rate required to cool the core and the containment vessel in a design basis accident with only one system in operation. That means that a residual heat removal system 3 in at least one active safety system division has to be operated in order to secure 100% heat removal capacity required to cool the core and the containment vessel in a design basis accident. An air-cooled injection system (AIS) 6 and an emergency power source 4 for supplying electric power to the air-cooled injection system 6 are installed in the third active safety division, as only one motor-driven emergency core cooling system. The motor-driven pump 6a in the air-cooled injection system 6 is cooled by an air fin cooler 61 (See FIG. 18). The air-cooled injection system 6 has at least 100% injection flow rate required in a loss of coolant accident of a design basis accident, for example. The emergency power source 4 may have a 2×50% capacity instead of 1×100% capacity. That is, the emergency power source 4 may include two small size emergency power source units. The low pressure flooder system 2 may be replaced by other types of motor-driven low pressure emergency core cooling systems. In addition, in the fourth safety division, where a passive emergency core cooling system is installed, an isolation condenser (IC) 5 and an advanced passive containment cooling system (APCCS) 8 are installed. The isolation condenser 5 and the advanced passive containment cooling system 8 have a common cooling water source, which stores a large amount of water (5000 m3, for example) sufficient for removing decay heat for seven days, for example. In the first embodiment, the emergency power sources 4 may be emergency diesel power generators (EDGs), gas turbine power generators (GTGs) (See FIG. 6), or air-cooled diesel generators (air-cooled DGs). The air-cooled injection system 6 may be of the same type as the conventional air-cooled injection system 6 explained above referring to FIG. 18, or may be a low-pressure air-cooled injection system (LAIS) (See FIG. 5, etc.) or of a high-pressure air-cooled injection system (HATS) (See FIG. 6, etc.). <Explanation Relating to FIG. 2> Now, an advanced passive containment cooling system (APCCS) 8 which is used in the first embodiment is described referring to FIG. 2. The containment vessel 30 is constituted with a drywell 40, a wet well 50, and an outer well 32. The outer walls of the dry well 40 and the wet well 50 are integrated in a single hollow cylindrical wall. The atmosphere inside the dry well 40 and the wet well 50 is replaced with nitrogen. The dry well 40 and the wet well 50 constitute part of the containment vessel, and have pressure resistance and gas tightness. The outer well 32 is disposed outside of the dry well 40 and the wet well 50. The outer well 32 is disposed adjacent to the dry well 40 via a dry well common wall 40c, and adjacent to the wet well 50 via a wet well common wall 50a. The top of the outer well 32 is in a flat plate shape and is called a top slab 32a. The atmosphere in the outer well 32 is replaced with nitrogen. The outer well 32 constitutes part of the containment vessel 30, and has pressure resistance and gas tightness. The design pressure of the containment vessel 30 including the outer well 32 is, for example, 310 kPa (gauge pressure). In the example shown in FIG. 2, the outer well 32 is disposed on one side of the dry well 40 and the wet well 50. Alternatively, a circular cylindrical outer well 32 may cover all over the dry well 40 and the wet well 50 which are circular cylindrical. Such an example is disclosed in Japanese Patent Application Publication 2012-117821 A. The containment vessel 30 may be, for example, a steel containment vessel, a reinforced concrete containment vessel (RCCV), a pre-stressed concrete containment vessel (PCCV) or a steel concrete complex containment vessel (SCCV). In an RCCV and a PCCV, the inner surface of the vessel is lined with a steel liner. FIG. 2 shows an example of an SCCV. The heat exchanger 16 of the advanced passive containment cooling system (APCCS) 8 is installed submerged in the pool water 84 of the APCCS pool 83. The APCCS pool 83 is disposed outside of the containment vessel 30. One end of the gas vent pipe 82 is connected to the upper part of the outlet plenum 18 of the heat exchanger 16, and the other end of the gas vent pipe 82 is submerged in the water in a scrubbing pool 33 which is disposed in the outer well 32. The gas vent pipe 82 extends in the outer well 32. One end of the dry well gas supply pipe 20 is opened in the dry well 40, and the other end of the dry well gas supply pipe 20 is connected to the inlet plenum 17 of the heat exchanger 16. The dry well gas supply pipe 20 extends in the outer well 32. The dry well gas supply pipe 20 guides gases in the dry well 40 into the inlet plenum 17 of the heat exchanger 16. In the present embodiment, an isolation valve 20a is installed on the dry well gas supply pipe 20. In the case shown in FIG. 2, the isolation valve 20a is closed during a normal operation. In this case, the isolation valve 20a is opened by a signal in an accident. The signal may be a manual open signal or an accident open signal. The accident open signal may be triggered by a high dry well pressure signal or a high dry well temperature signal. The isolation valve 20a is optional and may be omitted. One end (first end) of the wet well gas supply pipe (gas supply pipe) 48 is opened in a wet well gas phase 70, and the other end (second end) of the wet well gas supply pipe 48 is connected to the dry well gas supply pipe 20 between the isolation valve 20a and the inlet plenum 17. Alternatively, the second end of the wet well gas supply pipe 48 may be directly connected to the inlet plenum 17 of the heat exchanger 16. The wet well gas supply pipe 48 extends in the outer well 32. The wet well gas supply pipe 48 guides gases in the wet well gas phase 70 into the inlet plenum 17 of the heat exchanger 16. A check valve 49 is installed on the wet well gas supply pipe 48. The check valve 49 checks reverse flow in the wet well gas supply pipe 48 from the dry well 40 to the wet well gas phase 70. The check valve 49 is optional and may be omitted when the isolation valve 20a is installed. Although both the dry well gas supply pipe 20 and the wet well gas supply pipe 48 are installed in FIG. 2, alternatively, only one of them may be installed (See FIG. 3). One end of the condensate return pipe 81 is connected to lower part of the outlet plenum 18 of the heat exchanger 16, and the other end of condensate return pipe 81 is opened in the containment vessel 30. The condensate return pipe 81 extends in the outer well 32. The condensate return pipe 81 returns condensate in the outlet plenum 18 back to the containment vessel 30. Although the condensate return pipe 81 returns condensate into the wet well 50 in the illustrated example, alternatively, the condensate return pipe 81 may return the condensate into the dry well 40. The structures of the heat exchanger 16, the inlet plenum 17, the outlet plenum 18 and the heat transfer tubes 19 are the same as those of the conventional passive containment cooling system shown in FIG. 15. <Explanation Relating to FIG. 3> Now, a modified example of an advanced passive containment cooling system (APCCS) 8 which is used in the first embodiment is described referring to FIG. 3. In the example shown in FIG. 3, the dry well gas supply pipe 20 is installed, but the isolation valve 20a (FIG. 2) is not installed. Neither the wet well gas supply pipe 48 nor the check valve 49 (FIG. 2) are installed. In this example, the gases in the wet well gas phase 70 flow into the dry well 40 through the vacuum breaker valves 90, and flow into the inlet plenum 17 of the heat exchanger 16 together with the gases in the dry well 40 through the dry well gas supply pipe 20. In a loss of coolant accident, when an active safety system injects water into the reactor, the containment vessel 30 can be cooled (See FIG. 4) by the advanced passive containment vessel cooling system 8 shown in FIG. 2 or 3. Even if nitrogen flows into the heat exchanger 16, the nitrogen can be vented out to the outer well 32 by the differential pressure between the heat exchanger 16 and the outer well 32. Thus, even if the pool water in the suppression pool 60 boils, the steam generated in the suppression pool 60 is guided to the heat exchanger 16 and is condensed therein. In the structure shown in FIG. 2, the steam in the wet well gas phase 70 is guided to the heat exchanger 16 via the wet well gas supply pipe 48. In the structure shown in FIG. 3, the steam in the wet well gas phase 70 flows into the dry well 40 via the vacuum breaker valves 90, and then, to the heat exchanger 16 via the dry well gas supply pipe 20. In both cases, nitrogen flown into the heat exchanger 16 with steam is vented to the outer well 32 via the gas vent pipe 82. Thus, the advanced passive containment cooling system 8 can continuously condense the steam in the containment vessel 30 and cool the containment vessel 30. Thus, in the advanced passive containment cooling system 8, the tip of the gas vent pipe 82 is submerged in the water in the scrubbing pool 33, and the nitrogen can be vented in the outer well 32. <Explanation Relating to FIG. 4> Now, referring to FIG. 4, calculated results of the pressure in the containment vessel 30 in a loss of coolant accident is explained. In this case, the containment vessel 30 is cooled using the advanced passive containment cooling system 8 while water injection in the reactor is continued by active safety systems. The plant is an ABWR of 1350 MWe power output class. In a loss of coolant accident, the containment vessel 30 is cooled using the advanced passive containment cooling system 8 while water is injected into the reactor by the air-cooled injection system 6. The capacity of the air-cooled injection system 6 is assumed to be the same as the capacity of the low pressure flooder system 2. The result of FIG. 4 shows that the pressure in the containment vessel 30 is sufficiently lower than the design pressure Pd, and the containment vessel 30 is sufficiently cooled by the advanced passive containment cooling system 8. That is, the advanced passive containment cooling system 8 functions as a residual heat removal system in a loss of coolant accident of a reactor which uses an active safety system. The decay heat of the core 101 is transferred to the pool water in the suppression pool 60 by the injected water of the air-cooled injection system 6 and the break flow, and the generated steam is condensed by the advanced passive containment cooling system 8. Thus, the containment vessel 30 is cooled by the advanced passive containment cooling system 8. The core 101 is cooled by the air-cooled injection system 6, and the decay heat of the core 101 is ultimately removed by the advanced passive containment cooling system 8. Therefore, even if the containment vessel 30 is not cooled by the residual heat removal system 3, integrity of the core 101 and the containment vessel 30 is secured by the air-cooled injection system 6 and the advanced passive containment cooling system 8 in a loss of coolant accident. The major difference between the first embodiment and the prior art is that, in the first embodiment, the number of the residual heat removal systems 3 is reduced to the minimum of two while the number of the active safety divisions is three. As a result, the number of the reactor coolant water systems and the number of the reactor sea water systems both are reduced to the minimum of two. On the other hand, the containment vessel 30 is cooled by the advanced passive containment cooling system 8 in a loss of coolant accident, so that the reliability of cooling of the containment vessel 30 is much improved compared with the hybrid safety system (FIG. 12) which uses conventional passive containment cooling system 12. For example, in the hybrid safety system (FIG. 12), even if the conventional passive containment system 12 is used together with the emergency core cooling system of the active safety systems in a loss of coolant accident, the containment vessel 30 cannot be cooled (See FIG. 16). Therefore, in order to satisfy the N−2 safety criterion which takes into a single failure and an on-line maintenance, three residual heat removal systems 3, three reactor coolant water systems, and three sea water systems are required. Since each of the reactor coolant water systems and the sea water systems installs active components redundantly, fifteen pumps are needed in total. However, there is a risk of losing the entire function of the three systems due to common cause failures. Specifically, there is a risk of entire loss of function of all of the systems at the same time caused by a giant earthquake or a large tsunami, because the sea water is used as the ultimate heat sink. On the contrary, in the first embodiment, as for function of the residual heat removal, even a single failure in the residual heat removal system 3 in the first safety division and an on-line maintenance in the residual heat removal system 3 in the second safety division are considered in a loss of coolant accident, the containment vessel 30 is cooled by the advanced passive containment cooling system 8. Therefore, N−2 safety criterion can be satisfied. In addition, since the advanced passive containment cooling system 8 does not require active components or any emergency power sources, it is highly reliable. Furthermore, since the atmosphere is used for ultimate heat sink, it is extremely highly reliable against natural disaster such as a giant earthquake or a big tsunami. As explained above, in a hybrid safety system having both a passive safety system and an active emergency core cooling system, the containment vessel can be cooled using the advanced passive containment cooling system even when an active safety system is operated. Therefore, the containment vessel can be cooled using the advanced passive containment cooling system while the core is cooled by the active safety system in a loss of coolant accident, which results in a remarkable improvement in reliability against a loss of coolant accident. The residual heat removal systems use sea water as the ultimate heat sink, and require reactor sea water systems, which have a concern of simultaneous loss of function of the redundant systems caused by a giant earthquake or a big tsunami. In addition, the residual heat removal systems require the reactor coolant water systems as the secondary systems, and a large numbers of components. According to the present embodiment, the number of the residual heat removal systems can be reduced to two, while cooling function of the containment vessel is improved by the advanced passive containment cooling system in a loss of coolant accident. In addition, by reduction of the number of the residual heat removal systems to two, the other motor-driven active safety systems can be changed to the air-cooled injection systems which are independent from the residual heat removal systems. The air-cooled injection systems are independent from the reactor coolant water systems, the reactor sea water systems and the emergency diesel generators. Thus, an emergency core cooling system with a limited number of sub-systems can be provided which has a remarkably high reliability against a giant earthquake, a big tsunami, a long-term SBO (station blackout) and a loss of ultimate heat sink (LUHS). As described above, according to the present embodiments of the invention, reliability of cooling the containment vessel in a loss of coolant accident in a nuclear plant with three or more active safety divisions is improved, while reducing the number of components of residual heat removal systems, the reactor coolant water systems and the reactor sea water systems. In addition, according to the embodiments, reliability against a station blackout (SBO) and a loss ultimate heat sink (LUHS) is much improved, by adding diversity of active safety systems and emergency power sources disposed in the active safety divisions. Now, a second embodiment is explained referring to FIG. 5. In this embodiment, an emergency diesel generator (EDG) 4d as an emergency power source is provided in the first and second safety divisions each. A gas turbine generator (GTG) 9 as an emergency power source is provided in the third safety division. Furthermore, a low-pressure air-cooled injection system (LAIS) 7 is provided as only one motor-driven active safety system in the third safety division. The low-pressure air-cooled injection system 7 is a motor-driven low pressure injection system. The capacity of the low-pressure air-cooled injection system 7 is the same as that of the low pressure flooder system 2. The other features are the same as those of the first embodiment. The motor-driven pump of the low-pressure air-cooled injection system 7 is cooled by the air fin cooler 61 (See FIG. 18), and the reactor sea water system is not used for cooling it. In the present embodiment, there is an advantage that frequency of the station blackout due to common cause failures can be suppressed because the emergency power sources are diversified in the emergency diesel generators 4d and the gas turbine generator 9. In addition, frequency of the total loss of active safety systems due to common cause failures can be suppressed because the active safety systems are diversified in the low pressure flooder systems 2 and the low-pressure air-cooled injection system 7. In the present embodiment, an air-cooled diesel generator may be used instead of the gas turbine generator 9. The air-cooled diesel generator does not require a reactor sea water system. Now, a third embodiment is explained referring to FIG. 6. In this embodiment, a high-pressure air-cooled injection system (HAIS) 10 is provided as only one motor-driven active safety system in the third safety division. The high-pressure air-cooled injection system 10 is a motor-driven high pressure injection system. The capacity of the high-pressure air-cooled injection system 10 is the same as that of the high pressure core flooder system (HPCF) 1. The other features are the same as those of the second embodiment. The motor-driven pump of the high-pressure air-cooled injection system 10 is cooled by the air fin cooler 61 (See FIG. 18), and the reactor sea water system is not used for cooling it. In the present embodiment, frequency of the total loss of active safety systems due to common cause failures can be suppressed because the active safety systems are diversified in the low pressure flooder systems 2 and the high-pressure air-cooled injection system 10. In addition, the core can be cooled without depressurization of the reactor owing to the existence of the high-pressure air-cooled injection system 10. Thus, the core can be cooled without depressurization of the reactor in a loss of feed water transient or in a loss of coolant accident due to a small diameter pipe break. As a result, frequency of the core meltdown caused by failure of depressurization of the reactor can be remarkably reduced. In the present embodiment, an air-cooled diesel generator may be used instead of the gas turbine generator (GTG) 9. The air-cooled diesel generator does not require a reactor sea water system. Now, a fourth embodiment is explained referring to FIG. 7. In this embodiment, the first to fourth safety divisions are provided which are active safety divisions. In addition, a fifth safety division is provided which is a passive safety division. The structures of the first to third safety divisions of the present embodiment are the same as those of the second embodiment shown in FIG. 5. The structure of the fourth safety division is the same as that of the third safety division. The structure of the fifth safety division of the present embodiment is the same as that of the fourth safety division of the first embodiment shown in FIG. 1. In the present embodiment, there are four safety divisions for active safety systems comprising two low pressure flooder systems (LPFLs) 2 and two low-pressure air-cooled injection systems (LAISs) 7. Since one low-pressure air-cooled injection system 7 is added compared to the second embodiment (FIG. 5), reliability against a loss of coolant accident is improved. In addition, since the number of the gas turbine generators (GTGs) 9 is also increased, the occurrence frequency of station blackout can be decreased. Furthermore, even if a pipe break in an active safety system, a single failure and an on-line maintenance are assumed, a fourth active safety system can cool the core. That is, the emergency core cooling system of the active safety systems also satisfies the N−2 safety criterion. The residual heat removal systems of the present embodiment in a loss of coolant accident satisfy the N−2 safety criterion as those of the first embodiment. Thus, the present embodiment satisfies the N−2 safety criterion for both safety functions in the core cooling and the residual heat removal. In an alternative variation of the present embodiment, air-cooled DGs may be used instead of the gas turbine generators (GTGs) 9. The air-cooled DGs do not require any reactor sea water systems. Now, a fifth embodiment is explained referring to FIG. 8. In this embodiment, the first to fourth safety divisions are provided which are active safety divisions. In addition, a fifth safety division is provided which is a passive safety division. The structures of the first and second safety divisions of the present embodiment are the same as those of the second embodiment shown in FIG. 5. In the third and fourth safety divisions each, a high-pressure air-cooled injection system (HAIS) as the only one motor-driven active safety system and a gas turbine generator (GTG) 9 are installed. The structure of the fifth safety division of the present embodiment is the same as the fourth safety division of the first embodiment shown in FIG. 1. In the present embodiment, there are four active safety systems, and there are two low pressure flooder systems (LPFLs) 2, and two high-pressure air-cooled injection systems (HAISs) 10. Since one high-pressure air-cooled injection system 10 is added compared to the third embodiment (FIG. 6), reliability against a loss of coolant accident is improved. In addition, since the number of the gas turbine generators (GTGs) 9 is also increased, the occurrence frequency of station blackout can be decreased. Furthermore, even if a pipe break in an active safety system, a single failure and an on-line maintenance are assumed, a fourth active safety system can cool the core. That is, the emergency core cooling system of the active safety system also satisfies the N−2 safety criterion. The residual heat removal systems of the present embodiment in a loss of coolant accident satisfy the N−2 safety criterion as those of the first embodiment. Thus, the present embodiment satisfies the N−2 safety criterion for both safety functions in the core cooling and the residual heat removal. In addition, since two high-pressure air-cooled systems are provided, the core can be cooled with higher reliability in a loss of feed water transient or in a small diameter break loss of coolant accident. As a result, frequency of the core meltdown caused by failure of depressurization of the reactor would be remarkably reduced. In the present embodiment, air-cooled diesel generators may be used instead of the gas turbine generators 9. The air-cooled diesel generators do not require reactor sea water systems. Now, a sixth embodiment is explained referring to FIG. 9. In this embodiment, the first to fourth safety divisions are provided which are active safety divisions. In addition, a fifth safety division is provided which is a passive safety division. The structure of the first and second safety divisions of the present embodiment are the same as those of the second embodiment shown in FIG. 5. In the third safety division, a low-pressure air-cooled injection system (LAIS) 7 as the only one motor-driven active safety system and a gas turbine generator (GTG) 9 are installed. In the fourth safety division, a high-pressure air-cooled injection system (HAIS) 10 as the only one motor-driven active safety system and a gas turbine generator (GTG) 9 are installed. The structures in the third safety division and the fourth safety division may be exchanged to each other. The structure in the fifth safety division is the same as that in the fourth safety division of the first embodiment (FIG. 1). In the present embodiment, there are four safety divisions for active safety systems comprising two low pressure flooder systems (LPFLs) 2, one low-pressure air-cooled injection system (LAIS) 7, and one high-pressure air-cooled injection system (HAIS) 10. In the present embodiment, even if a pipe break in an active safety system, a single failure and an on-line maintenance are assumed, a fourth active safety system can cool the core. That is, the emergency core cooling system of the active safety system also satisfies the N−2 safety criterion. The residual heat removal systems of the present embodiment in a loss of coolant accident satisfy the N−2 safety criterion as those of the first embodiment. Thus, the present embodiment satisfies the N−2 safety criterion for a loss of coolant accident. In addition, since a low-pressure air-cooled injection system 7 and a high-pressure air-cooled injection system 10 are provided in the present embodiment, diversity of air-cooled injection systems is provided resulting in the improvement of reliability. In the present embodiment, air-cooled diesel generators may be used instead of the gas turbine generators 9. The air-cooled diesel generators do not require reactor sea water systems. Now, a seventh embodiment is explained referring to FIG. 10. In this embodiment, the first to fourth safety divisions are provided which are active safety divisions. In addition, a fifth safety division is provided which is a passive safety division. The features described above are the same as those of the sixth embodiment (FIG. 9). The present embodiment is different from the sixth embodiment in that it has a reactor core isolation cooling system (RCIC) 11, which is driven by a steam turbine, in the first safety division, for example. The reactor core isolation cooling system 11 is a high pressure injection system that injects water into the reactor pressure vessel at a high pressure condition. In the present embodiment, there are five active safety systems comprising two low pressure flooder systems (LPFLs) 2, two high-pressure air-cooled injection systems (HAISs) 10, and one reactor core isolation cooling system (RCIC) 11. Since a high pressure injection system is added in the present embodiment compared with the sixth embodiment, reliability of cooling the core without depressurization is improved. Therefore, reliability of cooling the core is improved without depressurization in a loss of feed water transient or in a loss of coolant accident caused by a small diameter pipe break. In addition, the core can be kept flooded in a loss of coolant accident, since three high pressure core cooling systems are provided, which is the same configuration as an ABWR. Alternatively, the reactor core isolation cooling system (RCIC) 11 may be disposed in any other active safety divisions. In the present embodiment, air-cooled diesel generators may be used instead of the gas turbine generators 9. The air-cooled diesel generators do not require reactor sea water systems. Now, an eighth embodiment is explained referring to FIG. 11. In this embodiment, the first to fifth safety divisions are provided which are active safety divisions. In addition, a sixth safety division is provided which is a passive safety division. The structures of the first and second safety divisions of the present embodiment are the same as those of the second embodiment (FIG. 5). The third and fourth safety divisions each have a high-pressure air-cooled injection system 10 as the only one motor-driven active safety system and a gas turbine generator 9. In the fifth safety division, there is disposed a reactor isolation cooling system 11, which is driven by a steam turbine. The present embodiment is different from the seventh embodiment (FIG. 10) in that the fifth safety division is only for the reactor isolation cooling system 11. As a result, it can be avoided that the reactor isolation cooling system 11 loses its function at the same time when the low pressure flooder system 2/the residual heat removal system 3 lose functions due to a fire or a flooding. As a result, reliability of the hybrid safety system is further improved. In the present embodiment, air-cooled diesel generators may be used instead of the gas turbine generators 9. The air-cooled diesel generators do not require reactor sea water systems. While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the inventions. Indeed, the novel embodiments described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the embodiments described herein may be made without departing from the spirit of the inventions. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the inventions. 1: high pressure core flooder system (HPCF); 2: low pressure flooder system (LPFL); 3: residual heat removal system (RHR); 4: emergency power source (EPS); 4d: emergency diesel generator (EDG); 5: isolation condenser (IC); 6: air-cooled injection system (AIS); 6a: motor-driven pump; 6b: injection pipe; 6c: suction pipe; 7: low-pressure air-cooled injection system (LAIS); 8: advanced passive containment cooling system (APCCS); 10: high-pressure air-cooled injection system (HAIS); 11: reactor core isolation cooling system (RCIC); 12: passive containment cooling system (PCCS); 13: cooling water pool; 14: cooling water; 15: exhaust pipe; 16: heat exchanger; 17: inlet plenum; 18: outlet plenum; 19: heat transfer tube; 20: dry well gas supply pipe (gas supply pipe); 20a: isolation valve; 21: condensate return pipe; 22: gas vent pipe; 23: tube plate; 30: containment vessel; 32: outer well; 32a: top slab; 33: scrubbing pool; 40: dry well; 40b: lower dry well; 40c: dry well common wall; 48: wet well gas supply pipe (gas supply pipe); 49: check valve; 50: wet well; 50a: wet well common wall; 60: suppression pool; 61: air fin cooler (AFC); 62: tube bundle; 62a: cooling tube; 63: fan; 64: electric motor; 65: pump; 66: circulation pipe; 67: support structure; 68: external air; 70: wet well gas phase; 80: LOCA vent pipe; 81: condensate return pipe; 82: gas vent pipe; 83: APCCS pool; 84: pool water; 90: vacuum breaker valves; 91: pedestal; 91a: pedestal cavity; 92: RPV skirt; 93: RPV support; 101: core; 102: reactor pressure vessel (RPV); 103: cooling water; 104: break opening; 110: containment vessel lid
description
This application is based upon and claims the benefit of priority from Japanese Patent Application No. 2013-110111, filed on May 24, 2013; the entire contents of which are incorporated herein by reference. Embodiments described herein relate generally to a control rod-driving control apparatus and a method thereof for inserting/withdrawing a control rod into/from a nuclear reactor. In a nuclear reactor of boiling water type, a plurality of control rods is located at a reactor core. Furthermore, at a lower part of a pressure vessel of the nuclear reactor, a control rod-driving mechanism for driving each control rod with insertion/withdrawal by high-pressure driving water is installed respectively. In this control rod-driving mechanism, the high-pressure driving water is supplied from a driving water-supply device. Then, the driving water exhausted from the control rod-driving mechanism is returned to the driving water-supply device again. Component of this control-rod driving mechanism will be explained by referring to FIGS. 3 and 4. Here, among a plurality of control rods, one control rod and a driving mechanism corresponding thereto will be explained. As shown in FIG. 3, a control rod 1 is connected to a piston 3 of a control rod-driving mechanism 20. In case of settling the control rod 1, the control rod 1 is held by a latch 4 set to a control rod-guide tube 2. Only when a load is applied along a direction to withdraw the control rod 1, this latch 4 is moved to hold the control rod 1 while the latch 4 does not disturb insertion motion of the control rod 1. In order for the control rod 1 to settle at a plurality of positions, a plurality of latches 4 is located along a longitudinal direction of the guide tube 2. As to the driving water to give a pressure to an upper part or a lower part of the piston 3, a pressure thereof is risen by a driving water pump 5. Then, the driving water is supplied to the upper part of the piston 3 via a control valve for withdrawal direction (driving water side) 6, or the lower part of the piston 3 via a control valve for insertion direction (driving water side) 7. In this case, the driving water at the pressure side by motion of the piston 3 is exhausted via a control valve for withdrawal direction (exhaust water side) 8 or via a control valve for insertion direction (exhaust water side) 9. When the control rod 1 is driven to be inserted, by opening the control valve for insertion direction (driving water side) 7 and by closing the control valve for withdrawal direction (driving water side) 6, the driving water is supplied to the lower part of the piston 3. At the same time, by opening the control valve for insertion direction (exhaust water side) 9 and by closing the control valve for withdrawal direction (exhaust water side) 8, the driving water of the upper part of the piston 3 is exhausted. As a result, the piston 3 is moved to an insertion direction, and the control rod 1 is driven to the insertion direction. After driving the control rod 1 to be inserted at a predetermined distance, when the control rod 1 is to be settled, the control valve for insertion direction (driving water side) 7 and the control valve for insertion direction (exhaust water side) 9 are closed, and the control valve for withdrawal direction (exhaust water side) 8 is opened. As a result, the piston 3 is moved to the withdrawal direction. Here, by applying a load to the latch 4, the latch is held (settled) at a predetermined position. When the control rod 1 is driven to be withdrawn, by opening the control valve for insertion direction (driving water side) 7 and the control valve for insertion direction (exhaust water side) 9, holding of the control rod 1 by the latch 4 is released (unlatch). Next, after closing the control valve for insertion direction (driving water side) 7 and the control valve for insertion direction (exhaust water side) 9, by opening the control valve for withdrawal direction (driving water side) 6, the driving water is supplied to the upper part of the piston 3. At the same time, by opening the control valve for withdrawal direction (exhaust water side) 8, the driving water of the lower part of the piston 3 is exhausted. As a result, the piston 3 is moved to a withdrawal direction, and the control rod 1 is driven to the withdrawal direction. After driving the control rod 1 to be withdrawn at a predetermined distance, while the control valve for withdrawal direction (exhaust water side) 8 is being opened, the control valve for withdrawal direction (driving water side) 6 is closed. As a result, the piston 3 is moved to the withdrawal direction. Here, by applying a load to the latch 4, the latch 4 is held (settled) at a predetermined position. In response to a control rod-selection command or a withdrawal/insertion-driving command from an operator, a control rod-driving control apparatus 10 outputs an open and close command to each control valve 6˜9 of the control rod-driving mechanism 20 corresponding to the selected control rod 1. Here, a timing to open and close each control valve 6˜9 when the selected control rod 1 is operated (such as unlatch, insertion, withdrawal, settle) is previously set according to a distance to insert or withdraw the selected control rod 1. FIG. 4A is an example of a timing table when the control rod 1 is driven to be inserted, and FIG. 4B is an example of a timing table when the control rod 1 is driven to be withdrawn. By operating open and close of each control valve according to timing tables shown in FIGS. 4A and 4B by the operator, the selected control rod is driven to be inserted or withdrawn at a predetermined distance. For example, in the example of the timing table (when the control rod is driven to be inserted) shown in FIG. 4A, when 0.4 seconds have passed from a reference time, the operator opens the control valve for insertion direction (driving water side) 7 and the control valve for insertion direction (exhaust water side) 9. When 3.3 seconds have passed from the reference time, the operator closes both control valves, and opens the control valve for withdrawal direction (exhaust water side) 8. When 8.6 seconds have passed from the reference time, the operator closes the control valve for withdrawal direction (exhaust water side) 8. While these control valves 7, 8, 9 are being operated, the control valve for withdrawal direction (driving water side) 6 is continuously closed. Furthermore, in the example of the timing table (when the control rod is driven to be withdrawn) shown in FIG. 4B, the operator opens and closes each control valve 6˜9 according to driving timings of FIG. 4B. By the way, a driving velocity of the control rod 1 is determined by a differential pressure of the driving water supplied to the guide tube 2. Here, in accordance with change of the differential pressure, the driving velocity is also changed. Accordingly, by setting a flow control valve to a pipe to supply/exhaust the driving water and by controlling the flow control valve, the differential pressure is maintained as a predetermined value. As a result, change of the driving time is prohibited. This technique is disclosed in JPA (Kokai) PH6-174878. In above-mentioned control rod-driving mechanism of conventional technique, the velocity to insert/withdraw the control rod 1 is basically determined by the differential pressure that the driving water (supplied to the guide tube 2) operates on the piston 3. Actually, due to a difference of mechanical characteristic of the control rod-driving mechanism 20 and each control valve 6˜9 or a chronological change thereof, distribution to some extent exists among each velocity. In order to correct the individual difference, the flow control valve is set to each control valve 6˜9 respectively. By adjusting the flow and the differential pressure, the driving velocity is controlled to be constant. However, during driving, a validation test cannot be performed. Furthermore, if the flow control valve is adjusted during activating/driving, a nuclear-thermal hydraulics characteristic of the nuclear reactor is changed. As a result, while the nuclear reactor is being activated or driven, the flow control valve cannot be adjusted, which is a problem. Furthermore, in the conventional technique, a timing to drive each control valve 6˜9 is commonly set to all control rods 1, and cannot be set to each control rod 1 respectively. Briefly, a timing to operate unlatch, insertion, withdrawal and settle, cannot be adjusted and set to each control rod 1. Accordingly, if at least two control rods 1 are simultaneously driven, due to the difference of mechanical characteristic, dispersion exists among the driving velocity of each control rod 1. As a result, a difference occurs among moving difference of each control rod 1, and the difference is often over a permissible range. In this case, by an indication from a monitoring unit of abnormality variability, the driving operation of the control rod 1 is settled. As a result, it takes a long time for the restoration, and this situation badly affects on activation/operation of the nuclear reactor. Furthermore, as to unlatch operation to be performed before withdrawal operation during driving the control rod, due to factors (individual difference, deterioration) of the machine side, the latch cannot be often released at the predetermined time. In this case, the unlatch time cannot be set respectively. In order to withdraw such control rod 1, the withdrawal operation must be performed a plurality of times. Briefly, complicated operation is necessary, which is a problem. According to one embodiment, a control rod-driving control apparatus controls a plurality of driving mechanisms each differently connected to one of a plurality of control rods located in a nuclear reactor. A driving mechanism drives a connected control rod to be inserted and withdrawn with a high-pressure driving water by opening and closing a plurality of control valves of the driving mechanism. The apparatus includes a control rod-driving time measurement unit, a control rod selection unit, a timing table creation unit, and a control rod-driving control unit. The control rod-driving time measurement unit is configured to store driving time data of unlatch, insertion, withdrawal and settle of each control rod. The driving time data is measured by a test of insertion and withdrawal at a periodical inspection before starting operation of the nuclear reactor. The control rod selection unit is configured to select at least one from the plurality of control rods, based on a command to select and drive a control rod. The timing table creation unit is configured to create a timing table that prescribes timings to open and close each control valve to unlatch, insert, withdraw and settle a selected control rod, based on the driving time data of the selected control rod stored in the control rod-driving time measurement unit. The control rod-driving control unit is configured to drive the selected control rod, based on the timing table. Various embodiments will be described hereinafter with reference to the accompanying drawings. A control rod-driving control apparatus and a method thereof according to the first embodiment will be explained by referring to FIGS. 1, 3 and 4. In FIG. 1, as to the same or similar component as the control rod-driving control apparatus of conventional technique, the same sign is assigned, and explanation thereof is omitted. (Component) In the first embodiment, a control rod-driving control apparatus 10 includes following units. A control rod selection unit 11 selects one control rod to be driven from a plurality of control rods 1. A timing table creation unit 12 prescribes an open and close timing of each control valve 6˜9 for the selected control rod. A control rod-driving control unit 13 sends an open and close signal to each control valve 6˜9 of the control rod-driving mechanism 20 corresponding to the selected control rod 1. A record unit 14 records data of the timing table creation unit 12. A display unit 15 displays position information and measurement information of the control rod 1. A plurality of control rod-driving time measurement units 16 respectively measure a driving time of each control rod 1. In the control rod-driving time measurement unit 16, at the periodical inspection before starting operation of the nuclear reactor, a test of all insertions or all withdrawals is performed for each control rod, and/or a test of insertion/withdrawal is performed for each latch. By these tests, in operation of unlatch, insertion, withdrawal and settle, driving time data such as open and close timing of each control valve is measured respectively. This measurement value is stored in the control rod-driving time measurement unit 16 corresponding to the control rod from which the measurement value is obtained. (Function) In the control rod-driving control apparatus 10 having above-mentioned components, a selection command of the control rod 1 to be driven, and a driving command indicating insertion/withdrawal and the driving distance, are inputted to the control rod selection unit 11 from an external central control room and so on. In response to this command, the timing table creation unit 12 extracts the driving time data from the control rod-driving time measurement unit 16 corresponding to the selected control rod 1. As to the selected control rod 1, the timing table creation unit 12 creates a timing table shown in FIG. 4A (when the control rod 1 is driven to be inserted) or FIG. 4B (when the control rod 1 is driven to be withdrawn). According to the timing table created by the timing table creation unit 12, the control rod-driving control unit 13 opens and closes each control valve of the selected control rod 1, i.e., the control valve for withdrawal direction (driving water side) 6, the control valve for insertion direction (driving water side) 7, the control valve for withdrawal direction (exhaust water side) 8 and/or the control valve for insertion direction (exhaust water side) 9. As a result, the selected control rod 1 is driven to be inserted or withdrawn at a predetermined distance. The record unit 14 records data created by the timing table creation unit 12. The display unit 15 suitably displays information of the timing table and the driving time. Moreover, if a command to simultaneously drive a plurality of control rods 1 is received, the timing table creation unit 12 extracts each driving time data from the control rod-driving time measurement units 16 corresponding to the plurality of control rods 1. Based on contents of the command, the timing table creation unit 12 creates a timing table shown in FIG. 4A or 4B for each of the plurality of control rods 1. According to the timing table created by the timing table creation unit 12, the control rod-driving control unit 13 opens and closes each control valve of the plurality of control rods 1, i.e., the control valve for withdrawal direction (driving water side) 6, the control valve for insertion direction (driving water side) 7, the control valve for withdrawal direction (exhaust water side) 8 and/or the control valve for insertion direction (exhaust water side) 9. As a result, the plurality of control rod 1s is respectively driven to be inserted or withdrawn at a predetermined distance. Furthermore, in the timing table creation unit 12, an upper limit and a lower limit (each previously determined) may be set to the open and close time of each control rod 6˜9. When the timing table is created, if the open and close time of each control valve 6˜9 is over a range between the upper limit and the lower limit, the open and close time is set to the upper limit or the lower limit. (Effect) According to the first embodiment, the timing table of four operations (unlatch, insertion, withdrawal, settle) is created for each control rod. Accordingly, even if the control rod-driving mechanism (including a plurality of control valves) has distribution due to the individual difference or the chronological change, the selected control rod can be accurately inserted or withdrawn according to the command. As a result, reliability and safety of the control rod-driving mechanism can be raised. A control rod-driving control apparatus and a method thereof according to the second embodiment will be explained by referring to FIGS. 2, 3 and 4. In FIG. 2, as to the same or similar component as the control rod-driving control apparatus of the first embodiment, the same sign is assigned, and explanation thereof is omitted. In the control rod-driving control apparatus 10 according to the second embodiment, in place of the control rod-driving time measurement unit 16 of the first embodiment, all control rods-driving time measurement unit 17 is equipped. In the all control rod-driving time measurement unit 17, at the periodical inspection before starting operation of the nuclear reactor, a test of all insertions or all withdrawals is simultaneously performed for all control rod, and/or a test of insertion/withdrawal is simultaneously performed for each latch of all control rods. By these tests, in operation of unlatch, insertion, withdrawal and settle of each control rod, driving time data such as open and close timing of each control valve 6˜9 is measured collectively. This measurement value is stored in the all control rod-driving time measurement unit 17. A selection command of the control rod 1 to be driven, and a driving command indicating insertion/withdrawal and the driving distance, are inputted to the control rod selection unit 11 from an external central control room and so on. In response to this driving command, the timing table creation unit 12 extracts the driving time data from the all control rod-driving time measurement unit 17 corresponding to the selected control rod 1. Based on contents of the driving command, as to the selected control rod 1, the timing table creation unit 12 creates a timing table shown in FIG. 4A (when the control rod 1 is driven to be inserted) or FIG. 4B (when the control rod 1 is driven to be withdrawn). According to the second embodiment, in addition to effect of the first embodiment, by using the all control rods-driving time measurement unit 17, the test of insertion/withdrawal (to be performed at the periodical inspection before starting operation of the nuclear reactor) can be performed in a short time. Accordingly, the test of insertion/withdrawal can be optimized and the periodical inspection can be shortened. Furthermore, the number of components of the control rod-driving control apparatus can be reduced. While certain embodiments have been described, these embodiments have been presented by way of examples only, and are not intended to limit the scope of the inventions. Indeed, the novel embodiments described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the embodiments described herein may be made without departing from the spirit of the inventions. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the inventions.
claims
1. In an X-ray imaging system comprising an X-ray tube disposed to project an X-ray beam, and a detector spaced apart from said X-ray tube for receiving X-rays of said beam within an Active Imaging Area, collimator apparatus comprising: a first collimator positioned along the path of said beam, said first collimator being adjustable and operable to symmetrically collimate said beam for any adjustment of said collimator; and a second collimating device comprising a collimator blade fixably positioned to lie in the path of a portion of said symmetrically collimated beam to limit first selected rays of said symmetrically collimated beam reaching said detector to a nonadjustable first boundary, defining a first edge of said Active Imaging Area, while allowing second selected rays of said symmetrically collimated beam reaching said detector to define a second edge of said Active Imaging Area which is in parallel spaced relationship with said first edge, and which is determined by selective adjustment of said first collimator. 2. The apparatus of claim 1 wherein: claim 1 said first collimator comprises two adjustable collimator blades respectively positioned so that said beam passes therebetween. 3. The apparatus of claim 2 wherein: claim 2 said collimator blades of said first collimator are adjustable to vary the width of said collimated beam in a vertical plane, while said collimated beam remains vertically symmetrical. 4. The apparatus of claim 2 wherein: claim 2 said X-ray tube and said detector are respectively mounted to enable vertical movement therebetween, in order to selectively position said detector in relation to said upper and lower boundaries of said rays reaching said detector, said upper and lower boundaries comprising the upper and lower edges, respectively, of said Active Imaging Area. 5. An X-ray imaging system comprising: an X-ray tube disposed to protect an X-ray beam having a center line; a detector spaced apart from said X-ray tube for receiving X-rays of said beam within an Active Imaging Area; an adjustable first collimator means positioned along the path of said beam for collimating said beam so that said collimated beam is symmetrical with respect to said center line, in a specified plane, for any adjustment of said first collimator means; and a second collimator means, comprising a collimator blade fixably positioned to lie in the path of a portion of said symmetrically collimated beam, for limiting first selected rays of said symmetrically collimated beam reaching said detector to a nonadjustable first boundary defining a first edge of said Active Imaging Area, said fixably positioned collimator blade allowing second selected rays of said collimated beam reaching said detector to define a second edge of said Active Imaging Area which is in parallel, spaced relationship with said first edge. 6. The apparatus of claim 5 wherein: claim 5 said first collimator means comprises a pair of collimator blades which are adjustable to vary the width of said collimated beam in a vertical plane, while said collimated beam remains vertically symmetrical. 7. The apparatus of claim 6 wherein: claim 6 said fixably positioned collimator blade lies in the path of an upper portion of said symmetrically collimated beam, to prevent X-rays of said upper portion from reaching said detector. 8. The apparatus of claim 7 wherein: claim 7 said X-ray tube and said detector are respectively mounted to enable vertical movement therebetween, in order to selectively position said detector in relation to said first and second edges, which comprise upper and lower edges, respectively, of said Active Imaging Area. 9. In an arrangement comprising an X-ray tube disposed to project an X-ray beam having a center-line towards a detector spaced apart therefrom, in order to receive X-rays on the detector within an Active Imaging Area, a method for adjusting edges of the Active Imaging Area comprising the steps of: performing a first collimating operation on said projected beam to apply a first upper boundary and a first lower boundary to said beam, said first upper and lower boundaries being selectively adjustable; and performing a second collimating operation on said projected beam to apply a nonadjustable second upper boundary to said beam, said nonadjustable upper boundary determining the upper edge of said Active Imaging Area, and said adjustable first lower boundary determining the lower edge of said Active Imaging Area. 10. The method of claim 9 wherein: claim 9 said first upper boundary and said first lower boundary are symmetrical to each other, with respect to said center line of said beam, for any adjustment of said first upper and lower boundaries. 11. The method of claim 10 wherein: claim 10 said X-ray tube and said detector are respectively mounted to enable vertical movement therebetween. 12. The method of claim 11 wherein: claim 11 said method includes the step of establishing said vertical movement between said X-ray tube and said detector in order to bring the upper edge of said Active Imaging Area and the upper edge of said detector into substantial alignment with each other. 13. The method of claim 12 wherein said method includes the steps of: claim 12 placing a patient between said tube and said detector so that the upper edge of said Active Imaging Area is proximate to the chest region of said patient; and adjusting said first lower boundary of said beam to position the lower edge of said Active Imaging Area above the abdominal region of said patient. 14. The method of claim 13 wherein: claim 13 said X-ray tube is disposed to move vertically, in response to movement of said detector, to maintain a specified relationship between said center line of said beam and a center point on said detector.
summary
summary
claims
1. A support grid for a nuclear fuel assembly, said nuclear fuel assembly having a generally cylindrical fuel rod with a diameter, said support grid comprising:a frame assembly having a plurality of generally uniform cells, each said cell having at least one sidewall and a width;at least one generally cylindrical tubular member having a cell contact portion with a greater diameter and at least one helical fuel rod contact portion with a lesser diameter, said cell contact portion and said fuel rod contact portion joined by a transition portion, said greater diameter being generally equivalent to said cell width, and said lesser diameter being generally equivalent to said fuel rod diameter such that a fuel rod disposed in said tubular member would engage said lesser diameter; andeach of said at least one tubular member disposed in one cell of said plurality of generally uniform cells so that said cell contact portion engages said cell sidewall. 2. The support grid of claim 1 wherein said at least one tubular member has a wall with a uniform thickness. 3. The support grid of claim 1 wherein each of said at least one helical fuel rod contact portion extends 360 degrees about said tubular member. 4. The support grid of claim 1 wherein said at least one helical fuel rod contact portion includes two helical fuel rod contact portions. 5. The support grid of claim 4 wherein each said helical fuel rod contact portion extends 180 degrees about said tubular member. 6. The support grid of claim 4 wherein each said helical fuel rod contact portion extends 90 degrees about said tubular member. 7. The support grid of claim 1 wherein said at least one helical fuel rod contact portion includes four helical fuel rod contact portions. 8. The support grid of claim 7 wherein each said helical fuel rod contact portion extends 90 degrees about said tubular member. 9. The support grid of claim 1 wherein said frame assembly includes a plurality of substantially flat, elongated strap members disposed in two interlocked sets, a vertical set and a horizontal set, said vertical and horizontal sets of strap members being generally perpendicular to each other and wherein the strap members in each set are generally evenly spaced so that said strap members form generally square cells. 10. The support grid of claim 9 wherein each said strap member is free from protrusions. 11. The support grid of claim 9 wherein said at least one helical fuel rod contact portion includes four helical fuel rod contact portions. 12. The support grid of claim 11 wherein each said helical fuel rod contact portion extends 90 degrees about said tubular member. 13. The support grid of claim 12 wherein:each strap member has a generally uniform height so that each cell is a generally square cell having a top and a bottom;each said generally square cell has two diagonal axes, said axes being generally perpendicular to each other; andeach said helical fuel rod contact portion is generally aligned with a diagonal axis at the top of said cell and aligned with a different diagonal axis at the bottom of said cell. 14. The support grid of claim 13 wherein said transition portion is a generally smooth curve. 15. The support grid of claim 1 wherein said transition portion is a generally smooth curve. 16. The support grid of claim 1 wherein:said cell contact portion includes a platform; andsaid transition portion is a sharp curve. 17. The support grid of claim 16 wherein said fuel rod contact portion includes a concave platform. 18. The support grid of claim 1 wherein:said fuel rod contact portion includes a concave platform; andsaid transition portion is a sharp curve. 19. The support grid of claim 1 wherein:said cell contact portion includes a platform; andsaid transition portion is generally flat with angled ends. 20. The support grid of claim 19 wherein said fuel rod contact portion includes a concave platform. 21. The support grid of claim 1 wherein:said fuel rod contact portion includes a concave platform; andsaid transition portion is generally flat with angled ends. 22. The support grid of claim 1 wherein said frame assembly includes a plurality of tubular frame members defining cylindrical cells. 23. The support grid of claim 22 wherein said tubular frame members are coupled to each other at 90 degree intervals about the perimeter of each tubular frame member. 24. The support grid of claim 23 wherein said at least one tubular member has a wall with a uniform thickness. 25. The support grid of claim 23 wherein said at least one helical fuel rod contact portion extends 360 degrees about said tubular member. 26. The support grid of claim 23 wherein said at least one helical fuel rod contact portion includes two helical fuel rod contact portions. 27. The support grid of claim 26 wherein each said helical fuel rod contact portion extends 180 degrees about said tubular member. 28. The support grid of claim 26 wherein each said helical fuel rod contact portion extends 90 degrees about said tubular member. 29. The support grid of claim 23 wherein said at least one helical fuel rod contact portion includes four helical fuel rod contact portions. 30. The support grid of claim 29 wherein each said helical fuel rod contact portion extends 90 degrees about said tubular member.
description
The present invention relates to a nuclear reactor, in particular a nuclear reactor formed by a number of fuel elements characterized by a support system of new conception. Under current practice, nuclear reactors include a core, positioned in the lower part of the main vessel of the reactor, immersed in the primary fluid and formed by fuel elements supported by a support grid. Reactors using known solutions have various drawbacks. The core support grid is usually anchored to the bottom of the reactor vessel and is difficult to inspect and difficult/impossible to replace, and so it is necessary to limit damage caused by neutron flux. To this end, each fuel element extends in length beneath the active part so as to reduce damage to the support grid. In the particular case of using heavy liquid metals as the primary coolant, there is a serious floating effect that requires complicated anchorage of the fuel elements to their support grid and/or balancing with the use of high-density materials, such as tungsten. Patent application MI2008A000766 attempts to answer this problem by adopting a support structure at the top end instead of the bottom end of the fuel element, resorting to a structure with beams passing through penetrations made in the shell containing the reactor and on which they rest, and in which each beam of the support structure supports a row of fuel elements, passing through them in a slot located below the head of the element. This solution has the limitation of only being usable with square pattern fuel elements, and has various drawbacks when the penetrations pass through the primary confining barrier and the need for two sets of sliding beams to allow detachment of the fuel element to be replaced, said sets of beams being bulky and potentially interfering with the other structures located in the upper part of the reactor. One object of the present invention is to provide a nuclear reactor that overcomes the indicated drawbacks of known solutions and has further constructional and safety advantages. The present invention thus relates to a nuclear reactor, as defined in the appended claim 1 and, for its auxiliary characteristics and plant configurations, in the dependent claims. Referring to FIG. 1, particularly representative of a liquid-metal or molten salt cooled nuclear reactor 1, the nuclear reactor 1 comprises a substantially cup or pool-shaped vessel 2 and a closure structure 3 placed on top of the vessel 2; the vessel 2 contains a core 4 and a hydraulic separation structure 5 delimiting a hot manifold 6 and a cold manifold 7 in which a primary cooling fluid F of the core 4 circulates. The primary fluid F has a free surface that in normal operation of the reactor 1 is at different levels H1 and H2 in the manifolds 6 and 7. The vessel 2 houses circulating pumps 8 for the primary fluid F, heat exchangers 9 through which the primary fluid F passes and which transfer the power generated in the core 4 to a secondary fluid, as well as other known components that are not shown. The hydraulic separation structure 5 preferably has an amphoralike shape, according to the solution known from patent application GE2015A000036, and is suspended from the closure structure 3 of the vessel 2. Referring to FIGS. 2 and 3 as well, an anchoring structure 11 for the fuel elements 12 is inserted inside the upper part 10 of the hydraulic separation structure 5. The fuel elements 12 extend along respective longitudinal and parallel axes (A) and have respective active parts 13 and respective service parts 14, which comprise a foot 15 and a head 16, respectively at the bottom and the top, and a connection shaft 17 between the active part 13 and the head 16. The shaft 17 possesses a certain amount of mechanical flexibility and is inserted with its upper portion 18 in an empty cylindrical volume inside the head 16 of the fuel element 4. This upper portion 18 is mechanically coupled to the head 16 by a spherical coupling 19, not described in detail as it is current technology, located at its top end. The feet 15 of the fuel elements 12 are in contact with each other and, as a whole, constitute a bundle that is radially constrained by the inner rim 20 of the opening 21 on the bottom of the hydraulic separation structure 5. The head 16 of the fuel element 12 houses support devices 22, in particular two vertical support devices 23, close to two opposite corners of the hexagonal section of the head 16, and two horizontal support devices 24, close to another two opposite corners of the head 16. The vertical support devices 23 are constituted by a substantially cylindrical main body 25 with the bottom end connected by a pin 26 to a vertically locking hollow cylindrical element 27. The main body 25 of the support element terminates at the top with a hexagonal head 28 and comprises a latch 29. The vertical support devices 23 can rotate approximately 90° about their own axis B, to move to a closed position 30 in which their projection on a horizontal plane is entirely contained inside the projection 31 of the head 16 of the fuel element 12, or to an open position 32, shown by all the other vertical support devices 23 in FIG. 3, in which the latch 29 protrudes for the projection. 31 of the head 16 of the fuel element 12 it belong to, bringing its tip 33 over the adjacent fuel element 12 or, as regards the peripheral devices of peripheral fuel elements 12 of the core, engaging a slot 34 made in the anchoring structure 11 of the fuel elements 12. The vertical support devices 23 belonging to the fuel element 12 in open position 32 prevent downwards movement of the fuel element 12 that, with said latches 29 open, rests on the adjacent fuel elements 12. The vertical support devices 23 that project out from adjacent fuel elements 12 over a given fuel element 12 prevent upward movement of that fuel element 12. With all the support devices 23 in the open position, the core 4 appears to be a single block in which no fuel element 12 can move up Or down with respect to the others. Furthermore, the vertical support devices 23 that are in a peripheral position of the core and in the open position, and which engage with the slots 34 in the anchoring structure 11 of the fuel elements 12, prevent vertical movement of the entire core 4. The horizontal support devices 24 are also of a substantially cylindrical shape and are characterized by at least two cams 35 and can rotate more than 90° about their own axis C, from a closed position 36, in which their projection on a horizontal plane is entirely contained inside the projection 31 of the head 16 of the fuel element 12, to an open position 37, shown by all the other horizontal support devices 24 in FIG. 3, in which the cam 35 protrudes from said projection 31 to bring an end tip 38 past the gap 39 between the heads 16 of the fuel elements 12, up to make contact with two heads 16, in particular contact with one of their faces 40 or, as regards the peripheral fuel elements 12, contact with the anchoring structure 11 of the fuel elements 12. The vertical support devices 23 perform the described vertical constraint function of the fuel elements, and the horizontal support devices 24 perform, as a whole, the radial constraint function of the heads 16 of the fuel elements when a gap 39 is provided between them. With all the support devices 22 in the open position, the core appears as a single block anchored vertically and radially to the anchoring structure 11. Referring to FIG. 4, the extraction of a generic internal fuel element 41 of the core can be performed: (i) upon closing the two vertical support devices 42a and 42b belonging to adjacent fuel elements, (ii) upon closing the two horizontal support devices 43a and 43b belonging to the fuel element 41 in question, and (iii) upon closing the four support devices 44a, 44b, 44c and 44d belonging to four adjacent elements. The extraction of a generic external fuel element 45 of the core can be performed: (i) upon closing a vertical support device 46 belonging to an adjacent element, (ii) upon closing its own vertical support device 47 that is engaged in the slot 34 made in the support structure 11 of the fuel elements 12, (iii) upon closing the two horizontal support devices 48a and 48b belonging to the fuel element 45 in question, and (iv) upon closing the two horizontal support devices 49a and 49b belonging to two adjacent elements. The rotation limits for closing and opening the horizontal support devices 24 can be determined from the shape of the slots 34 occupied by the latches on the head 16 of the elements 12. The opening and closing of the support devices 22 can be performed by acting on the hexagonal head 28 via the grippers of the fuel transfer machine or via a specially provided device or remote manipulator, not shown because part of normal technology. With a similar procedure of extraction and insertion, it is also possible to perform a 180° rotation of the fuel element. That envisaged for the support of the fuel element may conveniently be applied to other components inserted in the core, such as control rods. Referring to FIGS. 5 and 6, where FIG. 5 is mainly representative of a water cooled reactor and where elements with similar functions are indicated with the same reference numerals used in FIGS. 1-4, less stringent requirements allow simplifying the support system of the fuel elements 12; in particular, the fuel elements 12 can have a square section and less stringent gap control, without requiring particular flexibility of the fuel elements 12 for recovering from deformation or differential expansion. Support for fuel elements 12 can be implemented with the use of just two vertical support devices 23 for each fuel element 12, preferably placed close to the centre line of two opposite sides 53 of the head 16; the support structure 11 may also provide a hydraulic separation function between hot manifold 6 and cold manifold 7. The replacement of a generic fuel element 41 inside the core is performed upon closing two vertical support devices 54a and 54b, respectively belonging to adjacent elements 12. The replacement of a generic fuel element 55 located on the periphery of the core is performed: (i) upon closing a vertical support device 56 belonging to an adjacent fuel element 12, and (ii) upon closing its own vertical support device 57 that is engaged in the slot 34 made in the support structure 11 of the fuel elements 12. Referring to FIGS. 1, 7, 8a and 8b, expanders 57, characterized by an increased capacity of radial expansion with temperature and of which an embodiment is shown in FIG. 7, are applied to the shaft 17 of the fuel elements 12. Each shaft 17 is fitted with six expanders 57, each extending perpendicular to a respective face 58 of the fuel element 12. Each expander 57, which is symmetrical with respect to a centre-line plane a perpendicular to the shaft 17 for improved structural performance, is constituted by a plurality of Z-shaped section low thermal expansion elements 59, alternating with high thermal expansion elements 60 of parallelepiped shape. The U-shaped closing element 61 is also made of a material with a high coefficient of thermal expansion, with two bolts 63 axially constraining the elements constituting the expander 57 and preventing disassembly. The shaft 17 is provided with a radial extension 64 on which the radial tip 65 of the innermost element 59 engages, on the inner radial end of which an element 60 engages, on the outer radial end of which a second element 59 engages in turn, and so on. Following an increase in temperature, elements 60 expand more than the structurally adjacent element 59 closer to the plane of symmetry, giving rise to a differential radial displacement of the radial tips of elements 60, which accumulates for each pair of elements 59 and 60 up to a resulting radial displacement ε. The bolts 63 engage the closing element 61 with precision, while to allow the radial expansion of the expander 57, they engage with the other elements 59 and 60 and with the radial extension 64 with gradually increasing play as the plane of symmetry α is approached. The elastic element 66 inserted in a slot of the radial extension 64 and acting on a bolt 63 enables the radial recompaction of the expander 57 as the temperature drops. The expanders are mounted so as keep their projection inside the horizontal projection of the space occupied by the head 16 of the fuel elements 12 when cold, and to protrude from said projection only at high temperature when they perform their function. The heads 16 of the fuel elements 12 are practically isothermal with the support structure 11 because they are immersed in the same blanket gas 50 of the reactor above level H1 of the primary coolant F and therefore always held rigidly in position. The feet 15 of the fuel elements are at the temperature of the cold manifold 7 and at the same temperature as the inner rim 20 of the opening 21 of the hydraulic separation structure 5, and can therefore be mounted with close tolerances. The fuel element is axially and radially constrained at the top and free to thermally expand downwards. As the power increases, the fuel element expands radially more at the grid 51 than at the foot 15. This differential expansion accumulates from the centre towards the outside of the core and is made possible: (i) by rotation of the foot 15 about its radial constraints constituted by the point of contact 67 with the feet of adjacent elements and/or with the inner rim 20 of the opening 21, (ii) by rotation of the shaft 17 of the fuel element 12 with respect to the head 16 by means of the spherical coupling 19, and (iii) by flexure of the shaft 17. This differential expansion can be amplified up to a predetermined value δ for activation of the expanders 57. The action of the expanders 57 is countered by elastic elements that return the core to the compact configuration when, by cooling, the action of the expanders 57 terminates. In the example shown, the elastic element is constituted by the shaft 17 of the fuel element 12; in the case of a rigid fuel element, the radial elastic element could be constituted by the support structure 11, or by elastic return elements, not shown, interposed between the heads 16 of the fuel elements 12. The shaft 17 is hollow, with a substantially tubular shape, and hydraulically connected to a tubular structure 68 that extends centrally for the entire active part 13 of the fuel elements 12. The tubular structure 68 is characterized by a plurality of small holes 70 along the length corresponding to the active part 13 of the fuel element. The tubular structure 68 is conveniently closed at the bottom end 69 by a threaded coupling with a plug 71 that, together with a shoulder 72 made on the tubular structure 68, constitutes the locking system of the lower grid 73 of the fuel element 12. With a hydraulically sealed coupling between the grippers of the fuel replacement machine, both known solutions, and the head 16 of the fuel element 12, it is possible to inject cooling gas through the holes 70 of the tubular structure 68 and inside the active part 13 between the fuel rods 52 during fuel replacement operations. The advantages of the present invention clearly emerge from the foregoing description: The support grid of the core is eliminated or, in the case where it is maintained for radial positioning of the fuel elements, it loses its significance as a safety component. The support devices 22 are an integral part of the fuel element 12 and replaced on each fuel replacement, together with the fuel element 12 to which they belong. In the case of fuel elements 12 that extend significantly beyond the active part 13, the support devices 22 are not subjected to significant neutron damage and do not undergo significant thermal damage because they are positioned in a gas zone. The horizontal support devices 24 enable fuel elements 12 to be installed without play, particularly important in fast reactors, and horizontal detachment of the heads 16 during fuel replacement with release of the gaps 39 in a way such that the fuel elements 12 can be easily extracted, even in the event of a deformed active part 13 and/or increased dimensions following neutron irradiation. The absence of beams or grids overhanging the core 4 facilitates fuel replacement operations and the positioning of instrumentation cables for the core 4. The mounting without play at the level of the foot 15, the upper grid 51 of the fuel rods 52 and the head 16, eliminates the risk of vibration in the fuel elements 12 and the associated effects of reactivity fluctuation in the core, which are exacting in the case of fast reactors. When the devices 22 take part in fuel element replacement functions, they are operated via easily accessible actuators. The seismic load of the head 16 of the fuel element discharges onto the support structure 11 and from this onto the closure structure 3, with no effect on the remaining part of the fuel element. The possibility of expanding the active zone of the core introduces negative reactivity during heating transients. The introduction of expanders 57 that, when the core outlet temperature exceeds a predetermined reference value, amplify the radial dilation of the core and the associated negative reactivity counter-reaction, introduces a safety factor in the design of the core. The system of injecting cooling gas along the entire axial profile of the active part 13 of the fuel element cools the active part 13 even in a hypothetical situation where the fuel element remains blocked in a position of only partial extraction from the primary cooling fluid during the replacement operation; in this case, the active part 13 that has emerged from the cooling fluid F is cooled by gas escaping from the holes 70 that have emerged and which leaves the fuel element through the holes in the upper grid 51. The injection of cooling gas inside the active part 13 favours cooling the inner fuel rods that, unlike the outer ones, cannot be efficiently cooled by outward irradiation from the active part 13. Modifications and variants can be made regarding the reactor set forth herein without departing from the scope of the appended claims; in particular, depending on the project, the number, shape and position of the devices 22 can be modified, as can their function: devices that engage on the top, or on faces or in slots of the head 16 of adjacent fuel elements 12.
041742559
claims
1. An ultrasonic search unit for testing nuclear fuel elements to detect the presence of water in fuel elements spaced within a fuel assembly of the type used in a water cooled nuclear reactor comprising a strip carrier with mutually opposing faces, the strip carrier being dimensioned to freely traverse the spaces between the fuel elements and having an integral aperture, an ultrasonic transducer element, electrodes deposited on at least two sides of the transducer element, the ultrasonic transducer element disposed within the aperture with one of the electrodes being flush with one of the strip carrier faces, means for grounding the ultrasonic transducer element to the strip carrier, means for decoupling said ultrasonic transducer element from the strip carrier, said decoupling means being interposed between the ultrasonic transducer element and the surfaces of the strip carrier defining the aperture, damping means disposed within the aperture adjacent to a recessed portion of the ultrasonic transducer element, and means for energizing the ultrasonic transducer element to transmit an ultrasonic pulse transversely into the wall of the fuel element to be tested. 2. An ultrasonic search unit as defined by claim 1, wherein the ultrasonic transducer element is a ferroelectric ceramic. 3. An ultrasonic search unit as defined by claim 2, wherein the ferroelectric ceramic is lead titanate zirconate. 4. An improved apparatus, for the in situ testing of a nuclear fuel element within a fuel assembly having a plurality of fuel elements closely packed in an array, transversely spaced within the array by spaces on the order of two millimeters, of the type in which an ultrasonic transducer element emits ultrasonic energy into the fuel element under water to detect the presence of water within the fuel element, wherein the improvement comprises: a strip carrier dimensioned to freely traverse the spaces between the fuel elements, the strip carrier having portions defining an integral aperture; the ultrasonic transducer element disposed within the aperture flush with one face of the strip carrier; means for decoupling the ultrasonic transducer element from the strip carrier, said decoupling means being interposed between the ultrasonic transducer element and the surfaces of the strip carrier defining the aperture; damping means disposed within the aperture adjacent to a recessed portion of the ultrasonic transducer element; and means operatively attached to the ultrasonic transducer element for energizing the ultrasonic transducer element to transmit an ultrasonic pulse transversely into the wall of a fuel element to be tested within the fuel assembly. 5. The improved apparatus, as set forth in claim 4, further comprising means for measuring a signal corresponding to the ultrasonic echo reflected from the inner wall surface of the fuel element remote from the location of the transducer element and for differentiating between echos transmitted through gas and water. 6. An apparatus for the in situ testing of a nuclear fuel element in a fuel assembly of the type used in a water cooled nuclear reactor wherein a plurality of fuel elements are separated by spaces on the order of two millimeters, comprising in combination: an ultrasonic transducer element; a strip carrier, having an aperture, dimensioned to freely traverse the spaces between the fuel elements; the transducer element being secured within the aperture flush with a face of the strip carrier; means mounted on the strip carrier and operatively attached to the transducer element for conducting a signal for energizing the transducer element to transmit an ultrasonic pulse transversely into the wall of the fuel element to be examined at a predetermined rate and frequency; means for measuring a signal corresponding to the ultrasonic echos reflected from the inner wall surface of the fuel element remote from the location of the transducer element; and means for differentiating between echos reflected through gas and water within the fuel element. 7. An apparatus as set forth in claim 6 further comprising an ultrasonic decoupling material disposed between the surfaces of the aperture and the ultrasonic transducer element. 8. An apparatus as set forth in claim 7 wherein the decoupling material is cork. 9. An apparatus as set forth in claim 8 wherein the ultrasonic transducer element is a ferroelectric ceramic. 10. An apparatus as set forth in claim 9 wherein the ferroelectric ceramic is lead titanate zirconate. 11. An apparatus as set forth in claim 10 wherein the ultrasonic transducer element measures approximately 2.5 millimeters wide, 12.5 millimeters long and 0.3 millimeters thick. 12. An apparatus as set forth in claim 7 further comprising a sonic damping material, said sonic damping material being disposed in the aperture adjacent the face of the transducer element recessed within the aperture. 13. An apparatus as set forth in claim 12 wherein the damping material consists essentially of tungsten powder mixed in a low molecular weight polysulfide polymer. 14. An apparatus as set forth in claim 6 further comprising means for energizing the ultrasonic transducer element to transmit ultrasonic waves in a frequency range of 5 to 15 megahertz.
description
This application is based upon and claims the benefit of priority from Japanese Patent Application No. 2012-059476, filed on Mar. 15, 2012, the entire content of which is incorporated herein by reference. The present disclosure relates to a traveling reactor power monitoring system that moves a traveling probe in a nuclear reactor by feeding and spooling a probe cable in the reactor. More particularly, the disclosure is directed to a traveling reactor power monitoring system that monitors the drive torque appropriately. At a Boiled Water Reactor (BWR) power plant, to measure neutron flux in a nuclear reactor, Local Power Range Monitors (LPRMs) are provided in the reactor. Fissile material provided at an electrode in the LPRMs fissions and releases ionized atoms as a result of being irradiated with neutrons. Neutron flux is obtained by measuring the ionized atoms. However, the LPRMs are placed in the reactor constantly and are difficult to replace, and the sensitivity of the LPRMs declines with time because of consumption of the fissile material. Therefore, the sensitivity of the LPRMs needs to be calibrated at different times to measure neutron flux in the reactor precisely. At a Pressurized Water Reactor (PWR) power plant, to measure neutron flux in a nuclear reactor, fixed neutron sensors are provided at the outer periphery of the reactor. But the sensitivity of these fixed neutron sensors also declines with time and needs to be calibrated at different times. To calibrate the sensitivity of the LPRMs of the BWR and fixed neutron sensors of the PWR, a traveling reactor power monitoring system is provided in the nuclear power plant. Generally, the traveling reactor power monitoring system in the BWR is referred to as a Traversing In-core Probe (TIP) monitoring system. This TIP monitoring system moves a traveling probe, referred to as a TIP, in guide tubes provided in the reactor, and the TIP measures neutron flux in the proximity of the LPRMs while moving. By using measured neutron flux, the sensitivity of the LPRMs is calibrated. At a PWR power plant, the traveling reactor power monitoring system referred to as a Flux Mapping System moves a traveling probe (TIP) in the reactor, and the sensitivity of the fixed neutron sensors is calibrated by using measured neutron flux or gamma rays measured by the traveling probe. The traveling probe is attached to an edge of a probe cable, and the traveling probe moves in the guide tubes by feeding the probe cable from a storage reel and spooling the probe cable onto the storage reel by rotating a motor. This traveling probe measures radioactivity such as neutrons and gamma rays as the reactor power during moving in the reactor core. At this point, to reduce friction while the traveling probe and the probe cable move in the guide tube, the inside of the guide tubes can be coated with a lubricant. When the drive torque that is a torque necessary for moving the traveling probe and the probe cable exceeds an appropriate value, there is a possibility of damaging the guide tube, the traveling probe, and the probe cable by excessive friction. Therefore, the friction inside of the guide tubes can be monitored by measuring the drive torque. Japanese Patent Laid-open Publication No. 2002-71483 discloses a traveling reactor power monitoring system having a torque sensor attached to the motor shaft. That torque sensor measures the drive torque automatically. The above mentioned traveling reactor power monitoring system compares the drive torque and a predefined upper threshold during the neutron measuring operation, and generates an alarm when the drive torque exceeds the upper threshold. However, due to an inrush current or static frictional force, the drive torque at a time of starting driving the motor may be larger than the drive torque at driving the motor. As a result, despite an acceptable friction condition, the existing traveling reactor power monitoring system may generate an alarm when the drive torque at a time of starting driving the motor exceeds the upper threshold. Accordingly, an aspect of the disclosed embodiments provides a traveling reactor power monitoring system that monitors drive torque appropriately. In accordance with the disclosed embodiments, a traveling reactor power monitoring system includes a drive control unit configured to rotate a motor and move a traveling probe in a guide tube by driving the motor, a torque sensor configured to measure a drive torque of the motor, and a drive torque monitoring unit that generates an alarm when the drive torque exceeds a predefined upper threshold, and bypasses generating the alarm at a predefined bypass time, the predefined bypass time starting at a time of starting driving the motor. Additional objects and advantages of the disclosed embodiments will be set forth in part in the description which follows, and in part will be clear from the description, or may be learned by practice of the embodiments. The objects and advantages of the embodiments will be realized and attained by the elements and combinations particularly pointed out in the appended claims. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive. Reference will now be made in detail to the present embodiments, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. FIG. 1 shows a general Boiled Water Reactor (BWR) power plant. At the BWR power plant, the traveling reactor power monitoring system is referred to as a Traversing In-core Probe (TIP) monitoring system 1. The TIP monitoring system 1 moves a traveling probe referred to as a TIP 8 to measure neutron flux in the reactor core. Referring to FIG. 1, in a reactor container 31, a reactor pressure vessel 32 is stabilized. A reactor core 33 is a portion where the fission fuel is loaded in the reactor pressure vessel 32. A number of LPRMs 34 are provided in the reactor core 33. A number of guide tubes 24 are provided near the LPRMs 34 (FIG. 1 shows one of guide tubes 24.). Each guide tube 24 and LPRMs 34 account for a sensor assembly 35. The TIP monitoring system 1 includes a TIP drive unit 2, a probe cable 7, the TIP 8 (travelling probe), a monitoring device 9, and a process calculator 14. The TIP drive unit 2 includes a storage reel 3, a motor 4, a torque sensor 5, and a probe position signal generator 6. The monitoring device 9 and the process calculator 14 may be provided in a central monitoring room 41. The monitoring device 9 includes a drive control unit 10, a drive torque monitoring unit 11, a neutron monitoring unit 12, and a XY recording unit 13. The process calculator 14 includes a drive torque alarm unit 15 and a power distribution monitoring unit 17. As shown in FIG. 1, the TIP drive unit 2 is provided outside of the reactor container 31. One edge of the probe cable 7 is connected to the TIP 8, and the storage reel 3 may store the probe cable 7. The motor 4 is provided to feed the probe cable 7 from the storage reel 3 and spool the probe cable 7 onto the storage reel 3 by rotating the storage reel 3. Between the storage reel 3 and the motor 4, gears and crutch may be provided. The motor 4 can be connected to an inverter. The motor 4 receives supply power from the inverter to drive at a speed indicated by a drive command 104 from drive control unit 10. Furthermore, the torque sensor 5 is provided to measure the drive torque that is a torque necessary for moving the TIP 8 and the probe cable 7 in the guide tubes 24 by the motor 4. The probe position signal generator 6 is provided to monitor the length of spooling the probe cable 7 onto the storage reel 3. The probe position signal generator 6 may send the monitored cable length as the probe position signal 101 that indicates a probe position of the TIP 8. Moreover, the drive control unit 10 is connected to the motor 4 and an indexing device 23 so as to send a drive command 104 and send an indexing command 105 respectively. Furthermore, the drive control unit 10 sends the drive command 104 not only to the motor 4 but also to the drive torque monitoring unit 11. The drive torque monitoring unit 11 is connected to the torque sensor 5 and the probe position signal generator 6 to receive a drive torque signal 100 and receive a probe position signal 101 respectively. The TIP 8 may send a probe output signal 102 that indicates the measured neutron flux through a signal transmitting line in the probe cable 7. The TIP 8 is connected to the neutron monitoring unit 12 to send the probe output signal 102 to the neutron monitoring unit 12 through the probe cable 7. Additionally, the neutron monitoring unit 12 is connected to the probe position signal generator 6 to receive the probe position signal 101 from the probe position signal generator 6. A shielding vessel 21 is provided outside of the reactor container 31. The shielding vessel 21 may store and keep within it the TIP 8. A valve assembly 22 may be provided outside of the reactor container 31 and inside of the shielding vessel 21. An indexing device 23 is provided in the reactor container 31. The shielding vessel 21, the valve assembly 22, and the indexing device 23 are connected by a pipe to move the TIP 8 to the indexing device 23 from the shielding vessel 21 through the valve assembly 22. The valve assembly 22 may include a gas valve purging gas in the guide tubes 24 and a cutting valve cutting the pipe at an emergency situation. Furthermore, the valve assembly 22 can close the pipe without neutron monitoring. The guide tubes 24 are connected to the indexing device 23. Each guide tube 24 extends to under the reactor pressure vessel 32, and curves upwards. Furthermore, each guide tube 24 penetrates the bottom of the reactor pressure vessel 32, and extends vertically into the reactor core 33. In the central monitoring room 41, the drive control unit 10 is connected to the drive torque monitoring unit 11 to send the drive command 104 and receive a stopping drive command 106. Furthermore, the drive torque monitoring unit 11 is connected to the drive torque alarm unit 15 to send a drive torque alarm signal 107. The neutron monitoring unit 12 is connected to the XY recording device 13 to send measured neutron information 103. At first, measuring neutron flux is described as follows. Monitoring drive torque is described later. Ordinary, the TIP 8 is stored in the shielding vessel 21. At the time of measurement of neutron flux, the drive control unit 10 sends the drive command 104 to the motor 4. The motor 4 feeds the probe cable 7 from the storage reel 3, and moves the TIP 8 to the indexing device 23 from the shielding vessel 21. Furthermore, the drive control unit 10 sends indexing command 105 to the indexing device 23. The indexing device 23 indexes the TIP 8 to a designated guide tube 24 to measure neutron flux indicated in the indexing command 105. The drive control unit 10 further drives the motor 4 and inserts the TIP 8 into the designated guide tube 24. At each insert position, the drive control unit 10 moves TIP 8 at a predefined drive speed. The TIP 8 measures neutron flux as reactor power at predefined points during moving in the guide tube 24, and sends the probe output signal 102 to the neutron monitoring unit 12 through the probe cable 7. The neutron monitoring unit 12 receives the probe position signal 101 from the probe position signal generator 6. The neutron monitoring unit 12 recognizes the measured flux level at each insert position by the probe output signal 102 and the probe position signal 101. The neutron monitoring unit 12 sends the measured neutron flux at each insert position as the measured neutron flux information 103 to the XY recording unit 13. This measured neutron flux information 103 is also sent to the power distribution monitoring unit 16 via the XY recording unit 13 or directly from the neutron monitoring unit 12. The power distribution monitoring unit 16 calculates power distribution in the reactor core, and calculates the value for calibrating the LPRMs 34. After moving the TIP 8 to a predefined end point of the guide tube 24, the drive control unit 10 reverses motor 4 and spools the probe cable 7 onto the storage reel 3, and moves the TIP 8 back to the indexing device 23. If it is necessary to measure neutron flux in another guide tube 24, the drive control unit 10 sends the indexing command 105 to the indexing device 23. And the indexing device 23 indexes the TIP 8 to the other guide tube 24, and the drive control unit 10 moves the TIP 8 into the other guide tube 24. After moving the TIP 8 in all designated guide tubes 24, the drive control unit 10 spools the probe cable 7 onto the storage reel 3 and stores the TIP 8 in the shielding vessel 21. Next, a method for monitoring drive torque is described. The drive torque monitoring unit 11 receives the drive torque signal 100 from the torque sensor 5, and receives the probe position signal 101 from the probe position signal generator 6. The drive torque monitoring unit 11 compares the drive torque with a predefined upper threshold at each probe position. If the drive torque exceeds the upper threshold, the drive torque monitoring unit 11 sends a drive torque alarm signal 107 to the drive torque alarm unit 15. The drive torque alarm unit 15 generates an alarm by the drive torque alarm signal 107. Additionally, the drive torque monitoring unit 11 may add the probe position into the drive torque alarm signal 107. In this case, the drive torque alarm unit 15 can show the probe position where the drive torque exceeds the upper threshold at a monitor. Furthermore, if the drive torque exceeds the upper threshold, the drive torque monitoring unit 11 may send a stopping drive signal 106 to the drive control unit 10. The drive control unit 10 then stops driving the TIP 8 by the stopping drive signal 106. The shape and the length of guide tubes 24 may be different from each other. Furthermore, according to the insert distance of the TIP 8 into the guide tube 24, the contact area of the probe cable 7 and the guide tube 24 may increase, and as shown in FIG. 2 the drive torque that is a torque necessary for moving the TIP 8 and the probe cable in the guide tube 24 may then increase. Furthermore, at the curved portion 24a (FIG. 1) of the guide tube 24 under the reactor pressure vessel 32, the drive torque increases more rapidly than the vertical portion per unit distance Therefore, the upper threshold for generating the alarm may be set by adding a predefined value to the normal drive torque at each probe point. Here, at starting the measuring neutron flux operation, at a starting driving situation that the drive control unit 10 starts driving the motor 4 by sending the drive command 104, the drive torque at starting driving may be larger than the drive torque in a driving stably state. This is because the drive torque increases due to inrush current at starting driving the motor 4, and because a larger drive torque is needed to move the TIP 8 against static frictional force at starting driving. As a result, at starting driving, there is a possibility that the drive torque exceeds the upper threshold despite having an acceptable friction condition, and the drive torque monitoring unit 11 may mistakenly send the drive torque alarm signal 107 to the drive torque alarm unit 15 at the starting driving time. Therefore, according to this embodiment, the drive torque monitoring unit 11 receives the drive command 104, and stops comparing the drive torque and the upper threshold during a predefined time after receiving the drive command 104 indicating starting driving the motor 4. After the predefined time, the drive torque monitoring unit 11 starts comparing the drive torque and the upper threshold. This is because the drive torque after the predefined time settles down to more accurate values in a stable driving state. One of the methods for determining the bypass time is described as follows. At a plant check up, or at a time of installing the motor 4, the drive control unit 10 drives the motor 4 on a trial basis, and the torque sensor 6 measures the drive torque from the starting driving state to the stable driving state. As shown in FIG. 3, zone A is a starting driving state when the motor 4 starts driving, and zone B is a stable driving state when the motor 4 drives stably. By monitoring the drive torque, a time lapse after starting driving the motor 4 and before the stable driving state (zone B) can be set as the bypass time. That is, the bypass time can be set as the time of zone A. Another method for determining the bypass time is described as follows. By monitoring the supply of power to the motor 4, the time period from the inrush incurrent to a rated incurrent can be set as the bypass time. As shown in FIG. 4, zone C is in the starting driving state, and zone D is in a stable driving state. By monitoring the supply power, a time lapse after starting driving the motor 4 and before the stable driving state (zone D) can be set as the bypass time. With the above described embodiment, issuing an improper alarm is prevented by stopping comparing the drive torque and the threshold during a bypass time. Issuing an improper alarm can also prevented by stopping sending the drive torque alarm signal 107 during the bypass time. Furthermore, issuing an improper alarm can also be prevented by assigning an ordinary value to the drive torque during the bypass time. This embodiment may be modified as follows. When the drive torque exceeds the upper threshold, the drive control unit 10 stops moving the TIP 8 by the stopping drive command 106. In this case, the drive control unit 10 reverses the motor 4 and retrieves the TIP 8 by a predefined length, and inserts the TIP 8 again. By doing this re-inserting operation, any twist of the cable 7 and the way of the TIP 8 may be improved, and the flux measuring operation may be continued. During this re-inserting operation, by stopping comparing the drive torque and the upper threshold for a time until a stable driving state is achieved, issuing an improper alarm is prevented. Furthermore, the drive control unit 10 may memorize the probe position where the drive torque exceeds the upper threshold. In this case, at a next time of inserting the TIP 8, the drive control unit 10 drives the motor 4 at a predefined slower speed at this memorized probe position. As the result, the drive control unit 10 is able to continue driving at this probe position. The drive torque may increase by lubricant, such as molybdenum, clotting. As shown in FIG. 5, for example, molybdenum can be coated as a lubricant or an inside of the guide tubes 24. This molybdenum may be peeled from an inside wall of the guide tubes 24, and may be gathered partially as a molybdenum clotting. When the TIP 8 moves at this molybdenum clotting, the drive torque may increase temporary. At this molybdenum clotting, when the drive torque exceeds the threshold, the drive control unit 10 stops the TIP 8. The drive control unit 10 determines this stop position by the probe position signal 101, and drives the motor 4 at a slower speed at this stop position. Furthermore, the drive control unit 10 moves the TIP 8 back and forth at this stop position. In this case, this molybdenum clotting may be broken and homogenized by shuttling the TIP 8. In this operation, the alarm is bypassed and the motor 4 is driven at a slower speed. Additionally, this embodiment may be modified as follows. The drive control unit 10 may drive the motor 4 at a slower speed at a portion from the core bottom to the core top to measure the neutron flux. The drive control unit 10 can also then drive the motor 4 at a higher speed at the portion located blow the core bottom, because measuring neutron flux is not needed at this portion. And generally, motor output: P, motor rotating speed: ω and motor torque: T have a relation described by below formula (1).P [W]=ω [rad/s]×T [N·m]  (1) As shown in formula (1), in the condition that supply of power and motor output P is constant, the drive torque T1 at a higher speed driving is less than the drive torque T2 at a lower speed driving. Therefore, to monitor the drive torque T1 and T2 by using one upper threshold, the drive torque monitoring unit 11 multiplies the drive torque T1 by the predefined bias. This bias is set to consider the end point of the drive torque T1 and the start point of the drive torque T2 are equal, by driving the motor 4 from a higher speed to a lower speed under constant motor output. The torque sensor 5 may monitor the drive torque by using output power P that an inverter supplies to the motor. In this case, by above formula (1), the output power P1 at a higher speed driving is larger than the output power P2 at a slower speed driving. To monitor the output power P as one change, the drive torque monitoring unit 11 divides the output power P1 by the bias, and considers the output power P1 and P1/bias. At switching between slower and higher speeds, the drive torque may change due to the above conversion. Furthermore, there is a possibility that the drive torque may change rapidly. Therefore, by bypassing the alarm at a switching time such as for 3 seconds, issuing an alarm due to the switching is prevented. In this embodiment, it is possible to prevent issuing an alarm by stopping generating the alarm for a predefined time after starting driving the motor 4. This embodiment differs from the first embodiment in that, as shown in FIG. 6, the process calculator 14 further includes a fuel position calculating unit 17. The fuel position calculating unit 17 is connected to the probe position signal generator 6 to receive the probe position signal 101, and is connected to the torque sensor 5 to receive the drive torque signal 100. The fuel position calculating unit 17 receives the probe position signal 101 and the drive torque signal 100, and calculates the differential value of the drive torque. As shown FIG. 2, the drive torque increases exponentially at the curved portion 24a, and the differential value of the drive torque at the curved portion 24a is larger than at other portions. Thus, the fuel position calculating unit 17 compares the calculated differential value and a predefined threshold, and determines the position that the differential value exceeds the predefined value as the curved portion 24a. Here, the length between the curved portion 24a and the core bottom is definite. Therefore, the fuel position calculating unit 17 determines the position of the core bottom by adding a predefined value to the curved portion 24a. Furthermore, the fuel position calculating unit 17 determines the core top by adding a predefined value to the core bottom. In addition, the fuel position calculating unit 17 may determine the end insert point of the guide tube 24 when the drive torque increases by the TIP 8 reaching the end point of the guide tube 24. Furthermore, the fuel position calculating unit 17 determines a position a predefined length below (such as 12 m) the end insert point as the core bottom. By calculating a moving average of the drive torque, the fuel position calculating unit 17 can compare this moving average and the predefined value. In this case, a missed determination due to partial torque increasing by the molybdenum clotting is prevented. Furthermore, the fuel position calculating unit 17 may monitor the differential value only at a predefined range. In this embodiment, it is possible to determine the position of the core bottom and top by monitoring the differential value or the moving average of the drive torque. The above described embodiments can be modified in various different ways such as noted below. At a Pressurized Water Reactor (PWR) power plant, fixed neutron sensors are provided at an outer periphery of the reactor as substitute for LPRMs 34. Thus, the above mentioned TIP monitoring system 1 can be applied to the PWR power plant as a traveling reactor power monitoring system. Furthermore, at some PWR power plants, guide tubes 24 penetrate an upper portion of the reactor pressure vessel, and the traveling probe TIP 8 moves to the core bottom from the core top. In addition, the traveling power monitoring system can connect a gamma thermo meter as the traveling probe. This gamma thermo meter can measure gamma rays in the reactor core during moving. In this case, the traveling power monitoring system can measure gamma rays as reactor power. Obviously, numerous modifications and variations of the embodiment are possible in light of the above teachings. It is therefore to be understood that within the scope of the appended claims, the embodiments may be practiced otherwise than as specifically described herein.
summary
summary
043812813
summary
BACKGROUND OF THE INVENTION The impending energy crisis has been occupying considerable attention of scientists and power engineers in recent years. The dependence on nuclear fuels for the future appears more than likely. ("Power in the Year 2001"--Mechanical Engineering, December 1971.) Of the well known fissionable isotopes used for nuclear fuel enrichment, namely plutonium 239 and 241, uranium 235 and uranium 233, the latter has for some time appeared to offer the best economic possibilities for light-water reactors. This is based largely on the fact that the isotope thorium 232 from which uranium 233 is derived by nuclear reaction is in abundant supply. ("Thorium and the Third Fuel"--Joseph M. Dukert--U.S. Atomic Energy Commission.) Not only is thorium more abundant, but uranium 233 when burned as fuel produces more neutrons per atom destroyed than does uranium 235. Practical aspects and problems of the utilization of uranium 233 for power generation have been explored by one of the instant inventors and set forth in the literature. ("Power Cost Reduction by Cross-Progeny Fueling of Thermal and Fast Reactors"--L. W. Lang, NUCLEAR APPLICATIONS, November 1968; and "Utility Incentives for Implementing Crossed Progeny Fuelings"--L. W. Lang, NUCLEAR APPLICATIONS AND TECHNOLOGY, August 1970.) While it has been recognized that uranium 233 has many advantages as a fuel for power reactors its use has been accompanied by numerous disadvantages and problems. Most of these stem from the fact that the production of uranium 233 from thorium 232 is usually accompanied by the formation of uranium 232 and its daughter, thorium 228. These isotopes create radiation problems in the separation and fuel preparation processes as well as difficulties in reactor charging. Generally the presence of uranium 232 in over ten parts per million (ppm) in the final fuel embodiment is considered undesirable; under 10 ppm the fuel is termed "clean." As is well known to those skilled in the art, different reactor concepts produce different results when the thorium cycle is employed. To the present time thorium has been considered best utilized in the high temperature gas cooled reactors (HTGR) and molten-salt reactors (MSR). Its use in light-water reactors (LWR), both pressurized and boiling, has heretofore not been looked upon with much favor. ("The Role of Thorium in Power Reactor Development"--P. R. Kasten, ATOMIC ENERGY REVIEW, IAEA Vienna 1970; "Molten Salt Reactors"--H. G. MacPherson, INTERNATIONAL CONFERENCE, CONSTRUCTIVE USES OF ATOMIC ENERGY, 1966. ) Consideration of the thorium cycle has otherwise been generally confined to breeder reactors. The production of clean uranium 233 for use in power reactors is covered by a patent of one of the instant inventors, namely L. W. Lang, U.S. Pat. No. 3,658,644. This uses a fast breeder reactor (FBR) and novel combinations of reactor core and blanket. Actually until the time of the present invention a truly clean U-233 enriched reactor fuel having less than ten parts per million of uranium 232 has not been produced commercially for use in practical power reactors. The use of uranium 233 as a reactor fuel has been largely limited to the experimental stage. All previous systems utilizing thorium as a fertile material in power reactors have certain basic similarities. The thorium is mixed with a fissile driver fuel such as uranium 235. As the uranium 235 fissions the fertile fuel, thorium, is converted to uranium 233 as is well known to those skilled in the art. Continued irradiation, particularly by the fast neutrons from the driver fuel, namely those of an energy content greater than six Mev, create the undesirable isotope, uranium 232, described above. These fast neutron reactions result also from continued irradiation of the uranium 233 which has been formed and fissions in situ. The fissioning of the bred uranium 233 limits the length of irradiation and there remains the hitherto unsolved problem of thorium irradiation in thermal reactors. Specifically, difficulties in producing "clean" U-233 fuels stem from the fact that thorium 232 can be transformed to protoactinium 231 by extremely energetic neutrons (greater than 6 Mev). The protoactinium 231, by simple neutron capture, forms uranium 232 in the following series of reactions: ##STR1## The U-232 decays with a 74 year half-life to Th-228 which in turn has a 1.9 year half-life and heads a decay chain of short-lived isotopes some of which give off highly energetic beta and gamma radiation. Since chemical separations do not segregate isotopes of the same element, the U-232 is separated with the U-233 and when the former builds up to high levels, handling by remote methods is required. Similarly, the build-up of Th-228 denies re-use of the thorium. By separating thorium from the fissioning driver fuel the incidence of highly energetic neutrons, required to produce Pa-231 by (n, 2n) Th-232 reaction, is reduced. However, the U-233 formed in situ can in turn fission by thermal neutron absorptions. Thus, the U-233 produced from thermal neutron capture by Th-232 eventually limits the length of the irradiation since its fissioning produces energetic neutrons capable of forming Pa-231. Chemical processing of reactor fuels is a highly developed art. Thus, it is well known that uranium and thorium can be coprocessed using solvent extraction or similar methods, dividing the uranium and thorium into separate process streams. These separate streams can then be concentrated and converted to solids for fabrication into fuel elements. Where previously irradiated fissionable fuel is involved, provision is made for extracting and disposing of the fission products and for extracting and recycling plutonium as nuclear fuel. Fission element assay methods are available for determining the fissile content of the reactor fuel, both in-core and in subsequent chemical processing. It is, accordingly, a general object of the invention to provide a thorium fueled light-water cooled nuclear reactor wherein (n,2n) reactions are minimized and, hence, production of U-232 is minimized. It is a further object of the invention to provide for co-processing of the irradiated thorium with uranium so as to produce a U-233 enriched fuel in which the U-232 is diluted to safe handling levels. SUMMARY OF THE INVENTION We have discovered that by utilizing the novel reactor configuration and method of operation of our invention, which includes the use of special fuel elements and assemblies, we are able to produce uranium 233 low in U-232 content in a light-water reactor (LWR), either pressurized or boiling, operating with neutrons in the thermal range. We are consequently able to solve the safety and fuel fabrication problems and produce a new and superior fuel by combining this uranium 233 with other fissile and fertile materials. This results in a much more highly efficient nuclear power reactor fuel than has heretofore been possible, as set forth below. More specifically, we use a reactor configuration which separates, physically, the fertile thorium from the active fuel and which incorporates the use of a novel fuel element. This separation or segregation makes it possible for us to control the irradiation so that the thorium may be removed before it is subjected to appreciable action of fast neutrons thereby holding the formation of uranium 232 to a minimum. We then process the irradiated thorium by means of a separation process whereby the uranium 233 is safely incorporated directly into uranium for future use as fuel in a power reactor. We have discovered that such a clean uranium 233 fuel may be produced by using all or part of the depleted uranium reactor fuel from our reactor, depleted uranium from other reactors, uranium tails from the gaseous diffusion process, and/or natural uranium, thus producing a novel power reactor fuel. We have discovered that by use of our invention we are able to keep the amount of uranium 232 formed in thorium down to less than 10 ppm in the final fuel embodiment. This permits us to solve all the problems heretofore associated with the use of uranium 233 as set forth above.
062333062
claims
1. An apparatus for irradiating an object (10) by means of X-rays, including an X-ray source (7) for producing X-rays (44) for irradiating the object, which X-ray source is provided with a bundle of capillary tubes (46) which conduct X-rays, the end (48) of the bundle which is intended as an exit for the X-rays being provided with an X-ray transparent X-ray window (50), characterized in that the X-ray source (7) includes an X-ray tube having a vacuumtight housing (52) which is provided with a window opening (54) for conducting the X-rays (44) produced by the tube to the exterior of the housing, one end of the bundle is provided on the window opening (54) in a vacuumtight manner and that the capillary tubes at that end of the bundle are directed towards the location (56) where the X-rays are generated, the interior of the capillary tubes (46) is in vacuum contact with the vacuum space of the X-ray tube which is situated within the housing, and the X-ray transparent X-ray window (50) seals the interior of the capillary tubes from the environment in a vacuumtight manner. 2. An X-ray irradiation apparatus as claimed in claim 1, in which the thickness of the X-ray window (50) amounts to less than one micrometer. 3. An X-ray radiation apparatus as claimed in claim 1, in which the X-ray window (50) is made of a foil of a synthetic material. 4. An X-ray irradiation apparatus as claimed in claim 1, in which the X-ray window (50) is made of diamond. 5. An X-ray irradiation apparatus as claimed in claim 1, which apparatus is an X-ray analysis apparatus. 6. An X-ray irradiation apparatus as claimed in claim 1, which apparatus is an X-ray irradiation apparatus for medical purposes. 7. An X-ray irradiation apparatus as claimed in claim 1, which apparatus is an X-ray lithography apparatus. 8. An X-ray source as defined in claim 1.
summary
claims
1. A control device comprising:an input portion for inputting process signals transmitted from a plant;a numerical processing part that evaluates, by numerical processing performed on a CPU based on a program, a normal or an abnormal state for each inputted process signal, and outputs a respective Boolean value for each inputted process signal, wherein the respective Boolean value is indicative of the normal or abnormal state of the respective inputted process signal;a logical processing part that processes, on a logic circuit, the respective Boolean values, and then outputs a control signal related to a safety protection operation of the plant, wherein the logic circuit includes logic elements realized by hardware logic gates, whereinthe logical processing part comprises:a 1st-OR gate, an AND gate, and a 2nd-OR gate, whereinthe 1st-OR gate receives the respective Boolean values for each inputted process signal and a Boolean value originated in a manual starter of the safety protection operation;the AND gate receives a Boolean value originated in a manual stopper of the safety protection operation and the Boolean value outputted from the 2nd-OR gate, and then outputs the control signal related to a safety protection operation of the plant; andthe 2nd-OR gate receives a Boolean value outputted from the 1st- OR gate and the control signal outputted from the AND gate, and then outputs a Boolean value to the AND gate. 2. The control device according to claim 1, wherein the numerical processing part performs the numerical processing sequentially for the inputted process signals, and then finally outputs an operation complete signal. 3. The control device according to claim 1, further comprising:a watch dog timer for reporting a timeout information a period to end from a start of the logical processing part or a period to end of the logical processing part from a start of the numerical processing part exceeds a setting time. 4. The control device according to claim 1, wherein the numerical processing part calculates a hash value of the program and a constant, and then outputs the hash value with the respective Boolean values;the logical processing part evaluates the identity of a new hash value inputted at a first time and a last hash value inputted at a time prior to the first time. 5. The control device according to claim 1, wherein the inputted process signals are multiplexed with a cyclic code; and further comprising:a receiver for receiving the multiplexed process signals and then checking an error from the cyclic code; and a timer for holding the checked inputted process signals in the input portion for a predetermined time interval. 6. The control device according to claim 1, whereinthe input portion, the numerical processing part, and the logical processing part are mounted in separate extended boards respectively;each extended board is connected with a bus via a connector.
claims
1. A method, comprising:exposing one of a first probe and a second probe to a charged particle beam (CPB) of a charged particle beam device (CPBD); andexamining a current in at least one of the first and second probes, wherein the current is indicative of which of the first and second probes is exposed to the CPB. 2. The method of claim 1 further comprising displaying an image of at least one of:a device-under-test (DUT) when positioned within a chamber of the CPBD;the first probe when positioned within the CPBD chamber; andthe second probe when positioned within the CPBD chamber. 3. The method of claim 1 further comprising obtaining user input regarding desired manipulation of one of the first and second probes. 4. The method of claim 1 further comprising retrieving information via at least partial automation, wherein the information regards at least partially automated manipulation of one of the first and second probes. 5. The method of claim 1 further comprising:obtaining information regarding manipulation of one of the first and second probes; andgenerating a scan via at least partial automation, wherein the scan approximates a relocation path associated with the manipulation of the one of the first and second probes. 6. The method of claim 5 further comprising moving the one of the first and second probes substantially along the relocation path towards a target location included in the information. 7. The method of claim 1 further comprising determining positioning error based on current induced by the CPB in the exposed one of the first and second probes. 8. The method of claim 7 further comprising determining a correctional drive signal based on the positioning error. 9. The method of claim 1 further comprising:obtaining information regarding manipulation of one of the first and second probes; andgenerating a scan approximating a relocation path associated with the manipulation of the one of the first and second probes;moving the one of the first and second probes substantially along the relocation path towards a target location included in the information;determining positioning error based on the examined current;determining a correctional drive signal based on the positioning error;repeating iteratively the current examination, the positioning error determination, the correction drive signal determination, and moving the one of the first and second probes based substantially on the correctional drive signal, sequentially, until the determined positioning error falls within a predetermined acceptance criteria. 10. A method, comprising:directing a first electrical signal to at least one of a plurality of probes each positioned within a chamber of a charged particle beam device (CPBD);exposing at least one of the plurality of probes to a charged particle beam (CPB) of the CPBD; andcomparing a second electrical signal to the first electrical signal to determine a characteristic associated with the at least one of the plurality of probes. 11. The method of claim 10 wherein:directing the first electrical signal to at least one of the plurality of probes is directing each of a plurality of first electrical currents to a corresponding one of the plurality of probes;exposing at least one of the plurality of probes to the CPB is exposing a selected one of the plurality of probes to the CPB;the second electrical signal is a plurality of second electrical currents each measured from a corresponding one of the plurality of probes; andcomparing the second electrical signal to the first electrical signal to determine a characteristic associated with the at least one of the plurality of probes is examining the plurality of second electrical currents to determine which of the plurality of probes is the selected one of the plurality of probes exposed to the CPB. 12. The method of claim 11 further comprising displaying an image of at least the selected one of the plurality of probes. 13. The method of claim 11 further comprising:obtaining information regarding manipulation of the selected one of the plurality of probes;generating a scan approximating a relocation path associated with the manipulation of the selected one of the plurality of probes; andmoving the selected one of the plurality of probes substantially along the relocation path towards a target location included in the information. 14. The method of claim 10 further comprising at least one of preparing, conditioning and characterizing the at least one of the plurality of probes within the CPBD chamber. 15. The method of claim 10 wherein:directing a first electrical signal to at least one of the plurality of probes is directing a generated signal to a selected one of the plurality of probes;exposing at least one of the plurality of probes to the CPB is exposing each of the plurality of probes to the CPB;comparing the second electrical signal to the first electrical signal to determine a characteristic associated with the at least one of the plurality of probes is comparing the generated signal to an image signal created by the CPBD to identify the selected one of the plurality of probes to which the generated signal is directed based on a unique representation of the selected one of the plurality of probes relative to representations of other ones of the plurality of probes in the image signal. 16. The method of claim 15 wherein the image signal further represents a device-under-test (DUT) positioned within the CPBD chamber. 17. The method of claim 15 further comprising selecting the selected one of the plurality of probes to which the generated signal is directed. 18. The method of claim 10 further comprising:examining at least one of a shift and motion of an image signal associated with the CPBD; anddetermining at least one of a status and a change in status of an electrical characteristic of at least one of an environment of the CPBD chamber, a sample located with the CPBD chamber, and one of the plurality of probes located within the CPBD chamber, wherein the determining is based on the at least one of the image shift and motion. 19. An apparatus, comprising:means for directing a first electrical signal to at least one of a plurality of probes each positioned within a chamber of a charged particle beam device (CPBD);means for exposing at least one of the plurality of probes to a charged particle beam (CPB) of the CPBD; andmeans for comparing a second electrical signal to the first electrical signal to determine a characteristic associated with the at least one of the plurality of probes. 20. The apparatus of claim 19 further comprising the CPBD.
claims
1. A method of detecting charged particles, comprisingguiding said charged particles toward first and second electrodes;biasing said first and second electrodes, at potentials with said first electrode biased to attract positive ones of said charged particles, and said second electrode biased to attract negatively charged ones of said charged particles;wherein said first and second electrodes each emit secondary electrons in response to collisions by ones of said charged particles;attracting said secondary electrons to an inlet of an electron multiplier, biased to attract secondary electrons from both said first and second electrodes and causing said electron multiplier to emit electrons in response thereto; anddetecting said electrons emitted by said electron multiplier, at a detection surface biased at a potential above said first and second electrodes, to detect said electrons emitted by said electron multiplier, and thereby said charged particles. 2. The method of claim 1 wherein said biasing said second electrode comprises applying a bias voltage of between about +1 kV to +10 kV. 3. The method of claim 1 wherein said biasing said first electrode comprises applying a bias voltage of between about −1 kV to −10 kV. 4. The method of claim 1, wherein a voltage of about 0.1 kV and 1 kV are applied to said detection surface. 5. The method of claim 1, further comprising heating at least one of said first and second electrodes to a temperature between about 200° C. and 800° C. 6. An ion detector, comprisinga first electrode that emits secondary electrons when collided by a negatively charged ion;a second electrode that emits secondary electrons when collided by a positively charged ion;an electron detector for detecting emitted secondary electrons, said electron detector comprising an electron multiplier having an inlet biased to attract said secondary electrons emitted by either of said first and second electrodes in response to collisions with negatively and positively charged ions, and a detection surface to detect said secondary electrons emitted by either said first and second electrode, and attracted by said inlet;and at least one voltage source to bias said first electrode at a potential above ground, said second electrode at a potential below ground, and said detection surface of said detector at a potential above said first electrode. 7. The ion detector of claim 6, wherein said electron multiplier emits tertiary electrons in response to said secondary electrons, and wherein said detection surface detects said tertiary electrons. 8. The ion detector of claim 6, wherein said first electrode is formed of one of metal and semi-conductor material. 9. The ion detector of claim 8, wherein said second electrode is formed of one of metal and semi-conductor material. 10. The detector of claim 6, wherein said first electrode is formed of stainless steel. 11. The ion detector of claim 6, wherein said electron detector comprises a channel electron multiplier. 12. The ion detector of claim 11, wherein said channel electron multiplier comprises a ceramic channel. 13. The ion detector of claim 11, wherein said electron multiplier comprises a glass channel. 14. The ion detector of claim 11, wherein said channel electron multiplier has an exit proximate said detection surface and wherein said channel electron multiplier proximate said inlet is biased at a lower potential than said channel electron multiplier proximate said exit. 15. The ion detector of claim 6, wherein said electron multiplier comprises a discrete dynode electron multiplier. 16. The ion detector of claim 6, wherein said detection surface comprises a photo-emissive surface. 17. The ion detector of claim 6, wherein said first electrode is biased at a voltage between about +1 kV to +10 kV. 18. The ion detector of claim 6, wherein said second electrode is biased at a voltage between about −1 kV to −10 kV. 19. The ion detector of claim 6, wherein said detection surface is biased at least 100 volts above said first electrode. 20. The detector of claim 6, wherein said electron multiplier comprises a multi-channel plate multiplier. 21. The ion detector of claim 7, wherein said first and second electrodes each comprise an emission surface, and wherein emission surfaces lie in a plane at an angle of between 45 and 60 degrees relative to an axis perpendicular to the plane of said inlet of said electron multiplier. 22. The ion detector of claim 7, wherein said first and second electrodes each comprise an emission surface, and wherein emission surfaces lie in a plane at an angle of between 30 and 90 degrees relative to an axis perpendicular to the plane of said inlet of said electron multiplier. 23. The ion detector of claim 6, wherein said first electrode forms part of said electron multiplier. 24. The ion detector of claim 23, wherein said first electrode forms part of said inlet of said electron multiplier. 25. The ion detector of claim 6, wherein said electron detector comprises a pulse counting detector. 26. The ion detector of claim 6, wherein each of said first and second electrodes comprise non-planar emission surfaces for emitting said secondary electrons, in response to collisions with said emission surfaces. 27. A charged particle detector, comprisinga first conversion electrode that emits electrons when collided by a negatively charged particle;a second conversion electrode that emits electrons when collided by a positively charged particle;an electron multiplying detector for multiplying said emitted electrons, said multiplying detector having a detection surface, said electron multiplying detector having an inlet; andat least one voltage source to bias said first electrode at a potential above ground, said second electrode at a potential below ground, said inlet to attract said electrons emitted by both said first and second conversion electrodes, and said detection surface of said electron multiplier at a potential above said first and second electrodes. 28. A method of detecting charged particles, comprisingguiding said charged particles toward first and second collision surfaces;biasing said first and second collision surfaces, at potentials with said first collision surface biased to attract positive ones of said charged particles, and said second collision surface biased to attract negatively charged ones of said charged particles;wherein said first and second collision surfaces each emit secondary electrons in response to collisions by ones of said charged particles; anddetecting emission of said electrons by said collision surfaces at an electron detector comprising an inlet biased to attract said secondary electrons emitted by both said first and second collision surface to detect said charged particles. 29. A method of detecting charged particles, comprisingbiasing first and second collision surfaces, at first potentials with said first collision surface biased to attract positive ones of said charged particles, and said second collision surface biased to attract negatively charged ones of said charged particles;wherein said first and second collision surfaces each emit secondary electrons in response to collisions by ones of said charged particles; andguiding charged particles of a single first polarity toward first and second collision surfaces;detecting emission of said electrons by said collision surfaces at an electron detector to detect said charged particles of said first polarity;after said detecting, guiding charged particles of a second, opposite, polarity toward first and second collision surfaces;detecting emission of said electrons by said collision surfaces at said electron detector to detect said charged particles of said second polaritywherein said electron detector comprises an inlet biased to attract said secondary electrons emitted by both said first and second collision surfaces. 30. The method of claim 29 further comprising biasing first and second collision surfaces, at second potentials respectively above and below said first fixed potentials, after said guiding said charged particles of a single first polarity, and before said guiding charged particles of a second, opposite, polarity. 31. An ion detector, comprisingan electrode that emits secondary electrons when collided by positively charged ions;an electron detector for detecting emitted secondary electrons and negative ions, said electron detector having a detection surface, and an inlet, said inlet configured to attract negative ions, and electrons emitted by said electrode; andat least one voltage source to bias said electrode at a potential below ground, said inlet at a potential above said electrode, and said detection surface of said detector at a potential above said inlet.
abstract
A computed tomography system and an X-ray collimator thereof are provided, which have a good collimator effect. The X-ray collimator includes a plurality of first plates extending in the circumferential direction of the computed tomography system and a plurality of second plates extending in the axial direction of the computed tomography system. The first plates and the second plates are inserted and engaged. Two adjacent first plates and two adjacent second plates define a through hole, and the extensions of the side walls of the through hole intersect at the focal spot of an X-ray source, so that the X-rays can pass through the through hole in a straight line. Since the extensions of the side walls of the through holes intersect at the focal spot of the X-ray source, the through holes are aligned with the radiation direction of corresponding X-rays.
050531875
abstract
Apparatus for positioning a mast for the inspection and/or repair of a core shroud of a water-cooled nuclear reactor, heretofore have had television cameras directed at centering pins and bores from the side. Due to the inclined direction of surveillance, the alignment produced therewith has been inaccurate. In order to achieve a precise positioning, according to the invention a marking element which is provided between the television camera and the support plate has markings which can be brought into alignment with a marking made on the support plate. The centering pins and the bores are disposed concentrically to one another once they have been brought into alignment.
045333955
summary
FIELD OF THE INVENTION The invention relates to a method of making a fixation product of harmful water-containing waste materials and cement with or without additives (fixation matrix). BACKGROUND OF THE INVENTION Solid end products of harmful water-containing waste materials and cement with or without additives such as metal oxides, metal hydroxides, silicates of the alkaline or alkaline-earth metals or aluminosilicates, have been known for some time (DE-AS No. 2,426,641). Such solid products, however, contain only a relatively small amount of harmful wastes, generally less than 10% by weight based on the dry weight of the waste in the end product or the end product may have a water content of substantially more than 45% by weight on a water-to-cement basis or both. Harmful water-containing wastes are aqueous solutions or slurries such as slurries having suspended therein compounds which are harmful or dangerous for the biocycle, for example, poisonous or radioactive compounds. The solid end products of this type known so far have certain limits given by either the water-to-cement ratio, that is, the water-to-fixation compound ratio or the charging of the fixation compounds with waste. The smaller the volume of aqueous waste in relation to the fixation compounds, the smaller is the portion of harmful waste in the end product and also, the smaller is the relative amount of water-to-fixation compounds (weight percent to weight percent) in the end product. If the volume of the aqueous waste to be solidified is increased while the amount of fixation compounds remains unchanged, then the weight percent of dry waste is increased in the end product but the weight ratio of water-to-fixation compounds is also increased which, however, is only possible to a point that depends on the type of fixation matrix. Any amount of liquid to be solidified beyond that point cannot be contained by the fixation matrix. As a consequence of an addition of an excessive amount of liquid, the fixation matrix will not solidify or, if the fixation matrix can solidify, it will bleed liquid, that is, water or watery solutions will be left over after solidification of the matrix. In this connection, it is not foreseeable whether this bleed liquid contains harmful waste materials. Certainly, it is not reasonable to undergo a solidification process and, after solidification, have harmful liquid waste left over. The aqueous waste may be concentrated, for example, aqueous salt solutions may be subjected to evaporation so that the concentration of harmful waste in the end product is increased by a certain amount but this may cause problems with regard to the quality of solidification. In order to obtain a sufficiently large concentration of waste material, the aqueous solutions would have to be concentrated to such a degree that mechanical problems would occur which may render the process impossible to practice; for example, crystallization, transport problems, clogging by dried compounds might occur. The salt content of the end products which are made in accordance with presently known methods, particularly by encapsulating in barrels with cement, is limited by the salt concentration in the waste solution. The solidification of aqueous waste with a content of harmful salts of about 40% by weight leads to solid end products with a salt content of not more than 16% by weight in spite of the fact that the waste-to-cement ratio is about 0.4. The higher the water-cement value, that is, the weight ratio of water-to-solidification compound, in the end product, the smaller is the resistance of the solid end product to leaching by water or salt solutions. In addition to high resistance against leaching, it is necessary that the solid end product has also a relatively high mechanical strength. Of solid end products containing radioactive waste, a compression strength of 10 N/mm.sup.2 or more is required. Also, for harmful materials there should be: on the average, a leaching rate of better than 10.sup.-3 g/cm.sup.2 per day (when measured over the period of a year), PA1 a differential leaching rate of better than 10.sup.-4 g/cm.sup.2 per day (as measured at the end of the first year), and PA1 a diffusion parameter of better than 10.sup.-4 cm.sup.2 .times.d.sup.-1. PA1 (a) contains 33 to 50% by weight of harmful waste materials based on the dry weight of the waste in the end product (solid fixation products), and PA1 (b) has a water content corresponding to a water-to-cement ratio of 0.20 to 0.40. Only if these conditions are fulfilled, the end product may be considered leach resistant. It is the object of the present invention to provide a solid fixation product of harmful aqueous waste materials and a fixation matrix on the basis of an inorganic solidification compound, which fixation product is resistant to leaching by water and water solutions and is capable of containing a relatively large amount of waste in combination with a low water-to-solidification compound ratio. The fixation products shall have good mechanical properties, a good leach resistance and at least the retaining qualities of the known fixation products (with much lower content of harmful waste in the solid end product). The novel solid fixation product shall be producible in a continuous as well as in a batch-type process and, in any case, shall have especially crushing strength and leach resistance. It is, furthermore, an object of the present invention to provide a method of making such solid fixation products. SUMMARY OF THE INVENTION A method of making a solid fixation product of harmful water-containing waste materials and cement with or without additives (fixation matrix) which is resistant to leaching by water or salt solutions and which is composed of 20 to 50% by weight of harmful waste based on the dry weight of the waste in the solid end product and a water content in the end product corresponding to a water-to-cement ratio of 0.2 to 0.45 (water-cement number). If the waste materials are ion exchanger resins charged with poisonous waste, the amount is 26 to 50% by weight. Preferably, the solid fixation product: In the method according to the invention, in which the water-containing waste materials are concentrated by evaporation and in which the concentrate is mixed with the fixation matrix and solidified, the evaporation process and the mixing process are performed concurrently at temperatures of 100.degree. C. to 180.degree. C. The evaporation and mixing time is adjusted so as to obtain a water content in the end product corresponding to a water-cement number of 0.2 to 0.45. Evaporation and mixing may be performed on a continuous basis in a continuous mixer; but it may also be performed in a batch-type operation in a container. If necessary, evaporation and mixing is performed in the presence of a setting retardant. Also, evaporation and mixing may be performed in a vacuum environment of 20 to 50 m bar. It has been impossible, so far, to make leach-resistant solid end products of harmful aqueous waste materials which have a fixation matrix of mainly inorganic fixation materials which had such a high content of harmful waste materials in the end product. The product according to the invention has a number of important advantages: For example, the waste volume in the end product to be disposed of is substantially reduced when compared with the volume before fixation, that is, the volume of the solid product is only slightly larger than the volume of the dry portion of the waste because of the addition of only a relatively small amount of fixation materials. The solid end products are easy to produce in spite of a relatively large waste content and they may be produced with relatively low costs, little space, and small expenditure in time. It has already been proposed in connection with the fixation of radioactive waste water to concentrate the waste water by evaporation even to such a degree that a dry residue is obtained and thereafter admix the dry residue to an encapsulation material for solidification but such a process encounters problems since the relatively high viscosity of the pasty encapsulation material results in a relatively low limit for the content of waste material in the encapsulation material. If such dry residue is admixed to the cement paste, the high waste content in the end product, as it is possible with the process in accordance with the invention, cannot be obtained and the relatively low water-cement number is exceeded. In order to obtain a high waste loading of the end product, a certain amount of bentonite has been added to the encapsulating material, particularly water-absorbing bentonite, but this resulted in an increase of the viscosity of the cement paste so that it could not be properly mixed unless additional water was added to compensate for that disadvantage. A continuous encapsulation process in which a water-based encapsulating compound is the main component of the encapsulation matrix is known from DE-OS 32 02 518. As described therein, radioactive and/or poisonous materials containing water and/or crushed radioactive and/or poisonous solid materials are embedded in an encapsulating material mixture which solidifies and the mixture is filled into barrels or containers. In the process according to this German Laying-Open-Print, the principal idea is to mix the inactive materials such as cement and sand and the radioactive liquid materials such as evaporator concentrates or slurries so as to produce a volume which is as small as possible and thereafter fill it into containers. The arrangement is to be as small as possible and as much as possible of such materials are to be solidified in the smallest possible space resulting also in a saving in encapsulating compounds. Especially equipment and machine parts which are contaminated by radioactive materials should require the least possible volume after their embedment into a solidifying encapsulating material mixture. This is achieved in accordance with the German OS in that a dry encapsulating material mixture is introduced into a radiation protective chamber or similar containment and is mixed therein with the materials to be encapsulated and the mixture is then permitted to set. It is said that it is particularly advantageous if the encapsulating material is mixed with radioactive or poisonous water so as to harden thereafter. With this method, not only radioactive particulate materials but also the radioactive water are solidified. The pre-mixed encapsulating materials (mortar mixture), the particulate radioactive solid materials and finally radioactive ion exchange resins are introduced into a continuous mixer by means of augers and are mixed in a mixing zone with radioactive water or slurries by means of a mixing paddle wheel. Process conditions such as the amount and consistency of the encapsulating materials, the ratio of amounts of encapsulating materials to radioactive and/or poisonous water or similar, the ratio of amounts of encapsulating materials to radioactive or poisonous crushed solid materials, the ratio of amounts of encapsulating materials to radioactive or poisonous ion exchanger resins, etc., are not addressed in the above German OS. It must therefore be assumed that this process operates under the known conditions as usually employed. Increased waste loading and, at the same time, a low water-cement number cannot be achieved with this method. Suitable fixation matrix materials for the method according to the invention are, for example, cements on the basis of Portland cement such as the Portland cements themselves, blast furnace cements, trass cements, pozzuolona cements, etc., or water-setting ceramic cements such as deep drill cements. The cements may be utilized each alone or in any suitable mixture of two or more of the cements or in the form of mixtures with additives of, for example, alkali- or alkaline-earth silicate, etc. Under normal conditions, as they are prevalent, for example, in the building industry, the setting and hardening of cement is accelerated at higher temperatures. This effect is utilized, for example, by hardening concrete and cement building blocks at higher temperatures in autoclaves in order to obtain their final strength already after a relatively short time (one day as compared to one week). Under such conditions, the setting takes place already within a few minutes. It has now been found during research concerning the fixation with cement of NaNO.sub.3 containing radioactive waste concentrates that those mixtures with cement (Portland cement or blast furnace cement) can, surprisingly, be treated over extended periods of time (45-60 minutes) at temperatures of up to 180.degree. C. without resulting in a premature setting. The end products are hardened after several hours and, after 28 days, have achieved a crush resistance comparable to that of the products made in accordance with the known methods. It becomes, therefore, possible to produce, in a single process step (combined mixing and evaporating), cement products with a low water-cement number, that is, with a higher salt content, than it is possible with the known "in-barrel cementing" methods. In comparison with the known two-stage process, in which the waste water is first evaporated and the dry residue is then mixed with cement paste in separate process steps, the process according to the present invention has a number of advantages. It is a one-step process which may be operated either in a continuous or a batch-type fashion. Material dosage is facilitated since only cement and the (for example) waste or waste concentrates have to be mixed in a reaction vessel, that is, the only solid material which needs to be admetered is the cement; there is no evaporation residue to be admetered which is difficult since it generally includes lumps and tends to stick together. An important advantage of the process according to the present invention resides further in the fact that it may be performed in a continuous operation and that the waste content of the end product is easily controllable in a wide range by adjustment of the composition of the initial mixture and the degree of evaporation in the mixer. Another advantage is found in the fact that the higher concentration of radioactive materials in the final storage containers, although providing for increased radioactivity in the containers, results in a substantially reduced expenditure in shielding materials: Taking, for example, 400 l barrels with cast iron shielding walls in connection with the encapsulation therein of radionuclide Cobalt-60: If the shielding wall thickness of such barrels is doubled, the volume of the shielding material is increased by a factor of 2.5. However, the Cobalt-60 radioactivity admissible within the container may be increased by a factor of 40. This relationship becomes even more favorable with further increased radioactivity. For the encapsulation of concentrates of medium-(radio)active wastes (MAW-concentrates), the respective ratios are even more favorable since the nuclide spectrum in those encapsulation products contains low energy gamma radiation which will not penetrate the shielding walls of increased thickness and, therefore, do no longer need to be taken into consideration. Hereafter, the invention is illustrated on the basis of a simplified flow scheme given in the single FIGURE. Encapsulation examples of aqueous wastes are given as follows:
claims
1. A system comprising:a nuclear reactor disposed in a containment structure, the nuclear reactor including an internal steam generator and a nuclear core immersed in primary coolant water, the nuclear core comprising fissile material;a steam line connected to an outlet of the steam generator and passing through the containment structure to convey steam from the internal steam generator;a feedwater line connected to a first inlet of the steam generator and passing through the containment structure to convey feedwater into the internal steam generator;a condenser disposed outside the containment structure;a condenser inlet line tapping off the steam line at a point outside the containment structure and feeding into an inlet of the condenser; anda condensate injection line conveying condensate from an outlet of the condenser into a second inlet of the steam generator,wherein the first inlet of the steam generator is independent of the second inlet of the steam generator. 2. The system of claim 1 further comprising a valve on the condensate injection line and isolation valves outside the containment structure on the feedwater line and on the steam line, wherein the valves have:an operating configuration in which the isolation valves on the feedwater line and on the steam line are open and the isolation valve on the condensate injection line is closed, anda heat removal configuration in which the isolation valves on the feedwater line and on the steam line are closed and the isolation valve on the condensate injection line is open. 3. The system of claim 2, further comprising a bleed valve connecting the condensate injection line with the feedwater line, the bleed valve being open in the operating configuration to keep the condenser in a hot standby state and closed in the heat removal configuration. 4. The system of claim 2, wherein the condenser is an air-cooled condenser. 5. The system of claim 4, wherein the air-cooled condenser includes a fan and a speed control drive that controls fan speed in the heat removal configuration based on primary coolant temperature. 6. The system of claim 5, wherein the speed control drive controls fan speed to turn the fan off when the primary coolant temperature is below a minimum temperature. 7. The system of claim 6, wherein the speed control drive controls fan speed to operate at maximum fan speed when the primary coolant temperature is above a maximum temperature. 8. The system of claim 7, wherein the maximum temperature is greater than the minimum temperature. 9. The system of claim 1, wherein the condenser is an air-cooled condenser that is cooled by at least one fan. 10. The system of claim 7, wherein the condensate injection line injects the condensate back to the internal steam generator at an elevated point.
summary
summary
claims
1. A method for irradiating a target volume with an ion beam;wherein the irradiation of the target volume is divided over time into at least one radiography phase and at least one deposition phase;wherein the energy of the ion beam is altered over time between the at least one radiography phase and the at least one deposition phase, such thati) in the at least one radiography phase, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam an ion radiograph of the target volume is acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the at least one deposition phase, the range of the ion beam is within the target volume, so that the ion beam is stopped in the target volume to deposit a predetermined dose in the target volume,wherein in the at least one deposition phase, the intensity of the ion beam is set considerably higher than in the at least one radiography phase. 2. The method as claimed in claim 1,wherein the irradiation of the target volume is divided over time into a plurality of radiography phases and a plurality of deposition phases;wherein between the radiography phases and the deposition phases the energy of the ion beam is alternately switched up and down, so that in alternating cycles:i) in the radiography phases, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam ion radiographs of the target volume are acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the deposition phases, the range of the ion beam is within the target volume, so that the ion beam is stopped in the target volume to deposit a respective predetermined dose in the target volume. 3. The method as claimed in claim 2,wherein in the deposition phases different isoenergy layers of the target volume are targeted with the ion beam to deposit a predetermined dose in the respective isoenergy layers, and wherein a radiography measurement according to i) is performed at least prior to the irradiation of each isoenergy layer for depositing a dose. 4. The method as claimed in claim 1,wherein in the at least one deposition phase the energy of the ion beam is reduced from the higher energy for radiography to the lower energy for deposition using a passive energy modulator. 5. The method as claimed in claim 1,wherein the target volume is a target volume that is cyclically moving during the irradiation, and the cyclical movement of the target volume is divided into a plurality of movement phases, and wherein the duration of the at least one radiography phase or the plurality of radiography phases is not greater than the duration of each of the movement phases. 6. A method for irradiating a target volume with an ion beam;wherein the irradiation of the target volume is divided over time into at least one radiography phase and at least one deposition phase;wherein the energy of the ion beam is altered over time between the at least one radiography phase and the at least one deposition phase, such thati) in the at least one radiography phase, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam an ion radiograph of the target volume is acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the at least one deposition phase, the range of the ion beam is within the target volume, so that the ion beam is stopped in the target volume to deposit a predetermined dose in the target volume,wherein the movement of the target volume is compensated for by active ion beam tracking, and wherein the active ion beam tracking is controlled in response to the ion radiographs acquired by the ion radiography detector. 7. The method as claimed in claim 1,wherein in the at least one radiography phase, a laterally two-dimensionally spatially resolved ion radiograph is acquired by passing the ion beam through a plurality of grid points of the target volume and determining the range of the ion beam after it has passed through the target volume for each of the grid points in the ion radiograph to create an at least two-dimensional map of the range of the ion beam. 8. The method as claimed in claim 1,wherein the irradiation method is a scanning method and wherein in the at least one radiography phase, the ion beam is wobbled across at least a portion of the lateral area of the target volume. 9. The method as claimed in claim 8,wherein in at least one of the plurality of deposition phases, the ion beam is scanned across the clinical target volume; andwherein in the at least one the plurality of radiography phases, the ion beam is wobbled across at least a portion of the lateral area of the internal target volume beyond the clinical target volume. 10. The method as claimed in claim 1,wherein a range simulation calculation is performed in order to calculate simulated target values for the range of the ion beam after it has passed through the target volume in the radiography phase;wherein during irradiation in the radiography phase the actual range of the ion beam after having passed through the target volume is determined; andwherein the determined actual ranges are compared with the simulated target values. 11. The method as claimed in claim 1,wherein a range simulation calculation is performed for a plurality of grid points to create a multi-dimensional map of simulated target values of the range of the ion beam;wherein during the irradiation in the radiography phase, the actual range of the ion beam after having passed through the target volume is determined for a plurality of grid points, and based thereon a multi-dimensional ion radiograph with the respective actual ranges of the ion beam is created; andwherein the ion radiograph is compared with the map of simulated target values. 12. The method as claimed in claim 1,wherein additionally the movement of the target volume or a movement surrogate is measured using an internal or external movement measuring system, and wherein the measurement results of the movement measuring system are automatically associated with the ion radiographs acquired using the ion radiography detector, and wherein the irradiation is controlled based on said associated data. 13. The method as claimed in claim 1,wherein additionally the movement of the target volume or a movement surrogate is measured using an internal or external movement measuring system, and wherein the alternation between the at least one radiography phase and the at least one deposition phase is controlled in response to the measurement results of the movement measuring system. 14. A method for irradiating a target volume with an ion beam;wherein the irradiation of the target volume is divided over time into at least one radiography phase and at least one deposition phase;wherein the energy of the ion beam is altered over time between the at least one radiography phase and the at least one deposition phase, such thati) in the at least one radiography phase, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam an ion radiograph of the target volume is acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the at least one deposition phase, the range of the ion beam is within that target volume, so that the ion beam is stopped in the target volume to deposit a predetermined dose in the target volume,wherein in the at least one radiography phase, the target volume is irradiated from more than one direction thereby acquiring at least one ion radiograph with more than two spatial dimensions. 15. An irradiation system for irradiating a target volume with an ion beam, comprising:an accelerator and beam guiding device for generating and accelerating an ion beam and for guiding and directing the ion beam to the target volume;a controller device for controlling the irradiation;a device for varying the energy of the ion beam over time, between at least one radiography phase and at least one deposition phase, by means of whichi) in the at least one radiography phase the energy of the ion beam is adjusted to a radiography energy having a range distal with respect to the target volume wherein the ion beam passes through the target volume;ii) in the at least one deposition phase the energy of the ion beam is adjusted to a deposition energy having a range within the target volume, wherein the ion beam is stopped in the target volume in order to deposit a predetermined dose in the target volume;an ion radiography detector arranged distal with respect to the target volume for acquiring ion radiographs of the target volume by detecting the ion beam that passes through the target volume in the radiography phase,wherein said controller device is configured to control the irradiation system in a manner so that in the at least one deposition phase the intensity of the ion beam is set to be considerably higher than in the at least one radiography phase. 16. The irradiation system as claimed in claim 15,wherein the device for varying the energy of the ion beam over time switches up and down the energy of the ion beam in an alternating sequence that includes a plurality of radiography phases and a plurality of deposition phases, such that in cyclically alternating manner:i) in each of the radiography phases the energy of the ion beam is adjusted to the radiography energy, with a range distal with respect to the target volume, wherein the ion beam passes through the target volume;ii) in the deposition phases the energy of the ion beam is adjusted to the deposition energy, with a range within the target volume, wherein the ion beam is stopped in the target volume to deposit a respective predetermined dose in the target volume. 17. The irradiation system as claimed in claim 16,wherein said controller device is configured to control the irradiation system so that:in the deposition phases different isoenergy layers of the target volume are approached with the ion beam to deposit a respective predetermined dose in the isoenergy layers; andwherein a radiography measurement is performed using the ion radiography detector at least prior to the irradiation of each isoenergy layer for depositing a dose. 18. The irradiation system as claimed in claim 15, wherein the device for varying the energy of the ion beam over time comprises a passive energy modulator which in the at least one deposition phase reduces the energy of the ion beam from the higher radiography energy to the lower deposition energy. 19. The irradiation system as claimed in claim 15, comprising means for dividing the movement of a target volume that is cyclically moving during the irradiation into a plurality of movement phases, wherein the duration of the at least one radiography phase is not greater than the duration of each of the movement phases. 20. An irradiation system for irradiating a target volume with an ion beam, by way of example using a method for irradiating a target volume with an ion beam;wherein the irradiation of the target volume is divided over time into at least one radiography phase and at least one deposition phase;wherein the energy of the ion beam is altered over time between the at least one radiography phase and the at least one deposition phase, such thati) in the at least one radiography phase, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam an ion radiograph of the target volume is acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the at least one deposition phase, the range of the ion beam is within the target volume, so that the ion beam is stopped in the target volume to deposit a predetermined dose in the target volume,wherein they system comprises:a device for compensating the movement of the target volume by active ion beam tracking, wherein the controller device is adapted to control the active ion beam tracking in response to the ion radiographs acquired by the ion radiography detector. 21. The irradiation system as claimed in claim 15, wherein the ion radiography detector is a spatially resolving detector which acquires a respective laterally two-dimensionally spatially resolved ion radiograph in the at least one radiography phase by having the ion beam passing through a plurality of grid points of the target volume;further comprising a computing device for determining the range of the ion beam after it has passed through the target volume for each of said grid points in the ion radiographs and for creating an at least two-dimensional map of the range of the ion beam after having passed through the target volume. 22. The irradiation system as claimed in claim 15,wherein the system comprises a scanning device for scanning the ion beam across the target volume, wherein the controller device is adapted to control the scanning device so thatin the at least one deposition phase, the ion beam is scanned across the target volume to deposit a dose; andin the at least one radiography phase, the ion beam is wobbled across at least a portion of the lateral area of the target volume. 23. The irradiation system as claimed in claim 22,wherein the controller device is adapted to control the scanning device so that in the at least one deposition phase, the ion beam is scanned across the clinical target volume, and in the at least one radiography phase the ion beam is wobbled across at least a portion of the lateral area of the internal target volume beyond the clinical target volume. 24. The irradiation system as claimed in claim 15,wherein the system comprises computing device, configured to:perform a range simulation calculation in order to calculate simulated target values for the range of the ion beam after it has passed through the target volume in the at least one radiography phase;determine the actual range of the ion beam after it has passed through the target volume in the at least one radiography phase in response to the measured values of the ion radiography detector; andcompare the determined actual ranges with the simulated target values. 25. The irradiation system as claimed in claim 15,wherein the system comprises a computing device, configured to:perform a range simulation calculation for a plurality of grid points to create a multi-dimensional map of simulated target values of the range of the ion beam after it has passed through the target volume in the radiography phase;determine the actual ranges of the ion beam after it has passed through the target volume for a plurality of grid points, and to create a multi-dimensional ion radiograph with the respective actual ranges of the ion beam; andcompare the ion radiograph with the map of simulated target values. 26. The irradiation system as claimed in claim 15,wherein the system comprises an internal or external movement measuring system for measuring the movement of the target volume or of a movement surrogate, and wherein the controller device is configured to:receive measurement results of the movement measuring system;receive ion radiographs of the ion radiography detector;andautomatically associate the measurement results and the ion radiographs and to control the irradiation in response to the associated data. 27. The irradiation system as claimed in claim 15,wherein the system comprises an internal or external movement measuring system for measuring the movement of the target volume or of a movement surrogate, and wherein the controller device is configured to:control the alternation between in the at least one radiography phase and the at least one deposition phase in response to the measurement results of the movement measuring system. 28. An irradiation system for irradiating a target volume with an ion beam, by way of the example using a method for irradiating a target volume with an ion beam;wherein the irradiation of the target volume is divided over time into at least one radiography phase and at least one deposition phase;wherein the energy of the ion beam is altered over time between the at least one radiography phase and the at least one deposition phase, such thati) in the at least one radiography phase, the range of the ion beam is distal with respect to the target volume, so that the ion beam passes through the target volume, and wherein by means of the ion beam an ion radiograph of the target volume is acquired by detecting the ion beam with an ion radiography detector that is arranged distal with respect to the target volume; andii) in the at least one deposition phase, the range of the ion beam is within the target volume, so that the ion beam is stopped in the target volume to deposit a predetermined dose in the target volume,wherein the irradiation system is configured to irradiate the target volume from more than one direction in the at least one radiography phase to thereby acquire at least one ion radiograph with more than two spatial dimensions.
053696778
claims
1. A device for load-testing of specimens (3) in a nuclear reactor environment, characterized in that at one of the pipes (1) of the nuclear reactor for conveying a first medium under pressure, there is fixed a testing device (2) comprising a first space (14) in open communication with said pipe (1), a movable pull rod (15) arranged in said first space (14), one end of said pull rod (15) being intended to be attached to one half (16) of a specimen (3) arranged in the space (14), the other end of said pull rod (15) being joined to a tensile force device, capable of being influenced by the first medium, for achieving a tensile stress in the specimen (3) via the pull rod (15). 2. A device according to claim 1, characterized in that the testing device (2) comprises a first sleeve (13, 6, 8), connected to the pipe (1) in open communication, and an extension, which is movable in relation to the first sleeve, in the form of a second sleeve (9), said sleeves together surrounding at least part of said first space (14), a pull rod (15) arranged in said first space (14) with one end fixed to the movable second sleeve (9), the other end of the pull rod (15) being adapted to be attached to one half (16) of a specimen (3) fixed in the space (14), said second sleeve (9) being adapted to be influenced by a first medium supplied from the pipe (1) in order to achieve a tensile stress in the specimen (3) via the pull rod (15). 3. A device according to claim 2, characterized in that the first and second sleeves are interconnected by means of a bellows (10), said second sleeve (9) and bellows (10) being surrounded by a third sleeve (11) forming a second space (12) around said second sleeve (9) and the bellows (10), said second sleeve (12) containing or being connectable to a second medium of lower pressure than said first medium. 4. A device according to claim 3, characterized in that said second space (12) is also connectable to a medium of the same or a higher pressure in relation to said first medium. 5. A device according to claim 1 or 2, characterized in that several specimens (3) are connected in series in said first space (54). 6. A device according to claim 1 or 2, characterized in that the testing device (2, 42) is detachably attached to said pipe (1, 41).
claims
1. An apparatus for generating a repetitive time-varying alternating current waveform to generate a time-varying magnetic field having a peak value in a magnetic core of a magnet, wherein said repetitive time-varying alternating current waveform alternates from a first constant value to a second constant value, the apparatus comprising: one or more coils coupled around said magnetic core, wherein each of said one or more coils have a first and second terminal for the application of an energizing power; a power amplifier capable of delivering excess electrical power of a desired polarity to each of said one or more coils to sustain a continuous magnetic field by overcoming ohmic losses in each of said one or more coils, said continuous magnetic field having a value equal to or exceeding the peak value in the desired alternating magnetic field waveform; and a capacitor having a positive and negative terminal which can be connected by switching means in series with said power amplifier and each of said one or more coils, the capacitor being large enough that the reactance of the capacitor is less than the inductive reactance of each of said one or more coils at a required frequency of operation, and the switching means being capable of being operated in three modes, named mode 1, mode 0, mode xe2x88x921, where mode 1 is to connect the capacitor in series with its positive terminal connected to one terminal of each of said one or more coils and its negative terminal to one terminal of the power amplifier, mode 0 is to connect each of said one or more coils terminal directly to said power amplifier terminal omitting the capacitor, which is left open-circuit, and where mode xe2x88x921 is to connect the capacitor in series with its negative terminal connected to said terminal of each of said one or more coils and its positive terminal to said terminal of the amplifier. 2. The apparatus of claim 1 , wherein said amplifier is programmed by a voltage waveform proportional to the desired current in said coil. claim 1 3. The apparatus of claim 1 , wherein said amplifier is programmed by a voltage waveform proportional to the desired magnetic flux in said magnetic core. claim 1 4. An apparatus for generating a repetitive time-varying alternating current waveform to generate a time-varying magnetic field having a peak value in a magnetic core of a magnet, wherein said repetitive time-varying alternating current waveform alternates from a first constant value to a second constant value, the apparatus comprising: one or more coils coupled around said magnetic core, wherein each of said one or more coils have a first and second terminal for the application of energizing power; a power amplifier fed by a voltage waveform, the amplifier capable of delivering electrical power of a desired polarity to each of said one or more coils to sustain a continuous magnetic field by overcoming an ohmic loss in each of said one or more coils, said continuous magnetic field having a value equal to or exceeding the peak value in the desired alternating magnetic field waveform; and a capacitor having a positive and negative terminal which can be coupled by a switch in series with said power amplifier and each of said one or more coils, the capacitor being large enough that the reactance of the capacitor is less than the inductive reactance of each of said one or more coils at a required frequency of operation, and the switch being capable of being operated in three modes. 5. The apparatus of claim 4 , wherein the amplifier is fed by a voltage waveform proportional to a desired current in each of the one or more coils. claim 4 6. The apparatus of claim 4 , wherein the amplifier is fed by a voltage waveform proportional to a desired magnetic flux in said magnetic core. claim 4 7. The apparatus of claim 4 , wherein the amplifier is fed by a voltage waveform proportional to a desired voltage in each of the one or more coils. claim 4 8. The apparatus of claim 4 , wherein the amplifier is fed by a voltage waveform proportional to a desired rate of change of flux in said magnetic core. claim 4 9. The apparatus of claim 4 , wherein the switch switches to a first mode of the three modes if a slope value of the voltage waveform is above a threshold value. claim 4 10. The apparatus of claim 4 , wherein the switch switches to a second mode of the three modes if a slope value of the voltage waveform is below a threshold value. claim 4 11. The apparatus of claim 4 , wherein the switch switches to a third mode of the three modes if a slope value of the voltage waveform is at or between a first threshold value and a second threshold value. claim 4 12. The apparatus of claim 4 , wherein the first of the three modes couples a positive terminal of the capacitor in series with the first terminal of each of said one or more coils and couples a negative terminal of the capacitor to a first terminal of the power amplifier. claim 4 13. The apparatus of claim 4 , wherein the second of the three modes couples the first terminal of each of said one or more coils with a first terminal of the power amplifier to open circuit the capacitor. claim 4 14. The apparatus of claim 4 , wherein the third of the three modes couples a negative terminal of the capacitor in series with the first terminal of each of said one or more coils and couples a positive terminal of the capacitor to a first terminal of the power amplifier. claim 4 15. The apparatus of claim 4 , wherein the capacitor is charged or discharged by a power supply. claim 4 16. The apparatus of claim 4 , wherein the capacitor is charged or discharged to a desired voltage level by operation of the amplifier and the switch in response to the voltage waveform. claim 4 17. The apparatus of claim 4 , wherein the amplifier comprises one of an energy source and an energy sink. claim 4 18. The apparatus of claim 4 , wherein the switch is synchronized to switch at a point where an average voltage developed on the capacitor reaches a desired average value. claim 4 19. The apparatus of claim 4 , wherein two or more of the coils are coupled in parallel around said magnetic core. claim 4 20. The apparatus of claim 4 , wherein two or more of the coils are coupled in series around said magnetic core. claim 4 21. The apparatus of claim 4 , further comprising a current sensing means coupled in series with the one or more coils to determine a current value flowing through the one or more coils. claim 4 22. An apparatus for generating a repetitive time-varying alternating current waveform to create a time-varying magnetic field having a peak value in a magnetic core of a magnet, wherein said repetitive time-varying alternating current waveform alternates from a first constant value to a second constant value, the apparatus comprising: one or more coils coupled around said magnetic core, wherein each of said one or more coils have a first and second terminal for the application of energizing power; a voltage source capable of delivering a voltage signal at a voltage level with sufficient electrical power to meet a dissipative loss value on the one or more coils when energized to produce the repetitive time-varying alternating current waveform, wherein the voltage level is selected to produce a maximum rate of change of current in the one or more coils; and a capacitor coupled to the voltage source having a capacitance value sufficient to resonate with the one or more coils at a frequency significantly lower than half of a minimum frequency value of the time-varying magnetic field; a switch to couple the capacitor to the one or more coils, the switch being able to operate in three modes; a sensing means to compare the current in the one or more coils with a reference time-dependent programming signal to generate an error signal; and a control means responsive to the error signal for controlling the switch to switch between the application of one of a forward electromotive force, no electromotive force and a reverse electromotive force to the one or more coils to minimize the error signal. 23. The apparatus of claim 22 , wherein a first mode of the three modes couples a positive terminal of the capacitor with a first terminal of the one or more coils and a negative terminal of the capacitor with a second terminal of the one or more coils so that the capacitor and the one or more coils are coupled in series. claim 22 24. The apparatus of claim 22 , wherein a second mode of the three modes couples a first terminal of the one or more coils to a second terminal of the one or more coils to place the capacitor in an open circuit state. claim 22 25. The apparatus of claim 22 , wherein a third mode of the three modes couples a negative terminal of the capacitor to a first terminal of the one or more coils and a positive terminal of the capacitor to a second terminal of the one or more coils to couple the capacitor in series with the one or more coils. claim 22 26. The apparatus of claim 22 , wherein the one or more coils are coupled in series around said magnetic core. claim 22 27. The apparatus of claim 22 , wherein the one or more coils are coupled in parallel around said magnetic core. claim 22 28. The apparatus of claim 22 further comprising, claim 22 an aperture plate insertable in a scanned ion beam at a reference location; and a Faraday cup located in a plane after said aperture plate and connected to a measuring means effective to measure a beam current transmitted through any of a plurality of through holes in the aperture plate. 29. The apparatus of claim 28 , wherein the Faraday cup and the measuring means are capable of determining an amplitude and a waveform of the scanned ion beam. claim 28 30. A method for generating a waveform that is capable of controlling an apparatus supplying a time-varying current waveform to one or more coils around a magnet having a laminated magnetic core for deflecting a charged particle beam by means of a time-varying magnetic field, wherein the charged particle beam passes in a vacuum through the laminated magnetic core of the magnet, the method comprising the steps of: varying the time-varying current waveform to the one or more coils to determine one or more amperage values necessary to locate a centroid of a desired ion beam at each of a set of predetermined locations in a reference plane; generating a data structure to hold one or more conjugate values of the one or more amperage values and the ion beam position at equal tabulated time intervals; and outputting the one or more conjugate values held by the data structure at equidistance time intervals to generate the waveform to instruct the apparatus supplying the time-varying current waveform in order to scan the ion beam in a desired manner. 31. The method of claim 30 , further comprising the step of generating one or more interpolated conjugate values to generate a smoothed waveform. claim 30 32. The method of claim 30 , further comprising the step of controlling a slope of the waveform to smooth transitions between one or more segments. claim 30 33. The method of claim 30 , further comprising the steps of, claim 30 generating a second data structure of digitized amperage values by interpolating between known data points to obtain the one or more digitized amperage values; and asserting a digitized programming waveform at equal time increments to achieve a desired time-varying beam position waveform by interpolating between known data points to obtain the desired time-varying current waveform, wherein the equal time increments of the digitized programming waveform are less than time intervals of the programming waveform. 34. The method of claim 30 , further comprising the steps of, varying an amperage value of the time-varying current in the one or more coils to determine a desired amperage value required to locate a centroid of each one or more desired ion beams at a pre-determined location in a reference plane. claim 30 35 .The method of claim 30 , wherein the determination of each desired amperage value is performed by transmitting a deflected beam through one or more apertures in an aperture plate provided in the reference plane and adjusting the amperage value to maximize the current signal received in a Faraday cup located in a plane behind said aperture plate. claim 30 36. The method of claim 35 further comprising the step of, storing the amperage value in a storage medium. claim 35 37. The method of claim 35 wherein the apertures in the aperture plate are equally spaced in at least one dimension. claim 35 38. A method for generating a time-varying control waveform to control a desired time-varying electric field in an electrostatic deflector to deflect a charged particle beam, wherein the charged particle beam is passed in a vacuum through the electrostatic deflector, the method comprising the steps of: varying a control signal to determine a desired control signal to locate a centroid of a desired ion beam within the charged particle beam at each of one or more locations in a reference plane; generating a data structure to hold one or more conjugate values of the desired control signal and corresponding one or more ion beam positions of the desired ion beam; and generating the time varying control waveform to assert the one or more conjugate values held by the data structure at one or more desired time intervals to program the desired time-varying electric field in the electrostatic deflector. 39. The method of claim 38 wherein the determination of each of the desired control signal values is made by transmitting the deflected beam through each respective through hole in an aperture plate provided in a reference plane and adjusting the control signal to maximize the current signal received in a Faraday cup located in a plane behind said aperture plate. claim 38 40. The method of claim 39 further comprising the step of, storing the control signal in a memory device. claim 39 41. The method of claim 39 wherein the aperture plate comprises two or more apertures equally spaced in at least one dimension. claim 39 42. A switching amplifier to control a current passing through one or more coils of an electromagnet, the switching amplifier comprising: a voltage source; a switching circuit capable of switching between two or more states to couple a voltage from the voltage source to the one or more coils, wherein a first state of the switching circuit short circuits each of the one or more coils to result in no electromagnetic field; a sensing means to compare the current passing through the one or more coils with a time dependent reference programming signal in order to generate an error signal; and a controller responsive to the error signal to control the switching circuit to switch between the one or more states to minimize the error signal. 43. The switching amplifier of claim 42 , wherein a second state of the switching circuit applies the voltage from the voltage source in a first direction to create an electromagnetic field which is changing in a first direction. claim 42 44. The switching amplifier of claim 42 , wherein a third state of the switching circuit applies the voltage from the voltage source in a second direction to create an electromagnetic field which is changing in a second direction. claim 42 45. The switching amplifier of claim 42 , wherein the electromagnet comprises a laminated magnet for scanning an ion beam across a target. claim 42 46. The switching amplifier of claim 42 , wherein the electromagnet comprises a laminated magnet for deflecting ion beams to switch one or more desired ion species. claim 42
048184721
claims
1. Method for the wet dismantling of radioactively contaminated or activated components of nuclear reactor plants, which comprises enclosing an individual component with a jacket at the end of the service life of the component, providing a sheathing between the individual component and the jacket, flooding the individual component with water for radiation shielding, at least partly dismantling the individual component into individual pieces through a material removing method, temporarily storing the individual pieces in the sheathing, and removing the individual pieces from the sheathing to a permanent storage location, the sheathing having a thickness sufficient to support at least part of the individual component after dismantling the individual component into individual pieces. 2. Method according to claim 1, which comprises thickening the sheathing to the point where it performs the supporting function of a receptacle tank for at least part of the component after dismantling the component into individual pieces. 3. Method according to claim 1, which comprises casting the jacket. 4. Method according to claim 1, which comprises injection molding the jacket. 5. Method according to claim 1, which comprises shaping the jacket in the form of an enclosure tube. 6. Method according to claim 1, which comprises providing the component with a framework or skeleton of a reinforcement network before providing the sheathing. 7. Method according to claim 1, which comprises performing the step of dismantling the component from inside by means of chemical or electrochemical erosion. 8. Method according to claim 1, which comprises cleaning the water during the dismantling step with a water cleaning system. 9. Method for the wet dismantling of a radioactively contaminated or activated reactor pressure vessel disposed inside a reactor pit and spaced from a concrete biological shield defining a gap between the pressure vessel and the shield, which comprises severing coolant lines and closing connector stumps of the reactor pressure vessel at the end of the service lift of the pressure vessel, subsequently filling the gap between the pressure vessel and the shield by casting or injection molding forming a sheathing, flooding the pressure vessel with water for radiation shielding, at least partly dismantling the pressure vessel into individual pieces through a material removing method, temporarily storing the individual pieces in the sheathing, and removing the individual pieces from the sheathing to a permanent storage location, the sheathing having a thickness sufficient to support at least part of the pressure vessel after dismantling the pressure vessel into individual pieces. 10. Method according to claim 9, which comprises thickening the sheathing to the point where it performs the supporting function of a receptacle tank for at least part of the pressure vessel after dismantling the pressure vessel into individual pieces. 11. Method according to claim 9, which comprises casting the jacket. 12. Method according to claim 9, which comprises injection molding the jacket. 13. Method according to claim 9, which comprises shaping the jacket in the form of an enclosure tube. 14. Method according to claim 9, which comprises cleaning the water during the dismantling step with a water cleaning system.
description
This application is a division of and seeks priority to U.S. patent application Ser. No. 14/295,521, filed Jun. 4, 2014, entitled: Control Rod Guide Tube With extended Intermediate Guide Assembly. This invention relates generally to nuclear reactors and, more particularly, to nuclear reactors that employ top mounted control rods. The primary side of nuclear power generating systems which are cooled with water under pressure comprises a closed circuit which is isolated and in heat exchange relationship with a secondary side for the production of useful energy. The primary side comprises the reactor vessel enclosing a core internal structure that supports a plurality of fuel assemblies containing fissile material, the primary circuit within heat exchange steam generators, the inner volume of a pressurizer , pumps and pipes, for circulating pressurized water; the pipes connecting each of the steam generators and pumps to the reactor vessel independently. Each of the parts of the primary side comprising a steam generator, a pump and the system of pipes which are connected to the vessel form a loop of the primary side. For the purpose of illustration, FIG. 1 shows a simplified nuclear reactor primary system, including a generally cylindrical reactor pressure vessel 10 having a closure head 12 enclosing a nuclear core 14. A liquid reactor coolant, such as water, is pumped into the vessel 10 by pump 16, through the core 14 where heat energy is absorbed and is discharged to a heat exchanger 18, typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown), such as a steam driven turbine generator. The reactor coolant is then returned to the pump 16, completing the primary loop. Typically, a plurality of the above-described loops are connected to a single reactor vessel 10 by reactor coolant piping 20. An exemplary reactor design is shown in more detail in FIG. 2. In addition to the core 14 comprised of a plurality of parallel, vertical, co-extending fuel assemblies 22, for purposes of this description, the other vessel internal structures can be divided into the lower internals 24 and the upper internals 26. In conventional designs, the lower internals' function is to support, align and guide core components and instrumentation as well as direct flow within the vessel. The upper internals restrain or provide a secondary restraint for the fuel assemblies 22 (only two of which are shown for simplicity in FIG. 2), and support and guide instrumentation and components, such as control rods 28. In the exemplary reactor shown in FIG. 2, coolant enters the reactor vessel 10 through one or more inlet nozzles 30, flows down through an annulus between the reactor vessel and the core barrel 32, is turned 180° in the lower plenum 34, passes upwardly through a lower support plate 37 and a lower core plate 36 upon which the fuel assemblies 22 are seated and through and about the assemblies. In some designs, the lower support plate 37 and the lower core plate 36 are replaced by a single structure, the lower core support plate, at the same elevation as 37. The coolant flow through the core and surrounding area 38 is typically large, on the order of 400,000 gallons per minute at a velocity of approximately 20 feet per second. The resulting pressure drop and frictional forces tend to cause the fuel assemblies to rise, which movement is restrained by the upper internals, including a circular upper core plate 40. Coolant exiting the core 14 flows along the underside of the upper core plate and upwardly through a plurality of perforations 42. The coolant then flows upwardly and radially to one or more outlet nozzles 44. The upper internals 26 can be supported from the vessel 10 or the vessel head 12 and include an upper support assembly 46. Loads are transmitted between the upper support assembly 46 and the upper core plate 40, primarily by a plurality of support columns 48. A support column is aligned above a selected fuel assembly 22 and perforations 42 in the upper core plate 40. As will be explained in more detail hereafter, the reactor internals also include rectilinearly moveable control rods 28 for controlling the nuclear reaction within the core. The control rod assemblies, commonly known as rod cluster control mechanisms, typically include a drive shaft 50 and a spider assembly 52 of neutron poison rods that are guided through the upper internals 26 and into aligned fuel assemblies 22 by control rod guide tubes 54. The guide tubes are fixedly joined to the upper support assembly 46 and are connected by a split pin 56 force fit into the top of the upper core plate 40. The pin configuration provides for ease of guide tube assembly and replacement if ever necessary and assures that the core loads, particularly under seismic or other high loading accident conditions, are taken primarily by the support columns 48 and not the guide tubes 54. This support arrangement assists in retarding guide tube deformation under accident conditions which could detrimentally affect control rod insertion capability. FIG. 3 is an elevational view, represented in vertically shortened form, of a fuel assembly being generally designated by reference character 22. The fuel assembly 22 is the type used in a pressurized water reactor and has a structural skeleton which, at its lower end includes a bottom nozzle 58. The bottom nozzle 58 supports the fuel assembly 22 on the lower core plate 36 in the core region of the nuclear reactor. In addition to the bottom nozzle 58, the structural skeleton of the fuel assembly 22 also includes a top nozzle 62 at its upper end and a number of guide tubes or thimbles 54, which extend longitudinally between the bottom and top nozzles 58 and 62 and at opposite ends are rigidly attached thereto. The fuel assembly 22 further includes a plurality of transverse grids 64 axially spaced along and mounted to the guide thimbles 54 (also referred to as guide tubes) and an organized array of elongated fuel rods 66 transversely spaced and supported by the grids 64. Although it cannot be seen in FIG. 3, the grids 64 are conventionally formed from orthogonal straps that are interleaved in an egg-crate pattern with the adjacent interface of four straps defining approximately square support cells through which the fuel rods 66 are supported in transversely spaced relationship with each other. In many conventional designs, springs and dimples are stamped into the opposing walls of the straps that form the support cells. The springs and dimples extends radially into the support cells and capture the fuel rods therebetween, exerting pressure on the fuel rod cladding to hold the rods in position. Also, the assembly 22 has an instrumentation tube 68 located in the center thereof that extends between and is mounted to the bottom and top nozzles 58 and 62. With such an arrangement of parts, fuel assembly 22 forms an integral unit capable of being conveniently handled without damaging the assembly of parts. To control the fission process, a number of control rods 28 are reciprocally movable in the guide thimbles 55 located at predetermined positions in the fuel assembly 22. Specifically, a rod cluster control mechanism 80 positioned above the top nozzle 62 supports the control rods 28. The control mechanism 80 has an internally threaded cylindrical hub member 82 with a plurality of radially extending flukes or arms 52. Each arm 52 is interconnected to one or more control rods 28 (the arrangement of the central hub and radially extending flukes is also referred to as a spider mechanism), such that the control rod mechanism 80 is operable to move the control rods vertically in the guide thimbles 55 to thereby control the fission process in the fuel assembly 22 under the motive power of control rod drive shafts 50 which are coupled to the control rod hubs 80, all in a well-known manner. In the withdrawn position, the control rods are guided up into the control rod guide tubes 55 above the upper core plate 40 and in the fully inserted position the control rods occupy substantially the entire length of the guide thimbles 54 within the fuel assemblies as shown in FIG. 3. Alignment of the control rods through the upper internals 26 with the guide thimbles 55 in the fuel assemblies is maintained by guide cards 70 supported in a spaced tandem arrangement along the length of the control rod guide tubes 54. FIG. 4 shows an enlarged view of the control rod assembly guide tube 54 shown between the upper support assembly 46 and the upper core plate 40 in FIG. 2. The guide tube 54 is made up of two sections, a lower guide tube section 78 and an upper guide tube section 84. The lower guide tube section 78 has a generally square cross section while the upper guide tube section 84 has a generally rounded cross section. The lower guide tube section 78 is joined to the upper guide tube section 84 at an intermediate coupling 86. The upper and lower guide tube sections 84 and 78 have a plurality of guide cards 70 supported in tandem in spaced relationship to each other along the length of the guide tube 54 with a continuous guided section 88 extending up from the bottom of the guide tube 54 a distance approximately equal to the spacing between the guide cards 70. FIG. 5 is representative of the pattern of the openings in the continuous guided section 88, the guide cards 70 and the guide plate at the intermediate coupling 86, through which the control rod assembly 80 passes as it travels through the upper internals 26. The three-quarter round openings 72 guide the individual control rods 28 with the flukes 52 passing through the straight portions 74 connecting the circular openings 72 to the central opening 76 through which the hub 82 passes. The guide card illustrated in FIG. 5 is from the upper section 84 of the guide tube 54, but the pattern of the openings are representative of the opening pattern in the other guides as well; the difference being that the shape of the outer circumference changes from circular to generally square as one transitions from the upper section 84 to the lower section 78 of the guide tube 54. Aggressive guide card wear has been observed at some operating nuclear plants. When the special guide plate at the intermediate coupling 86 is located within the series of allowable worn guide cards 70, the guide plate can be replaced during an outage to extend the life of the guide tube, in lieu of replacing the lower guide tube assembly 78, if heavily worn. This mitigation technique reduces schedule, costs and radioactive waste generated while enabling continued safe plant operation, albeit for a limited portion of the remaining life of the plant. Accordingly, a more permanent fix for guide card wear is desired that can be achieved on a similar schedule to that required to replace the guide plate at the intermediate coupling 86. Additionally, such a repair is desired that would not require the generation of additional radioactive waste and is substantially comparable in cost to replacement of the guide plate. These and other objects are achieved for a nuclear reactor having a pressure vessel housing a core of fissile material and an upper core plate substantially covering the core. The nuclear reactor has a control rod guide tube with an extended axial length, for guiding a control rod assembly into and out of the core, which extends between the upper core plate and an upper support assembly supported above the upper core plate. The control rod guide tube has a lower guide section connected at a first end to the upper core plate and terminating at a second end at an intermediate coupling. The control rod guide tube also has an upper guide tube section connected at a first end portion to the upper support assembly and terminating at a second end at the intermediate coupling. The improvement comprises an extended control rod guide assembly that is supported substantially at the intermediate coupling and extends axially, a finite distance into at least one of an interior of the lower guide tube section or the upper guide tube section. The control rod assembly comprises a plurality of control rods and, in at least one embodiment, at least some of the control rods are continuously guided over substantially an entire axial length of the control rod guide assembly. Preferably, some of the control rods are guided over discrete, spaced axial elevations along the axial length of the control rod guide assembly and, desirably, the control rods extending along the cardinal axis of the control rod guide assembly are continuously guided over substantially the entire axial length of the control rod guide assembly. In an additional embodiment all of the control rods are continuously guided over substantially an entire axial length of the control rod guide assembly. In one embodiment, the control rod guide assembly has a first axially extending segment and a second axially extending segment with the first segment extending into the lower guide tube section and the second segment extending into the upper guide tube section. Preferably, in this latter embodiment, the first segment terminates at an upper end in a first guide plate, the second segment terminates at a lower end in a second guide plate and the first and second guide plates are joined at the intermediate coupling. Preferably, the first and second guide plates have openings through which all of the control rods pass and the first and second guide plates have either an alignment hole or an alignment pin for aligning the openings in the first guide plate with the corresponding openings in the second guide plate. Desirably, the first guide plate and the second guide plate, respectively, have a peripheral radially extending flange with each flange extending into a radial recess on a radial interior of the intermediate coupling, which clamps the flanges together. In another embodiment, the control rod guide assembly extends approximately between 0.9 and 23 inches (2.3 and 58.4 cm). More preferably, the control rod guide assembly extends axially approximately between 0.9 and 7 inches (2.3 and 17.8 cm). The useful life of a guide tube 54 can be substantially extended by replacing the guide plate at the intermediate coupling 86 during a refueling outage with the extended control rod guide assembly of this invention, one embodiment of which is illustrated in FIG. 6. This invention provides an extended control rod guide assembly 90 that is supported substantially at the intermediate coupling 86 and extends axially, a finite distance into at least one of the interior of the lower guide tube section 78 or the upper guide tube section 84. The embodiment illustrated in FIG. 6 extends into both the upper guide tube section 84 and the lower guide tube section 78 and is formed from a lower segment 94 and an upper segment 92. The upper segment 92 has a guide plate 114 at its lower end with openings 72 that substantially match the openings in the guide card 70 and terminates at its upper end 106 with a guide ring with peripheral openings 72 that support a portion of the circumference of the outer row of control rods 28. In addition, continuous support between the lower support plate 114 and the upper support ring 106 is provided by the support channels 110 for the control rods at the cardinal axes of the control rod assembly. Thus, discrete axially spaced support for some of the control rods 28 are provided while continuous support is provided for other of the control rods 28 over the upper segment 92 of the extended control rod guide assembly 90. The lower segment 94 of the extended control rod guide assembly of this embodiment terminates at its upper end in a guide plate 116 with openings that correspond to the openings in the guide plate 114 on the upper segment 92. The lower segment 94 terminates at its lower end in a guide ring 108 which is connected to the guide plate 116 by the continuous support channels 110 as shown in FIG. 8. The upper guide plate 114 and the lower guide plate 116 are joined by the bolts 104 and aligned through an alignment pin in one or the other of the guide plates, that fits through an alignment hole 100 in the other of the guide plates to assure the openings 72 in the guide plates 114 and 116 are lined up. FIG. 9 shows the extended control rod guide assembly 90 with its flanges 96 and 98 installed in a recess in the intermediate coupling 86 which is locked in place by the bolts 112. FIG. 10 shows a perspective view of the upper segment 92 of the extended control rod guide assembly 90 installed within the lower guide tube section 78 with the flange 96 resting on the lower flange of the intermediate coupling 86. In this embodiment where not all of the control rods receive continuous support over the length of the extended control rod guide assembly, the control rod guide assembly may extend approximately between 0.9 and 23 inches (2.3 and 58.4 cm). More preferably, this type of control rod guide assembly extends axially approximately between 0.9 and 7 inches (2.3 and 17.8 cm). Like reference characters are employed for corresponding components among the several views. In another embodiment, shown in FIGS. 11-14, the upper segment 92 and the lower segment 94 of the extended control rod guide assembly 90 are respectively made of a solid continuous length of material such as stainless steel with the openings 72, 74 and 76 providing continuous guidance for all of the control rods over substantially the entire length of the extended control rod guide assembly. As in the above case the upper segment 92 and the lower segment 94 may be joined at the intermediate coupling 86 which captures the respective flanges 96 and 98. Alternately, the upper segment 92 and the lower segment 94 can be constructed as one piece with the flange 96/98 radially extending from an intermediate elevation for capture within the intermediate coupling. In this latter embodiment, the control rod guide assembly may extend approximately between 1.6 and 23 inches (4.1 and 58.4 cm). More preferably, the control rod guide assembly extends axially approximately between 1.6 and 7 inches (4.1 and 17.8 cm). Thus, the extended control rod guide assembly of this invention, when installed, provides extended support for the control rod assembly that compensates for some of the wear in the guide cards 70, while adding a minimum of additional friction to the control rod travel path and substantially extends the life of a control rod guide tube 54 without requiring replacement of either of the guide tube sections. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
description
The present application claims the benefit of U.S. Provisional Patent 60/818,100, filed Jun. 30, 2006 and U.S. Provisional Patent 60/837,956, filed Aug. 16, 2006, the entireties of which are hereby incorporated by reference. The present invention relates generally to apparatus, systems and methods for transferring, supporting and/or storing high level waste (“HLW”), and specifically to containers and components thereof for transferring, supporting and/or storing radioactive materials, such as spent nuclear fuel. In the operation of nuclear reactors, it is customary to remove fuel assemblies after their energy has been depleted to a predetermined level. Upon removal, this spent nuclear fuel (“SNF”) is still highly radioactive and produces considerable heat, requiring that great care be taken in its packaging, transporting, and storing. Specifically, SNF emits extremely dangerous neutron (i.e., neutron radiation) and gamma photons (i.e. gamma radiation). It is imperative that these neutrons and gamma photons be contained at all times during transfer and storage of the SNF. It also imperative that the residual heat emanating from the SNF be lead away and escape from the SNF to avoid a critical event. Thus, containers used to transfer and/or store SNF must not only safely enclose and absorb the radioactivity of the SNF, they must also allow for adequate cooling of the SNF. Such transfer and/or storage containers are commonly referred to in the art as casks. Generally speaking, there are two types of casks used for the transportation an/or storage of SNF, ventilated vertical overpacks (“VVOs”) and thermally conductive casks. VVOs typically utilize a sealable canister that is loaded with SNF and positioned within a cavity of the VVO. Such canisters often contain a basket assembly for receiving the SNF. An example of a canister and basket assembly designed for use with a VVO is disclosed in U.S. Pat. No. 5,898,747 (Singh), issued Apr. 27, 1999, the entirety of which is hereby incorporated by reference. The body of a VVO is designed and constructed to provide the necessary gamma and neutron radiation shielding for the SNF loaded canister. In order to cool the SNF within the canister, VVOs are provided with ventilation passageways that allow the cool ambient air to flow into the cavity of the VVO body, over the surface of the canister and out of the cavity as warmed air. As a results, the heat emanated by the SNF within the canister is removed by natural convection forces. One example of a VVO is disclosed in U.S. Pat. No. 6,718,000 (Singh) et al.), issued Apr. 6, 2004, the entirety of which is hereby incorporated by reference. The second type of casks are thermally conductive casks. In comparison to VVOs, thermally conductive casks are non-ventilated. In a typical thermally conductive cask, the SNF is loaded directly into a cavity formed by the cask body. A basket assembly is typically provided within the cavity itself to provide support for the SNF rods. As with the VVOs, the body of the thermally conductive cask is designed to provide the necessary gamma and neutron radiation shielding for the SNF. In contrast to VVOs, however, which utilize natural convective forces to remove the heat that emanates from the internally stored SNF, thermally conductive casks utilize thermal conduction to cool the SNF. More specifically, the cask body itself is designed to lead the heat away from the SNF via thermal conduction. In a typical thermally conductive cask, the cask body is mad of steel or another metal having high thermal conductivity. As a result, the heat emanating from the SNF is conducted outwardly from the cavity and through the cask body until it reaches the outer surface of the cask body. This heat is then removed from the outer surface of the cask body by the convective forces of the ambient air. In some instances, the use of VVOs is either not preferred and/or unnecessary. This may be due to the heat load of the subject SNF, the existing set-up/design of the storage facility at which the SNF is to be stored and/or the nuclear regulations of the country in which the storage facility is located. However, existing designs of thermally conductive casks suffer from a number of drawbacks, including without limitation: (1) less than optimal heat removal; and (2) vulnerability to the escape of substantial radiation (i.e., shine). Additionally, existing methods of manufacture and designs of thermally conductive casks allow little to no flexibility in altering cask dimensions without a total redesign of the cask and/or retooling of the manufacturing facility. These and other deficiencies are remedied by the present invention. In one aspect, the invention is based on a specially designed radiation shielding ring that surrounds the cavity of a containment boundary in which the HLW, such as SNF rods, is to be stored and/or transported. The containment boundary can be formed by any suitable container, including without limitation a multi-purpose canister, a cask, ventilated vertical overpack or other structure. The containment boundary preferably provides radioactive shielding and retains all particulate matter present therein. The radiation shielding ring provides improved gamma and neutron radiation shielding properties while facilitating improved cooling of the HLW inside the cavity by effectively conducting heat away from the HLW. The radiation shielding ring is preferably designed so that a plurality of the radiation shielding rings can be arranged in a stacked assembly that surrounds the height of the cavity. Collars are preferably provided at the interfaces formed between adjacent radiation shielding rings in the stacked assembly to prevent shine and improve radiation shielding. In some embodiments, the inventive radiation shielding ring can also comprise a plurality of voids for receiving a neutron radiation absorbing material. It is preferred that the geometric layout of the voids within the radiation shielding ring be specially designed so that irrespective of the circumferential orientation (i.e., rotational position) of the radiation shielding rings in the stacked assembly, all of the voids of the radiation shielding rings are in spatial communication with all of the voids of the adjacent radiation shielding rings(s). As a result, neutron absorbing material can be flowed into the voids of the uppermost radiation shielding ring in the stacked assembly and fill all of the voids of the remaining radiation shielding rings in the stacked assembly. This can be done without worrying about the circumferential/rotational orientation of the radiation shielding rings with respect to one another. In other embodiments, it may also be preferred that the geometric layout of the voids within the radiation shielding rings be specially designed so that a straight line does not exist radially from the cavity to the external atmosphere through the radiation shielding ring without passing through at least one of the voids (which is to be filled with a neutron radiation absorbing material). This design feature improves the containment of the neutron radiation emanating from HLW inside the cavity while still facilitating removal of heat from the HLW by conduction through the ring-like structure. With respect to the radiation shielding ring, the invention can take on a wide variety of aspects. For example, the invention can be the radiation shielding ring itself and/or a container that utilizes one or more of the radiation shielding rings. In other examples, the invention can be a method of manufacturing the radiation shielding ring or a method of manufacturing a container that utilizes one or more of the radiation shielding rings. Still other examples include, a method of storing and cooling radioactive materials that produce a residual heat load and give off dangerous levels of neutron and gamma radiation. A number of embodiments of the invention that are based on the radiation shielding ring are set forth below with an understanding that those skilled in the art will understand that other embodiments of the invention exist. In one embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials comprising: a tubular shell having an outer surface and an inner surface forming a cavity for receiving the radioactive materials, the cavity having an open top end and a closed bottom end, the tubular shell having a height; a plurality of ring-like structures comprising an inner surface forming a central passageway extending axially through the ring-like structure, the ring-like structures surrounding the outer surface of the tubular shell in a stacked orientation, the tubular shell extending through the central passageways of the ring-like structures; and a collar connected to one or more of the ring-like structures and extending beyond a top or bottom surface of the ring-like structure to which the collar is connected, the collar surrounding the central passageway of the ring-like structure to which the collar is connected an extending into a channel on an adjacent ring-like structure. It is preferred that all of the ring-like structures in the stack, except for the lower-most ring-like structure, comprise one of the collars. Preferably, the apparatus comprises at least three or more of the ring-like structures. The inner surfaces of the ring-like structures, in some embodiments, can be a stepped surface having a first rise surface, a tread surface and a second riser surface. The first riser surface is preferably in contact with the outer surface of the tubular shell while the second surface is preferably spaced from the outer surface of the tubular shell, thereby forming the channel for receiving the collar between the second riser surface of the ring-like structure and the outer surface of the tubular shell. In this embodiment, the collar will preferably comprise the first riser surface of an adjacent ring-like structure. The ring-like structures can comprise a plurality of voids that extend from the top surfaces of the ring-like structures to the bottom surface of the ring-like structures. As discussed above, the voids are preferably sized, shaped and arranged on the ring-like structures to that all of the voids of one of the ring-like structures are in spatial communication with all of the voids of the two adjacent ring-like structures when in the stacked assembly. The ring-like structures can comprise an outer wall, a middle wall and an inner wall. In this embodiment, the middle wall is located between the inner wall and the outer wall in a spaced relation, such as concentric. The ring-like structures can further comprise a first set of fins connecting the inner wall to the middle wall and a second set of fins connecting the middle wall and the outer wall. Most preferably, the first and second set of fins are circumferentially offset from one another so that a radial path does not exist in the ring-like structures from the inner wall to the outer wall without passing through one of the voids. In such a set-up, a void is located between each of the fins of the first and second set of finds. A neutron radiation absorbing material preferably fills the voids. It is also preferred that the shell, the ring-like structure and the collar be constructed of a gamma radiation absorbing material. The apparatus may also comprise a base made of a gamma radiation absorbing material. In this embodiment, the tubular shell is preferably positioned atop the base in a substantially vertical orientation. A lid assembly can be provided that substantially encloses the open end of the tubular shell. The lid assembly is preferably constructed of a gamma radiation absorbing material and is a non-unitary and removable structure with respect to the tubular shell and the ring-like structures. The ring-like structures are preferably constructed of a material that expands when heated. Most preferably, the horizontal cross-sectional profiles of the central passageways of the ring-like structures are sized so that when the ring-like structures are at ambient temperature, the inner surfaces of the ring-like structure compresses against the outer surface of the tubular shell. However, when the ring-like structures are super-heated, the central passageways are slightly larger than the horizontal cross-sectional profile of the outer surface of the tubular shell. This facilitates ease of manufacturing when sliding the ring-like structures over the shell and ensures that the ring-like structures are in continuous surface contact with the shell, which facilitates heat removal by conduction. The superheating should be controlled so as to not reach a temperature that would affect the metallurgical properties of the material (e.g., metal) of which the ring-like structures are constructed. In one embodiment, the superheating is conducted at a temperature of 600 degrees Fahrenheit or less. It is further preferred that the apparatus further comprise a basket assembly having a honeycomb-like grid that forms a plurality of substantially vertically oriented elongated cells. Most preferably, the basket assembly comprises one or more flux traps and is positioned within the cavity. The basket assembly can be constructed of a metal matrix composite material. The tubular shell can be cylindrical in shape in some embodiments. As a result, the inner wall of the tubular shell with have a circular horizontal cross-sectional profile. In one embodiment, the basket assembly may have a horizontal cross-sectional profile having a perimeter that is not circular in shape. In such a situation, the apparatus will preferably further comprise a spacer having an inner surface forming a central passageway through the spacer and an outer surface. The spacer preferably has a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the space and a circular external perimeter formed by the outer surface of the spacer. The internal perimeter of the horizontal cross-sectional profile of the spacer preferably corresponds in shape to the perimeter of the horizontal cross-sectional profile of the basket assembly. The circular external perimeter formed by the outer surface of the spacer is preferably slightly smaller than the circular horizontal cross-sectional profile of the inner wall of the tubular shell. The spacer is positioned in the cavity so that the basket assembly extends through the central passageway of the spacer. In other words, the space surrounds the basket assembly. In one embodiment, a plurality of the spacers are provided and arranged in a vertically stacked orientation so as to surround substantially the entire height of the basket assembly. In another embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load comprising: a tubular shell constructed of a gamma radiation shielding material and having an outer surface and an inner surface forming a cavity for receiving the radioactive materials; a base constructed of a gamma radiation shielding material, the tubular shell connected atop the base in a substantially vertical orientation, the cavity having an open top end and a closed bottom end; a plurality of ring-like structures constructed of a gamma radiation shielding material and having an inner surface, a top surface, and a bottom surface, the inner surface forming a central passageway that extends through the ring-like structure; the plurality of ring-like structures comprising a channel in either one of the top or bottom surfaces and a collar protruding from the other one of the top or bottom surfaces, the collar and the channel surrounding the central passageway; the plurality of ring-like structures comprising a series of voids for receiving a neutron radiation shielding material, the voids surrounding the central passageway; and the plurality of ring-like structures arranged in a stacked assembly so that the collars of the ring-like structures extend into the channel of an adjacent ring-like structure, the tubular shell extending through the central passageways of the plurality of ring-like structures. In yet another embodiment, the invention can be an apparatus for providing neutron and gamma radiation shielding for radioactive materials that produce residual heat comprising: a ring-like body comprising a top surface, a bottom surface and an inner surface forming a central passageway that extends axially through the ring-like body; the ring-like body constructed of a gamma radiation shielding material and comprising a channel in either one of the top or bottom surfaces and a collar protruding from the other one of the top or bottom surfaces, the collar and the channel surrounding the central passageway; and the ring-like body comprising a series of voids for receiving a neutron radiation shielding material, the voids surrounding the central passageway. In still another embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load comprising: a tubular shell constructed of a gamma radiation shielding material and having an outer surface and an inner surface forming a cavity for receiving the radioactive materials; a base constructed of a gamma radiation shielding material, the tubular shell connected atop the base in a substantially vertical orientation, the cavity having an open top end and a closed bottom end; a plurality of ring-like structures constructed of a gamma radiation absorbing material and having an inner surface, a top surface, and a bottom surface, the inner surface forming a central passageway through the ring-like structures; the plurality of ring-like structures arranged in a stacked assembly around the outside surface of the tubular shell so that a ring-to-ring interface is formed between the top and bottom surfaces of adjacent ring-like structures in the stacked assembly, the tubular shell extending through the central passageways of the plurality of ring-like structures; the plurality of ring-like structures adapted to provide neutron radiation shielding for radioactive materials in the cavity; and for each ring-to-ring interface present in the stacked assembly, a collar constructed of gamma radiation absorbing material surrounding the cavity at the ring-to-ring interface, the collar extending above and below the ring-to-ring interface. In a further embodiment, the invention can be an apparatus for providing neutron and gamma radiation shielding for radioactive materials positioned in a cavity formed by an inner surface of a tubular shell having an outer surface and a height, the apparatus comprising: a ring-like body comprising a top surface, a bottom surface and an inner surface forming a central passageway that extends axially through the ring-like body, the central passageway sized to surround the outer surface of the tubular shell; the ring-like body constructed of a gamma radiation shielding material and comprising a collar protruding from either one of the top or bottom surfaces, the collar surrounding the central passageway; the ring-like body adapted so that when two of the ring-like bodies are aligned, the bottom surface of one of the ring-like passageways of the two ring-like bodies are aligned, the bottom surface of one of the ring-like bodies forms a ring-to-ring interface with the top surface of the other one of the ring-like bodies, and the collar of one of the ring-like bodies extending beyond the ring-to-ring interface. In another aspect, the invention is based on a spacer apparatus that is designed to be positioned in the storage cavity of a container between the fuel basket assembly and the body of the container. Similar to the radiation shielding ring, the space device is also preferably a ring-like structure. However, its function and positioning within an HLW container is different. The geometry of the spacer apparatus is specially designed to surround the fuel basket assembly and maintain the proper placement of the fuel basket within the storage cavity of the container. Additionally, the geometry and material of construction of the spacer apparatus maximizes the conduction of heat away from HLW positioned in the basket assembly. Furthermore, for ease of manufacturing and installation, the spacer apparatus can comprise a plurality of identical segments that are designed to be arranged in a stacked assembly that surrounds the entire height of the basket. With respect to the spacer apparatus, the invention can take on a wide variety of embodiments. For example, the invention can be the spacer apparatus itself and/or a container that incorporates the spacer apparatus. In other examples, the invention can be a method of manufacturing the spacer apparatus or a method of manufacturing a container that utilizes the spacer apparatus. Still other examples include, a method of storing and cooling radioactive materials that produce a residual heat load and give off dangerous levels of neutron and gamma radiation. Some of these embodiments are outlined below with an understanding that those skilled in the art will understand that other embodiments of the invention are possible. In one embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load, such as spent nuclear fuel rods, the apparatus comprising: a body comprising a shell having an inner surface that forms a cavity for receiving radioactive materials, the body providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end, the cavity having a horizontal cross-sectional profile having a perimeter formed by the inner surface of the shell; a basket positioned in the cavity, the basket comprising a plurality of substantially vertically oriented elongated cells, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket; and a structure having an outer surface and an inner surface forming a central passageway through the structure, the structure having a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the structure and an external perimeter formed by the outer surface of the structure; the structure positioned in the cavity, the basket extending through the central passageway of the structure; and wherein the internal perimeter of the structure corresponds to the external perimeter of the basket in size and shape and the external perimeter of the structure corresponds to the perimeter of the cavity in size and shape. Preferably, the structure is constructed of a material having a first coefficient of thermal expansion and the shell is constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. Designing the apparatus so that the first coefficient of thermal expansion is greater than the second coefficient of thermal expansion results in the structure expanding in size greater than the shell when heated. As a result, when a heat load is experienced by the apparatus (such as the cavity being loaded with HLW having a heat load), the structure expands to that its outer surface makes continuous contract with the inner surface of the shell. Similarly, the inner surface of the structure comes into continuous contact with the outer surface of the basket. Continuous contact between the surfaces facilitates improved conductive heat removal. In a preferred embodiment, when the apparatus is at ambient temperature, a first small clearance exists between inner surface of the structure and the outer surface of the basket. However, upon radioactive materials having a residual heat load being positioned in the elongated cells of the basket, the residual heat load of the radioactive waste causes the basket and/or structure to expand, thereby eliminating the first small clearance. In other words, the basket and or structure expands so that the outer surface of the basket presses against the inner surface of the structure. Similarly, when at ambient temperature it is preferred that a second small clearance exist between the outer surface of the structure and the inner surface of the shell that forms the cavity. As with the first small clearance, upon radioactive materials having the residual heat load being positioned in the elongated cells of the basket, the residual heat load of the radioactive waste causes the structure to expand at a rate and size greater than the shell, thereby eliminating the second small clearance. In other words, the structure expands so that the outer surface of the structure presses against the inner surface of the shell. In a preferred embodiment, the structure comprises a plurality of non-unitary segments arranged in a stacked assembly that surrounds substantially the entire height of the basket. In a still further preferred embodiment, the apparatus can comprise one or more of the radiation shielding rings discussed above and/or any of the features discussed in relation thereto. In another embodiment, the invention can be an apparatus for stabilizing a basket holding radioactive materials having a residual heat load within a cavity formed by the inner surface of a body portion of a container, the cavity having a horizontal cross-sectional profile having a perimeter formed by the inner surface of the body portion, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket, the apparatus comprising: a ring-like structure having an outer surface and an inner surface forming a central passageway, the ring-like structure having a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the ring-like structure and an external perimeter formed by the outer surface of the ring-like structure; and wherein the internal perimeter of the ring-like structure corresponds to the external perimeter of the basket in size and shape and the external perimeter of the structure corresponds to the perimeter of the cavity in size and shape. In still another embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load, such as spend nuclear fuel rods, the apparatus comprising: a body comprising an inner surface that forms a cavity for receiving radioactive materials, the body providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end; a basket positioned in the cavity, the basket comprising a plurality of substantially vertically oriented elongated cells; a ring-like structure having an outer surface and an inner surface forming a central passageway, the basket extending through the central passageway of the ring-like structure; and wherein the ring-like structure is constructed of a material having a first coefficient of thermal expansion and the inner surface of the body constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. In yet another embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load, such as spent nuclear fuel rods, the apparatus comprising: a body portion having an inner surface that forms a cavity for receiving radioactive materials, the body portion providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end, the cavity having a horizontal cross-sectional profile having a perimeter formed by the inner surface of the body portion; a basket positioned in the cavity, the basket comprising a plurality of substantially vertically oriented elongated cells, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket; and a structure having an outer surface and an inner surface forming a central passageway, the structure having a horizontal cross-sectional profiled having an internal perimeter formed by the inner surface of the structure and an external perimeter formed by the outer surface of the structure: the structure positioned in the cavity, the basket extending through the central passageway of the structure; and wherein the internal perimeter of the structure corresponds to the external perimeter of the basket in size and shape and the external perimeter of the structure corresponds to the perimeter of the cavity in size and shape. In a further embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load, such as spent nuclear fuel rods, the apparatus comprising: a body comprising a shell having an inner surface that forms a cavity for receiving radioactive materials, the body providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end; a basket positioned in the cavity and comprising a plurality of cells; a structure having an outer surface and an inner surface forming a central passageway, the basket extending through the central passageway of the structure; and wherein the structure is constructed of a material having a first coefficient of thermal expansion and the shell is constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. In a still further embodiment, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load, such as spent nuclear fuel rods, the apparatus comprising: a body portion having an inner surface that forms a cavity for receiving radioactive materials, the body portion providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end; a basket positioned in the cavity, the basket comprising a plurality of cells for receiving spent nuclear fuel rods, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket; and a structure having an outer surface and an inner surface forming a central passageway, the structure having a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the structure and an external perimeter formed by the outer surface of the surface; the structure positioned in the cavity between the basket and the inner surface of the body, the basket extending through the central passageway of the structure; wherein the internal perimeter of the structure corresponds to the external perimeter of the basket in shape and the external perimeter of the structure corresponds to the perimeter of the cavity in shape; and wherein when the structure is at ambient temperature, a small clearance exists between the outer surface of the structure and the inner surface of the body. In an even further embodiment, the invention can be an apparatus for stabilizing a basket for holding radioactive materials having a residual heat load within a cavity formed by the inner surface of a body portion of a container, the apparatus comprising: a ring-like structure having an outer surface and an inner surface forming a central passageway adapted to receive the basket; and wherein the ring-like structure is constructed of a material having a first coefficient of thermal expansion and the inner surface of the body is constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. In yet another aspect, the focus of the invention is on a specially designed basket assembly for receiving and holding spend nuclear fuel rods. The basket assembly can be utilized in a multi-purpose canister or can be incorporated directly into the cavity of a container, such as a thermally conductive cask. With respect to the basket, the invention can take on a wide variety of embodiments. For example, the invention can be the basket itself and/or a container that utilizes the basket. In other examples of this aspect, the invention can be a method of manufacturing the basket of a method of manufacturing a container that utilizes the basket. Still other examples include, a method of storing and cooling radioactive materials. Some of these embodiments are outlined below with an understanding that those skilled in the art will understand that other embodiments of the invention are possible. In one embodiment, the invention can be an apparatus suitable for transporting and/or storing spent nuclear fuel rods comprising: a basket formed from a honeycomb-like gridwork of plates arranged in a rectilinear configuration, the gridwork of plates forming a plurality of cells for receiving spent nuclear fuel rods: the basket comprising one or more flux traps that regulate production of neutron radiation; and wherein the plates are constructed of a metal matrix composite material. The metal matrix composite material can be a metal ceramic that is high in Cr—Al2O3. Preferably, the basket has a height that is greater than or equal to a height of the spent nuclear fuel rods. In a preferred embodiment, the basket is formed by a plurality of segments arranged in a stacked assembly wherein each segment comprising a honeycomb-like gridwork of plates arranged in the rectilinear configuration. Each segment can comprise a plurality of slots so that when the segments are arranged in the stacked assembly, the slots of each segment intersect with the slots of the adjacent segment. Preferably, the slots of the segments interlock the segments together so as to prohibit horizontal and rotational relative movement between the segments. More preferably, the basket comprises at least four of the segments all having substantially the same height. In this embodiment, a bottom segment of the stacked assembly will preferably have a plurality of cut-outs in its plates that form passageways between the plurality of cells at or near a bottom of the cells. This acts as a bottom gas plenum. Similarly, a top segment of the stacked assembly will have a plurality of cut-outs in its plates that form passageways between the plurality of cells at or near a top of the cells. This acts as a top gas plenum. The cut-outs in the top and bottom segments can be semi-circular in shape. One or more downcomer passageways can be provided that extend from the top plenum to the bottom plenum for facilitating natural fluid circulation within the basket for facilitating convective cooling of spent nuclear fuel rods within the cells. The plates are preferably slotted prior to assembly. Thus, they are adapted to be slidably assembled to form the basket. More specifically, when one plate is arranged at a 90 degree angle to a second plate, the slots of the two plates are aligned and intersect. The plates can comprise a plurality of slots in a top edge of the plates and a plurality of slots in a bottom edge of the plates that are aligned with the slots in the top edge. The slots on the top and bottom edge preferably extend one-fourth of the height of the plate. The plates can also comprise a tab extending from lateral edges of the plate, the tabs being one-half of the height of the plates. It is further preferred that the entire basket be formed of plates having no more than three different configurations. This reduces manufacturing costs and reduces the complexity of construction. The one or more flux traps can be spaces formed between two of the plates. In one embodiment, at least two flux traps are provided that are substantially perpendicular to one another and extend the height of the basket. The spaces that are the flux traps can be formed between two substantially parallel plates. When the basket assembly is incorporated into a canister, such as a multi-purpose canister, the inventive apparatus will further comprise a metal shell cylindrically encircling said basket; a metal base plate welded to the bottom of said metal shell; and a metal closure plate adapted to fit on top of the cylinder formed by said metal shell, thereby forming a canister. However, if the basket assembly is to be incorporated into a storage container directly, the apparatus may further comprise a body having an inner surface that forms a cavity, the body adapted to provide neutron and gamma radiation shielding; and the basket positioned in the cavity in a substantially vertical orientation. The cavity can have an open top end and a closed bottom end. A lid can be positioned atop the body that encloses the open top end of the cavity. Preferably, the lid is a non-unitary structure with respect to the body. Most preferably, the cavity is hermetically sealed when the lid is positioned atop the body and the body is adapted to provide sufficient conductive heat removal for spent nuclear fuel rods placed within the basket to prevent a critical condition. In this embodiment, the apparatus can further comprise any and/or all of the features discussed above with respect to the radiation shielding rings and/or the spacer apparatus. In a further aspect, the invention can be an apparatus for transporting and/or storing radioactive materials comprising: a containment structure forming a cavity for receiving radioactive materials, the containment structure forming a containment boundary about the cavity; a plurality of ring-like structures, each of the ring-like structures comprising a top surface, a bottom surface and an inner surface forming a central passageway extending axially through the ring-like structure; the plurality of ring-like structures arranged in a stacked assembly so that a ring-to-ring interface is formed between the top and bottom surfaces of adjacent ring-like structures, the containment structure extending through the central passageways of the ring-like structures in the stacked assembly; and a collar located at each ring-to-ring interface and extending above and below the ring-to-ring interface. In a still further aspect, the invention can be an apparatus for providing radiation shielding for radioactive materials enclosed in a particulate and fluidic containment boundary, the apparatus comprising: a ring-like body constructed of a gamma radiation shielding material, the ring-like body comprising a top surface, a bottom surface and an inner surface forming a central passageway; the ring-like body comprising a collar protruding from the top or bottom surfaces of the ring-like body; a series of voids in the ring-like body for receiving a neutron radiation shielding material, the voids surrounding the central passageway; and wherein when two of the ring-like bodies are stacked atop one another so as to form a ring-to-ring interface, the collar of one of the ring-like bodies extends beyond the ring-to-ring interface. In another aspect, the invention is an apparatus for transporting and/or storing radioactive materials comprising: a containment structure forming a cavity for receiving radioactive materials, the containment structure forming a containment boundary about the cavity; a plurality of ring-like structures constructed of a gamma radiation absorbing material, each of the ring-like structures comprising a top surface, a bottom surface and an inner surface forming a central passageway extending axially through the ring-like structure; and each of the ring-like structures comprising a plurality of spaces for receiving a neutron radiation absorbing material, the spaces sized, shaped and/or arranged so that a linear path does not exist from an axis of the central passageways of the ring-like structures to an outer surface of the ring-like structures without passing through one or more of the spaces. In still another aspect, the invention is an apparatus for providing radiation shielding for radioactive materials enclosed in a particulate and fluidic containment boundary, the apparatus comprising: a ring-like body constructed of a gamma radiation shielding material, the ring-like body comprising a top surface, a bottom surface and an inner surface forming a central passageway; the ring-like body comprising a plurality of voids in the ring-like body for receiving a neutron radiation shielding material; and wherein the plurality of spaces are sized, shaped and/or arranged so that a linear path does not exist from an axis of the central passageways of the ring-like structures to an outer surface of the ring-like structures without passing through one or more of the spaces. In a still further aspect, the invention is an apparatus for transporting and/or storing radioactive materials having a residual heat load comprising: a body having an inner surface that forms a cavity for receiving radioactive materials, the body providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end, the cavity having a horizontal cross-sectional profile having a perimeter formed by the inner surface; a basket positioned in the cavity, the basket comprising a plurality of cells, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket; and a structure having an outer surface and an inner surface forming a central passageway, the structure having a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the structure and an external perimeter formed by the outer surface of the structure; the structure positioned in the cavity so that the basket extends through the central passageway of this structure; and wherein the internal perimeter of the structure corresponds to the external perimeter of the basket in size and shape and the external perimeter of the structure corresponds to the perimeter of the cavity in size and shape. In another aspect, the invention is an apparatus for stabilizing a basket holding radioactive materials having a residual heat load within a cavity formed by the inner surface of a body portion of a container, the cavity having a horizontal cross-sectional profile having a perimeter formed by the inner surface of the body portion, the basket having a horizontal cross-sectional profile having an external perimeter formed by an outer surface of the basket, the apparatus comprising; a ring-like structure having an outer surface and inner surface forming a central passageway, the ring-like structure having a horizontal cross-sectional profile having an internal perimeter formed by the inner surface of the ring-like structure and external perimeter formed by the outer surface of the ring-like structure; and therein the internal perimeter of the ring-like structure corresponds to the external perimeter of the basket in size and shape and the external perimeter of the structure corresponds to the perimeter of the cavity in size and shape. In yet another aspect, the invention can be an apparatus for transporting and/or storing radioactive materials having a residual heat load comprising: a body comprising an inner surface that forms a cavity for receiving radioactive materials, the body providing gamma and neutron radiation shielding, the cavity having an open top end and a closed bottom end; a basket positioned in the cavity and comprising a plurality of cells; a structure having an outer surface and an inner surface forming a central passageway, the basket extending through the central passageway of the structure; and wherein the structure is constructed of a material having a first coefficient of thermal expansion and the inner surface of the body constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. In a further aspect, the invention is an apparatus for stabilizing a basket for holding radioactive materials having a residual heat load within a cavity formed by the inner surface of a body portion of a container, the apparatus comprising: a ring-like structure having an outer surface and an inner surface forming a central passageway adapted to receive the basket; and wherein the ring-like structure is constructed of a material having a first coefficient of thermal expansion and the inner surface of the body is constructed of a material having a second coefficient of thermal expansion, the first coefficient of thermal expansion being greater than the second coefficient of thermal expansion. In still a further aspect, the invention can be an apparatus suitable for transporting and/or storing spent nuclear fuel rods comprising: a basket formed from a honeycomb-like gridwork of plates arranged in a rectilinear configuration, the gridwork of plates forming a plurality of cells for receiving spent nuclear fuel rods; the basket comprising one or more flux traps that regulate production of neutron radiation; and wherein the plates are constructed of a metal matrix composite material. FIG. 1 is a perspective view of a container 100 for storing and/or transporting HLW according to an embodiment of the present invention. While the container 100 (and its components) are described throughout this specification in conjunction with storing and/or transporting SNF rods, the invention is in no way limited by the type of HLW. The container 100 (and its components) can be used to transport and/or store almost any type of high level radioactive waste. The container 100, however, is particularly suited to transport, store and/or cool radioactive materials that have a residual heat load and produce neutron and gamma radiation. The container 100 is a thermally conductive cask and, thus, comprises a hermetically sealable cavity in which the SNF rods can be positioned for storage, cooling and/or transportation. In order to cool SNF rods that are located in the hermetically sealed cavity of the container 100, the residual heat emanating from the SNF rods is drawn away from the cavity by thermal conduction through the body 20 of the container 100. This conductive cooling process will be described in greater detail below. However, while the various aspects of the invention will be described in great detail with respect to a thermally conductive cask, those skilled in the art will appreciate that the inventive components and concepts can be incorporated into a VVO system if desired. The container 100 is designed for use in a substantially vertical orientation (as shown in FIG. 1). The container 100 has a top 101 and a bottom 102. The container 100 is preferably a substantially cylindrical containment unit having a horizontal cross-sectional profile that is substantially circular in shape. The invention, however, is not limited by the shape of the container 100 or its intended orientation during use. The container 100 comprises a body portion 20 and a lid assembly 21, which comprises a primary lid 9 and a secondary lid 8 (visible in FIG. 2). Both the body portion 20 and the lid assembly 21 are constructed to provide effective neutron and gamma radiation shielding for radioactive materials that are stored in the container 100, especially SNF rods. As will be discussed in greater detail below, the design and manufacturing technique of the container 100 provides improved neutron and gamma radiation shielding over prior art containers. The lid assembly 21 is connected to the body portion 20 via plurality of bolts 22. The lid assembly 21 is secured to the body portion 20 in a manner that allows the lid assembly 21 to be repetitively removed and secured to the body portion 20 without damaging the structural integrity of the container 100 or any of its components. Thus, the lid assembly 21 preferably forms a lid-to-body interface with the body portion 20 and is a non-unitary and removable structure with respect to the body portion 20. The body portion 20 of the container 100 comprises a plurality of radiation shielding rings 11, 11A, a top forging 3 and a bottom forging 4. A pair of trunnions 5 are provided on each of the top and bottom forgings 3, 4 to facilitate handling of the container 100 with a crane or other means. More specifically, the trunnions 5 are positions on each of the top and bottom forgings 3, 4 so as to be circumferentially spaced from one another at approximately 180 degrees. The trunnions 5 are preferably made of a gamma radiation absorbing material that is sufficiently robust to handle the stresses and strains associated with the repetitive loading and unloading cycles undertaken during handling of the container 100. In one embodiment, the trunnions 5 are preferably formed of steel. Of course, other suitable materials can be used so long as they are of sufficient strength and adequate ductility so as to withstand the load bearing cycles. A trunnion plate 6 is also provided at the base of each trunnion 5. The trunnion plates 6 are preferably rectangular in shape and have a hole that forms a passageway so that the trunnions 5 can extend therethrough. The trunnion plates 6 can be constructed of a gamma radiation absorbing material, such as steel. However, in instances where added neutron radiation shielding is needed for the top and bottom forgings 3, 4, the trunnion plates 6 can be constructed of a neutron radiation absorbing material. The desired structural and/or shielding properties of the container 100 will dictate the desired material of construction of the trunnion plates 6. The top and bottom forging 3, 4 have indentations 24 (visible in FIG. 2) for receiving the trunnion plates 6. The indentations 24 are sized and shaped to correspond to the size and shape of the trunnion plates 6. The trunnions 5 can be connected to the top and bottom forgings 3, 4 by a wide variety of techniques, including without limitation, welding, bolting, a tight-fit assembly and threaded engagement. For container 100, suitably sized bores 23 (visible in FIG. 2) are formed into the outer surfaces of the top and bottom forgings 3, 4 at the desired locations for placement of the trunnions 5. The trunnions 5 are sized to fit within the bores 23 and protrude therefrom. Rigid engagement of the trunnions 5 within the bores 23 can be effectuated by any of the methods discussed above. However, threading engagement between the outer surfaces of the trunnions 5 and the inner surfaces of the bores 23 may be preferred. The bores 23 are located within the indentations 24. Two neutron shielding plates 10 are secured to the outer surface of each of the top and bottom forgings 3, 4. The neutron shielding plates 10 are fitted between the trunnion plates 6 and are provided to improve the neutron radiation shielding properties of the forgings 3, 4 (which are primarily constructed of a gamma radiation absorbing material, such as steel). The neutron shielding plates 10 are constructed of a neutron radiation absorbing material, such as a polymer rich in hydrogen. Examples of such materials are sold under the name Hold-Tite and NSC4FR. The neutron shielding plates 10 are curved plate-like structures that are designed to circumferentially surround at least a portion of the outer surface of the top and bottom forgings 3, 4. Preferably, the entire outer surface of the top and bottom forgings 3, 4 are surrounded by a neutron absorbing material. Referring now to FIG. 2, the general construction of the container 100 and the arrangement of its major component parts will be discussed in detail. FIG. 2 illustrates container 100 in an exploded state. The body portion 20 of the container 100 comprises the bottom forging 4. The bottom forging 4 acts as a base and/or foundation structure for the rest of the container 100. The bottom forging 4 is thick plate-like structure constructed of a gamma radiation absorbing material, such as steel or lead. However, other materials can be used if desired. The bottom forging 4 is designed to be sufficiently thick so that radiation does not escape from the bottom of the container 100 when loaded with radioactive materials, such as SNF rods. The exact thickness and material of construction of the bottom forging 4 will be determined on case-by-case design basis, taking into consideration such factors as the desired radiation shielding, government regulations, and the desired structural integrity. Additionally, while the base structure 4 of the container is referred to as a bottom “forging,” the base structure 4 is not limited to any specific technique of formation/manufacture. The bottom forging 4 can be constructed by forging, machining, milling, lathing, molten metal molding, stamping, etc. or any combination thereof. The bottom forging 4 comprises an outer surface 30, a top surface 31 and a bottom surface 32. The outer surface 30 acts as the side wall of the bottom forging 4 to which the neutron shielding plates 10 are attached. The top surface 31 of the bottom forging 4 comprises an indentation 33 formed by a raised edge portion 34. The indentation 33 forms an area for the inner shell 1 to nest. As a result, the indentation 33 facilitates the proper placement of the inner shell 1 atop the bottom forging 4. While the indentation 33 has a circular horizontal profile, the profile of the indentation 33 can take on a wide variety of shapes. However, it is preferable that the shape of the horizontal profile of the indentation 33 be substantially the same as the shape of the horizontal profile of the inner shell 1. The size of the horizontal profile of the indentation 33 is preferably slightly larger than that of the inner shell so that the bottom portion of the shell 1 can slidably fit therein so as to be supported in a substantially vertical orientation when the container 100 is assembled. The body portion 20 of the container 100 also comprises an inner shell 1 (fully visible in FIG. 3). The inner shell 1 is a thin-walled tubular structure. The inner shell 1 is generally cylindrical in shape and has a substantially circular horizontal cross-sectional profile. The inner shell 1 is preferably constructed of a gamma absorbing material, such as steel. However, in other embodiments the inner shell 1 can take on a wide variety of other shapes and be constructed of a host of other materials. The inner shell 1 has an outer surface 40 and an inner surface 41 (labeled in FIG. 8) that forms a cavity 42 for receiving the radioactive materials that are to be stored, transported and/or cooled. The cavity 42 has an open top end and a closed bottom end. The open top end provides unobstructed access to the cavity 42. The inner shell 1 comprises a bottom plate 2 that is welded, bolted, riveted or otherwise secured to the bottom of the inner shell 1. The bottom plate 2 acts as a floor and encloses the bottom of the cavity 42. Preferably, the bottom plate 2 is made of the same material as the inner shell 1. As mentioned above, the inner shell 1 is positioned atop the bottom forging 4 in a substantially upright and vertical orientation when the container 100 is fully assembled. It should be noted that in certain embodiments of the invention, the body portion 20 may not comprise the inner shell 1. Instead, the cavity 42 will be formed directly into the body portion 20. When the container 100 is fully assembled and loaded with SNF, a containment boundary is formed about the cavity 42. This containment boundary confines both particulate and fluidic matter within the cavity 42. As used herein, fluidic matter includes both gaseous matter and liquid matter. While the containment boundary is formed by the cooperation of the inner shell 1, bottom plate 2, top forging 3 and lids 8, 9 in the exemplified container 100, the invention is not so limited. The containment boundary can be formed by a single integral structure or any number of components/structures and combinations thereof so long as the particulate and fluidic containment function is achieved. For example, the containment boundary can be formed by a multipurpose canister or by the internal surfaces of the radiation shielding rings 11, 11A, the bottom forging 4, and lid 8. The body portion 20 of the container 20 further comprises a plurality of radiation shielding rings 11, 11A. The radiation shielding rings 11, 11A are arranged in a stacked assembly that circumferentially surrounds the inner shell 1 and, thus, the cavity 42 formed therein. Preferably, the radiation shielding rings 11, 11A are stacked so as to surround the inner shell 1 for its entire height in a sleeve-like manner. The radiation shielding rings 11, 11A rest atop the upper surface of the raised ledge 34 of the bottom forging 4. Thus, in essence, the raided ledge portion 34 of the bottom forging 4 acts a flange. The radiation shielding rings 11, 11A are adapted to provide the bulk of the necessary neutron and gamma radiation shielding in the lateral direction for radioactive materials stored in the cavity 42. The radiation shielding rings 11, 11A also form the outer portion of the container 100 and provide an excellent conductive heat removal path. Of course, the inner shell 1 also provides some of the necessary gamma radiation shielding. The rings 11, 11A also provide the structural boundary to protect the container 100 from incidental damage. The stacked assembly of the radiation shielding rings 11, 11A and the interaction of the radiation shielding rings 11, 11A with one another and the inner shell 1 will be discussed at length below with respect to FIGS. 6-8. Referring still to FIG. 2, a total of six radiation shielding rings 11, 11A are used to form the stacked assembly around the inner shell 1 in the illustrated embodiment. However, depending on the height of the container 100 desired, more or less radiation shielding rings 11, 11A can be used. It is preferable that at least three radiation shielding rings 11, 11A be implemented in order to facilitate ease of assembly and sliding over the inner shell 1. The radiation shielding rings 11, 11A are identical to one another with the exception that the bottom-most radiation shielding ring 11A, which acts as an end component in the stack, does not have a collar extending/protruding from its bottom surface. This will described in greater detail below. Using a plurality of identical radiation shielding rings 11, 11A to form the body portion 20 of the container 100 allows a manufacturer to create containers having a multitude of different heights with minimal retooling. Two end plates 7 are provided at the top and bottom of the stacked assembly of radiation shielding rings 11, 11A. The end plates 7 are flat ring-like plate structures that resemble a disc having a center hole. As with the radiation shielding rings 11, 11A, the end plates 7 circumferentially surround the inner shell 1 (and thus the cavity 42 formed thereby). The inner shell 1 extends through the center hole of the end plates 7. One end plate is positioned below the bottom-most radiation shielding ring 11A, thus being located between the bottom surface of the radiation shielding ring 11A and the upper surface of the raided ledge portion 34 of the bottom forging 4. The other end plate 7 is positioned above the upper-most radiation shielding ring 11, thus being located between the top surface of the upper-most radiation shielding ring 11 and the bottom surface of the top forging 3. The end plates 7 enclose the voids/pockets 65 of the radiation shielding rings 11, 11A that hold the neutron radiation absorbing material (discussed below). Suitable welds or other connection methods can be employed as necessary to connect the end plates 7 to the radiation shielding rings 11, 11A and the top and bottom forgings 3, 4. Preferably, the end plates 7 are connected to the radiation shielding rings 7 in a manner that hermetically seals the pockets/voids, such as welding or through the use of a gasket. The body portion 20 of the container 100 also comprises a top forging 3. The top forging 3 is a thick ring-like structure constructed of a gamma radiation absorbing material, such as steel or lead. The top forging 4 is designed to be sufficiently thick so as to provide the necessary radiation shielding properties for the radioactive materials stored in the cavity 42. Other materials can be used if desired. As with the bottom forging 4, the top forging 3 can be constructed by any suitable technique, including forging, machining, milling, lathing, molten metal molding, stamping, etc. or any combination thereof. The top forging 3 is positioned atop and connected to the stacked assembly of radiation shielding rings 11, 11A. In order to allow access to the cavity 42 for the loading and unloading of radioactive materials, the top forging 3 is constructed as a ring-like structure having an outer surface 44 inner surface 45 that forms a passageway 46 through the top forging. The top forging 3 is positioned atop the inner shell 1 and the stack assembly of the radiation shielding structures 11, 11A so that the passageway 46 is aligned with the open to end of the cavity 42 of the inner shell 1. The top forging 3 also serves to act as a structure by which the primary and secondary lids 9, 8 can be secured to the body portion 20 of the container 100. The top forging 3 comprises a first ledge 47 and second ledge 48 that surrounds the passageway 46. The ledges 47, 48 are formed by the stepped nature of the inner surface 45. The first ledge 47 is formed by the horizontal surface atop the first riser portion of the inner surface 45. The second ledge 48 is formed by the horizontal surface atop the second riser portion of the inner surface 45. Thus, the second riser portion of the inner surface 45 provides lateral restraint for the secondary lid 8. A retaining ridge 49 surrounds the second ledge and provides lateral restraint for the primary lid 9. The first and second ledges 47, 48 comprise a plurality of spaced apart bores 23. The bores 23 acts as receiving holes for the bolts 22 that are used to secure the primary and secondary lids 9, 8 to the body portion 20 of the container 100. If desired, the bores 23 can have a threaded wall surface for engagement with the threads of the bolts 22. Of course, the primary and secondary lids 9, 8 can be secured to the body portion 20 of the container 100 by any means known in the art, including, without limitation, riveting, screwing, a tight-fit assembly, or a combination thereof. The secondary lid 8 is smaller in size than the primary lid 9. The primary lid 8 rests on the first ledge 47 of the top forging 3 and is bolted thereto. The secondary lid 9 rests on a second ledge 48 of the top forging 3 and is bolted thereto. When secured to the body portion 20 of the container in their intended orientation, a space if formed between the primary lid 9 and the secondary lid 8. The primary and secondary lids 8, 9 are preferably constructed of thick steel or another metal. Lead can be used. If desired, the secondary lid 8 can comprise an adequate amount of neutron radiation absorbing material. Together, the primary and secondary lids 9, 8 provide the necessary radiation shielding properties for the top of the container 100 so that radiation does not escape upward from the cavity 42. With reference to FIGS. 2 and 3 simultaneously, the basket 13 and spacers 60 of the container 100 will be generally described. The container 100 further comprises and SNF storage basket 13 and a plurality of spacers 60. The basket 13 is centrally positioned within the cavity 42 of the inner shell 1 and rests on the floor of the cavity 42 that is formed by bottom plate 2. The basket 13 is positioned in the cavity 42 in a substantially vertical orientation and is preferably free-standing. The basket 13 comprises a plurality of vertically-oriented elongated storage cells 50 that are designed to receive SNF rods. Each cell 50 is a space that is designed to fully accommodate a single SNF rod. The basket also comprises a plurality of flux traps 53. The basket 13 will be discussed in greater detail with respect to FIGS. 15-17 below. Referring still to FIGS. 2 and 3, the spacers 50 are arranged in the cavity 42 in a stacked assembly that surrounds the outer perimeter of the basket 13. The basket 13 extends through the central passageways 165 of the spacers 60. A sufficient number of spacers 60 are stacked atop one another so that the entire height of the basket 13 is surrounded. Preferably, more than three spacers are used for a single container 100. In an alternative embodiment, the spacer 60 can be constructed as single integral structure that is tall enough to surround the entire height of the basket 13 rather than a plurality of non-unitary segments. The spacers 60 support, position and orient the basket 13 within the cavity 42. The spacers 60 are located between the inner surface 41 of the inner shell 1 and the outer surface 52 of the basket 13. The spacers 60 are preferably made of a material that has a coefficient of thermal expansion that is greater than that of the material of which the inner shell 1 is constructed. More preferably, the spacers 50 are constructed of a material having a coefficient of thermal expansion that is greater than that of the materials of which all of the components of the container 100 are constructed, including without limitation the radiation shielding rings 11, 11A, the basket 13 and the forgings 3, 4. By constructing the spacers 60 out of material that has a greater coefficient of thermal expansion than that of the inner shell 1, continuous contact between the outer surface 61 of the spacers 60 and the inner surface 41 of the inner shell 1 when experiencing a heat load. Continuous surface contact improves the ability of the heat emanating from the radioactive waste to conduct outwardly through the body portion 20 of the container 100. In one embodiment, the spacer 60 is made of aluminum and the inner shell 1 is made of steel. The spacers 60 and their functioning will be discussed in greater detail below with respect to FIGS. 10-14. Referring now to FIGS. 4-6A contemporaneously, the structure of the radiation shielding rings 11 will be described in detail. The radiation shielding ring 11 is a circular ring-like structure. While the ring-like structure 11 has substantially circular horizontal profile in the illustrated embodiment, the radiation shielding ring 11 is not so limited. In other embodiment, the ring-like structure 11 can have a rectangular or other geometric profile. The radiation shielding ring 11 has a ring body 70 having an outer surface 71, an inner surface 72, a top surface 73 and a bottom surface 74. The inner surface 72 forms a central passageway 75 that extends through the radiation shielding ring 11. The dimensions of the central passageway 75 are dictated by the dimensions of the inner shell 1 and the material of which the ring body 70 is constructed. The inner surface 72 is preferably a stepped surface comprising a first riser surface 76, a horizontal tread surface 77 and a second riser surface 78. The stepped inner surface 72 forms an annular channel 79 in the top surface 73 above the horizontal tread surface 77. The channel 79 circumferentially surrounds the central passageway 75. If desired, the outer surface 71 of the radiation shielding ring 11 can be modified to increase the overall area exposed to the ambient surrounding to increase heat removal via convection. For example, the outer surface can be undulating, threaded, dimpled or contain spines. The radiation shielding ring 11 further comprises a collar 80 protruding from the bottom surface 74 of the ring body 70. The collar 80 is a plate-like structure that forms a ridge extending from the bottom surface 74 of the ring body 70. The collar 80 circumferentially surrounds the central passageway 75 in manner that correspond to the channel 79. The collar 80 can be integrally formed as part of the ring body 70 or can be a non-unitary structure that is secured to the ring body via welding, bolting or any other connection technique. In the illustrated embodiment, the collar 80 is integrally formed as part of the ring body 70. In the illustrated embodiment of the radiation shielding ring 11, the collar 80 is located adjacent the central passageway 75 so that the collar 80 comprises the first riser surface 76 of the inner surface 72. The collar 80, however, can be located on the ring body 70 at a radially spaced location from the central passageway 75 if desired, such as near the outer surface 71 of the ring body 70. Moreover, in some embodiments, the collar 80 can be located on the top surface 73 of the ring body 70. In such embodiments, the channel 79 will be located in the bottom surface 74 of the ring bodies 70 rather than in the top surfaces 73. Referring solely to FIG. 6A, the collar 80 has a height H1 that is substantially equal to the height H2 of the ring body 70. The collar 80 is connected to the ring body 70 so that approximately one-half of its height H1 protrudes beyond the bottom surface 74 of the ring body 70. As a result, the channel 79 has a depth D that is approximately one-half of the height H1 The importance of these dimensions will become apparent from the discussion below with respect to FIGS. 7 and 8 regarding the stacked assembly and the interaction between adjacent radiation shielding rings 11. The top and bottom surfaces 73, 74 of each ring 11 are chamfered near the outer perimeter so as to form chamfered surfaces 81. When arranged in the stacked assembly, the chamfered surfaces 81 of the adjacent radiation shielding rings 11 for a circumferential groove in the outer surface of the retainer 100. This circumferential groove allows seal welding of adjacent rings 11 in the stacked assembly, which helps keep the container 100 water tight when it is placed in a spent fuel pool. Referring again to FIGS. 4-6A contemporaneously, the radiation shielding rings 11 comprise a plurality of voids 65. In order to avoid clutter, only a few of the voids 65 are numerically identified in the drawings. The voids 65 are provided for receiving a neutron radiation absorbing material, such as a solidifying liquid that is poured into each void 65. Such solidifying liquids are well known in the art. Other suitable neutron radiation absorbing materials include water and other materials that are rich in hydrogen. Each void 65 extends from the top surface 73 to the bottom surface 74, thereby forming a vertical passageway through the ring body 70 of the radiation shielding ring 11. When container 100 is fully constructed, the voids 65 are filled with the neutron absorbing material. The voids 65 are arranged in a series of two concentric rings surrounding the central passageway 75. Importantly, the voids 65 of the inner ring series are circumferentially offset from the voids 65 of the outer ring series. This configuration ensures that the neutron radiation shielding material surrounds the central passageway 75 without any gaps in the neutron radiation shielding that is provided. The offset/juxtaposition of the voids 65 of the inner and outer ring series eliminates the existence of liner path from the central passageway 75 to the outer surface 71 of the radiation shielding ring 11 that does not pass through the neutron radiation absorbing material in the voids 65. In other words, a linear path does not exist through the material of which the radiation shielding ring 11 is constructed. Such a linear path is undesirable because the material of the radiation shielding ring 11, which will typically be a gamma radiation absorbing metal, does not by itself provide the necessary neutron radiation shielding properties. As a result, areas of high neutron radiation exposure (i.e., streaming would result if such a linear path was allowed to exist. The dual series design and the offset/juxtaposition of the voids 65 of the inner and outer ring series eliminates this issue. The geometric design/layout of the voids 65 also serves another important purpose. The geometric layout of the voids 65 ensures that when the radiation shielding rings 11, 11A are arranged in a stacked assembly around the inner shell 1, all of the voids 65 of the radiation shielding rings 11, 11A are in spatial communication with all of the voids of the adjacent radiation shielding ring(s) 11, 11A, irrespective of the circumferential orientation (i.e., rotational position) of the radiation shielding rings 11, 11A. As a result, the neutron absorbing material can be flowed into the voids 65 of the uppermost radiation shielding ring 11 in the stacked assembly and flow freely into all of the voids 65 of the remaining radiation shielding rings 11, 11A in the stacked assembly. Thus, one does not have to worry about the circumferential/rotational orientation of the radiation shielding rings 11, 11A with respect to one another during this pouring process. It should be noted that the two rings/series of voids 65 could be spatially interconnected in places to facilitate the pouring of the neutron shielding material during construction. The ring body 70 of the radiation shielding ring 11 further comprises an outer wall 66, a middle wall 67 and an inner wall 68 (best visible in FIG. 6A). The walls 66-68 are in a spaced and concentric relation with respect to one another. The first inner-ring series of voids 65 are located between the inner wall 68 and the middle wall 67. The second outer-ring series of voids 65 is located between the outer wall 66 and the middle wall 67. Radial fins 69 are provided that form structural connections between the walls 66-68 and function to remove heat. A first series/plurality of radial fins 69 connect the inner wall 68 to the middle wall 67. A second series/plurality of radial fins 69 connect the middle wall 67 to the outer wall 66. The radial fins 69 facilitate the cooling of the radioactive waste stored in the container 100 by conducting heat through the radiation shielding ring 11 and away from the radioactive waste. More specifically, the radial fins 69 provide a heat removal path that ensures adequate heat conduction from the inner wall 68 to the outer wall 66 where convective forces can then remove the heat load from the outer surface 71 of the ring body 70. Importantly, the radial fins 69 of the first series are circumferentially offset from the radial fins 69 of the second series. This offset/juxtaposition of the radial fins 69 eliminates the existence of a linear path existing from the central passageway 75 to the ambient atmosphere through the material of the radiation shielding ring 11. Thus, neutron radiation exposure (i.e., streaming) through the radiation shielding ring 11 itself is eliminated. Referring now to FIG. 6B, an end radiation shielding ring 11A is illustrated. In order to avoid redundancy, only those aspects of the end radiation shielding ring 11A that differ from the radiation shielding ring 11 will be discussed. Like numbers are used to identify like elements with the addition of the letter “A” as a suffix. The end radiation shielding ring 11A is identical to the radiation shielding rings 11 except that it does not have a collar. The collar is omitted from the end radiation shielding ring 11A so that the bottom surface 74A of the ring body 70A can rest flushly atop the end plate 7 (FIG. 2) when the stacked assembly is formed. The presence of a collar would prevent this. However, if the bottom forging 4 had a channel formed therein to receive a collar, the end radiation shielding ring 11A could have such a collar. Finally, while the end radiation shielding ring 11A is the bottom-most ring in the stacked assembly, it may also be the upper-most ring in the stacked assembly if desired. Referring now to FIG. 7, the installation of the radiation shielding rings 11, 11A over the inner shell 1 during the manufacture of the container 100 will be described. First, the top forging 3 is provided. The end plate 7 is then connected to the bottom surface of the top forging 3. The inner shell 1 (comprising the bottom plate 2) is then connected to the assembly of the top forging 3 and the end plate 7 so that the open end of the cavity 42 is accessible through the top forging 3 via its open top end. The connections can be accomplished through welding or the like. The assembly of the inner shell 1, the top forging 3 and the end plate 7 is then oriented in an upside-down position. The assembly is now ready for the installation of the radiation shielding rings 11, 11A. However, in order to optimize heat removal (i.e., cooling) from radioactive materials loaded in the cavity 42 of the inner shell 1, it is desired that the inner surfaces 72 of the radiation shielding rings 11, 11A be in substantially continuous surface contact with the outer surface 40 of the inner shell 1. Even the smallest of gaps and or voids between these surfaces will negatively affect the ability of heat to conduct outwardly from the radioactive waste to the outer surfaces 71 of the radiation shielding rings 11, 11A (where it can be removed by convective forces). Thus, a very tight and flush fit between the inner surfaces 72 of the radiation shielding rings 11 and the outer surface 40 of the inner shell 1 is desired. The present invention achieves this tight and flush fit between the surfaces 40 and 72 by utilizing the phenomena of thermal expansion. As discussed above, the radiation shielding rings 11, 11A are preferably made of a metal, such as steel. Thus, through the phenomena of thermal expansion, the dimensions of the radiation shielding rings 11, 11A are varied/adjusted by heating and/or cooling of the structure. The radiation shielding rings 11 are designed so that: (1) when the radiation shielding rings 11, 11A and the inner shell 1 are at substantially the same temperature (such as ambient temperature), the horizontal cross-sections of the central passageways 75 are slightly smaller than or equal to the horizontal cross-section of the outer surface 40 of the inner shell 1; and (2) when the radiation shielding rings 11, 11A are super-heated to a desired temperature that is greater than the temperature of the inner shell 1, the horizontal cross-section of the central passageways 75 are slightly larger than the horizontal cross-section of the outer surface 40 of the inner shell 1. The present invention utilized this key design feature to effectuate the installation of the radiation shielding rings 11, 11A about the inner shell 1 in the stacked assembly. More specifically, once the assembly of the inner shell 1, the top forging 3 and the end plate 7 are oriented in the illustrated upside-down position, a first radiation shielding ring 11 is super-heated to a temperature that results in the horizontal cross-section of the outer surface 40 of the inner shell 1. In one embodiment, the radiation shielding ring 11A is preferably heated to a temperature less than 600 degrees Fahrenheit Celsius. Importantly, the superheating should be controlled so as to not reach a temperature that would affect the metallurgical properties of the material of which the radiation shielding rings 11, 11A are constructed. The inner shell 1 is maintained at ambient temperature at this time. Once the first radiation shielding ring 11 is adequately heated and, thus, in an expanded state, the radiation shielding ring 11 is oriented upside-down. When upside-down, the top surface 73 of the first radiation shielding ring 11 is oriented downward and the collar 80 is oriented upward. The central axis of central passageway 75 of the first radiation shielding ring 11 is then aligned with the central axis of the inner shell 1 and slid downward over the inner shell 1. As the first radiation shielding ring 1 is slid downward, the inner shell 1 extends through the central passageway 75 of the radiation shielding ring 11. Because the first radiation shielding ring 11 remains heated (and thus expanded) during this installation procedure, a small annular gap/space 82 (visible in FIG. 8) exists between inner surface 72 of the radiation shielding ring 11 and the outer surface 40 of the inner shell 1. This annular gap/space 82 acts a tolerance that allows the first radiation shielding ring 11 is slidably lowered until its top surface 73 rests atop of the end plate 7. As the first radiation shielding ring 11 cools, it will shrink in size, thereby effectuating a very tight fit between the inner surface 72 of the radiation shielding ring 11 and the outer 40 surface of the inner shell 11 that is free of gaps and/or voids (i.e., substantially continuous surface contact). The inner surface 72 of the first radiation shielding ring 11 preferably compresses the outer surface 40 of the inner shell 1. Once the first (and upper-most) radiation shielding ring 11 is in place, this heat-up and installation procedure is repeated for the remaining radiation shielding rings 11, 11A until the entire height of the inner shell 1 surrounded by a stacked assembly of the radiation shielding rings 11, 11A. Referring now to FIG. 8, the creation of the stacked assembly of the radiation shielding rings 11a-d will be described in greater detail. For ease of reference, the radiation shielding rings 11 have been given an alphabetical suffix “a” through “d”. For further ease of reference, the stacked assembly is illustrated as being created in the upright position rather than the upside-down position of FIG. 7. The discussion, however, can easily be applied to the upside-down installation described in FIG. 7. In FIG. 8, three radiation shielding rings 11a-11c are already installed in a stacked arrangement about the outer surface 40 of the inner shell 1 for positioning atop the stacked assembly. The radiation shielding ring 11d is in the super-heated state while the radiation shielding rings 11a-11c are in a cooled/ambient state. Because the radiation shielding ring 11d is in the super-heated state, the radiation shielding ring 11d is expanded in size. A small annular gap 82 exists between the first riser surface 76d (of the inner surface 72a) of the radiation shielding 11d and the outer surface 40 of the inner shell 1. The invention, however, is not limited to any size or shape for the gap 82. The annular gap 82 preferably provides the minimum clearance necessary to allow the radiation shielding ring 11d to slide over the inner shell 1. When the radiation shielding ring 11d cools, it will shrink, as have radiation shielding rings 11a-c. Upon cooling from their super-heated states, first riser surfaces 76a-d of the radiation shielding rings 11a-d will compress against the outer surface 40 of the inner shell 1, thereby creating substantially continuous surface contact therebetween. In order to eliminate the formation of any gaps/spaces between the inner surfaces 72a-d of the radiation shielding rings 11a-d and the outer surface 40 of the inner shell 1 when under a heat load from radioactive materials stored within the cavity 42, it is preferred that the inner shell 1 be constructed of the same material as the radiation shielding rings 11a-d or of a material having a coefficient of thermal expansion that is greater than or substantially equal to the coefficient of thermal expansion of the material of which the radiation shielding rings 11a-d are constructed. The collar 80d of the radiation shielding ring 11d is oriented facing downward for slidable mating/insertion into the channel 79c of what will be the adjacent radiation shielding ring 11c in the stacked assembly. The channel 79d of radiation shielding ring 80d is facing upward for receipt of the collar of the next radiation shielding ring to be added to the stack. If desired, the bottom surface of the collar 80d can be chamfered along its edge to facilitate the slidable mating of the collar 80d into the channel 79c. The radiation shielding ring 11d is lowered until its collar 80d slides into the channel 79c of the adjacent radiation shielding ring 11. When fully lowered, the bottom surface 73d of the radiation shielding ring 11d will contact and rest atop the top surface 74c of the radiation shielding ring 11c, thereby forming a ring-to-ring interface. Such a ring-to-ring interface would normally be a concern for radiation escape (i.e., shining). However, because the collar 80d (which is constructed of a gamma radiation absorbing material) will extend both above and below the ring-to-ring interface, the danger of radiation shine is eliminated. As can be seen, a collar 80b-c is preferably located at each of the ring-ring-interfaces 83b-c formed between the adjacent radiation shielding rings 11a-c in the stacked assembly. In the illustrated example, the channels 79a-d of the radiation shielding rings 11a-d are formed between the outer surface 40 of the inner shell and the second riser surfaces 78a-d of the radiation shielding rings 11a-d. However, in other embodiments the channels can be located in another radial position along either the top surface or the bottom surface of the radiation shielding rings 11a-d. For example, the channels can be centrally located at or near the middle wall of the ring body or at or near the outer surface of the ring body. When the location of the channel is changed, the location of the collar also should be changed in a corresponding manner on the other one of the top or bottom surfaces to facilitate the aforementioned sliding engagement/mating. In some embodiments, the existence of a channel to receive the collar may not even be necessary. In such embodiments, the collars can be located on the outer surfaces of the radiation shielding rings and extend over the ring-to-ring interface so as to surround the perimeter of the outer surface of the adjacent radiation shielding ring in the stack. Thus, as with the exemplified design, ring-to-ring interfaces are formed that are free of cracks through which radiation can shine. The addition of the radiation shielding rings 11 to the stack continues as outlined above until the entire height of the inner shell 1 is surrounded in a sleeve-like manner. When constructed as shown in FIG. 7, the last radiation shielding ring put in place is the bottom-most radiation shielding ring 11A (FIG. 1). As can be seen from FIG. 8, when the radiation shielding rings 11, 11A are in the stack, all of the voids 65a-d of each radiation shielding ring 11a-d are in spatial communication with all of the voids 65a-d of the adjacent radiation shielding rings 11a-d. As a result, once the installation of the stack of the radiation shielding rings 11, 11A is complete, a solidifying neutron radiation absorbing liquid is poured into the voids 65 of the bottom-most radiation shielding ring 11A. Because the container 100 is upside down at this point, the solidifying neutron radiation absorbing liquid flows into and fills the voids 65 of all of the radiation shielding rings 11 in the stack. As discussed above, the geometric layout of the voids 65 ensures that all of the voids 65 of the radiation shielding rings 11, 11A are in spatial communication with all of the voids of the adjacent radiation shielding ring(s) 11, 11A, irrespective of the circumferential orientation (i.e., rotational position) of the radiation shielding rings 11, 11A. By utilizing a plurality of radiation shielding rings 11, 11A that are considerably shorter in height than the inner shell 1, the danger of getting a radiation shielding ring 11, 11A stuck on the inner shell 1 before it is in position properly due to premature cooling is reduced. It is preferred that the height of the body 70 radiation shielding rings 11, 11A be less than or equal to one-third of the cavity 42. Moreover, by utilizing a plurality of radiation shielding rungs 11, 11A, the height of any HLW container 100 can be increased/decreased as desired with minor design and tooling modification. Once the solidifying neutron radiation absorbing liquid properly fills all of the voids 65 of the radiation shielding rings 11, 11A, the second end plate 7 is secured to the bottom of the bottom-most ring 11A. via welding or another sealing technique This prevents the liquid from escaping. The bottom forging 4 is then secured to the second end plate 7 and the base plate 2 of the inner shell. Referring to FIG. 9, alternate embodiments 11B-11E of the radiation shielding rings 11, 11A are illustrated. Notably, the shape and geometric layout of the voids 65 are different. However, the principles outlines above are maintained despite the changes in shape and layout. Referring now to FIG. 10, the structure of the spacers 60 will be described in greater detail. The spacers 60 are ring-like structures that serve a multitude of purposes for the container 100, including structural support for the basket 13, a heat transfer path from the basket 13 to the inner shell 1 and radiation shielding. The spacer 60 has a top surface 61, a bottom surface 62, an outer surface 63 and an inner surface 64. The inner surface 64 forms a central passageway 165 through the spacer 60. The central passageway 165 is specially designed to accommodate the basket 13, which extends therethrough. The spacer 60 is preferably constructed of a material that has a coefficient of thermal expansion that is greater than the coefficient of thermal expansion of the material of which the inner shell 1 is constructed. The spacer 60 is to be constructed of a material that has a coefficient of thermal expansion that is preferably at least 20% greater than the coefficient of thermal expansion of the material of which the inner shell 1 is constructed. More preferably, the spacer 60 is constructed of a material having a higher thermal expansion coefficient than the rest of the components of the body portion 20 of the container 100, and most preferably at least 20% than the rest of the components of the body portion 20. In one embodiment, the spacer 60 is constructed of aluminum because of its excellent heat transfer properties, low weight and high thermal expansion coefficient. Lightening holes/passageways 166 can be provided to lighten the weight and reduce the amount of material required to manufacture the spacer 60. The spacer 60 may be fabricated in stackable segments to achieve the desired height or in multiple radial segments. The spacer 60 can also be keyed to help maintain alignment through the stack. The spacer 60 can be fabricated by machining, lathing, forging, molten metal welding or any combination thereof. The spacer 60 is fabricated slightly undersized with respect to the cavity 42 of the inner shell 1 so that it can fit easily therein during construction. When radioactive materials having a heat load are placed in the cask 100, the basket 13 and the spacer 60 can be heated. In turn, the spacer 60 swells so that is outer surface 64 makes intimate contact with the inner surface 41 of the inner shell 1 while its inner surface 64 makes intimate contact with the outer surface of the basket 13. This will be described in greater detail below with respect to FIGS. 13-14. Referring now to FIG. 11, a top view of the spacer 60 is shown. This top view of the spacer 60 is identical to a view of its horizontal cross-sectional profile. The horizontal cross-sectional profile of the spacer comprises an external perimeter 67 and an internal perimeter 68. The external perimeter 67 is formed by the outer surface 63 while the internal perimeter 68 is formed by the inner surface 64. Referring now to FIG. 11, a top view of the spacer 60 is shown. This top view of the spacer 60 is identical to a view of its horizontal cross-sectional profile. The horizontal cross-sectional profile of the spacer comprises an external perimeter 67 and an internal perimeter 168. The external perimeter 67 is formed by the outer surface 63 while the internal perimeter 168 is formed by the inner surface 64. The internal perimeter 168 of the spacer 60 is rectilinear in shape. However, the invention is not so limited and the internal perimeter 168 of the spacer 60 can take on any shape. It is preferred, however, that the shape of the internal perimeter 168 of the spacer 60 correspond to the shape of the external perimeter 54 of the basket 13 that is formed by its outer surface 52. The internal perimeter 168 is sized so that a small space 269 (FIG. 13B) exists between the inner surface 64 of the spacer 60 and the outer surface 52 of the basket 13 when the spacer 60 is positioned within the cavity 42 and the assembly is at ambient temperature. The spacer 60 of FIGS. 10 and 11 is specifically designed for use in conjunction with the basket 13 of FIG. 12, which has a rectilinear cross-sectional profile. Referring to FIG. 12, the basket 13 has a horizontal cross-sectional profile having an external perimeter 54 formed by its outer surface 52. The basket 13 is designed so that when it is positioned in the cavity 42 of the inner shell, it will extend through the central passageways 165 of the stack of spacers 60. As can be seen by comparing FIGS. 11 and 12, the internal perimeter 68 of the spacers 60 correspond to the external perimeter 54 of the basket 13 in size and shape. This will be discussed in greater detail below with respect to FIGS. 13-4. Referring to FIG. 12, the basket 13 has a horizontal cross-sectional profile having an external perimeter 54 formed by its outer surface 52. The basket 13 is designed so that when it is positioned in the cavity 42 of the inner shell, it will extend through the central passageways 165 of the stack of spacers 60. As can be seen by comparing FIGS. 11 and 12, the internal perimeter 168 of the spacers 60 correspond to the external perimeter 54 of the basket 13 in size and shape. This will be discussed in greater detail below with respect to FIGS. 13-4. Referring first to FIGS. 13A and 13B simultaneously, the inner shell 1 having an empty cavity 42 is first provided. A plurality of spacers 60 are then positioned in the cavity 42 in a stacked assembly so that their central passageway 165 are substantially aligned. The top and bottom surfaces 61, 62 of adjacent spacers 60 form spacer-to-spacer interfaces 67. A sufficient number of spacers 60 are provided so that the entire height of the cavity 42 is filled. The spacers 60 may be keyed to ensure proper alignment in some embodiments. Once the spacers 60 are in place, the empty basket 13 is positioned within the cavity 42 by slidably inserting the basket 13 through the central passageways 165 of the spacers 60 until the basket 13 rests on the floor 45 of the cavity 42. The basket 13 is in a substantially vertical orientation at this time. The elongated cells 50 of the basket are similarly in a vertical orientation so that radioactive waste, such as SNF rods, can be inserted into the cells from the open top end of the cavity 42. In FIGS. 13A and 13B, the assembly of the inner shell 1, the spacers 60 and the basket 13 is shown at ambient temperature, such as when the container 100 is empty and no heat load is experienced. Under such a condition, a small annular gap/space 268 exists between the outer surface 63 of the spacers 60 and inner surface 41 of the inner shell 1. It is preferred that the size of this space/gap 268 be sufficiently small so that when the basket 13 is loaded with radioactive waste having a residual heat load, such as SNF rods, the spacers 60 expand so that the outer surface 63 of the spacers 60 come into substantially continuous surface contact with and press against the inner surface 41 of the inner shell 1, thereby eliminating the space/gap 268 (illustrated in FIGS. 14A and 14B). Substantially continuous surface contact opens the door wide open for heat to be conducted away from the radioactive waste. Similarly, at ambient temperature, a small gap 269 exists between the outer surface 52. of the basket 13 and the inner surface 64 of the spacers 60. it is preferred that this space/gap 269 be sized so that when the basket 13 is loaded with radioactive waste having a residual heat load, such as SNF rods, the spacers 60 (and/or the basket 13) expand so that the inner surfaces 64 of the spacers 60 come into substantially continuous surface contact with and press against the outer surface 52 of the basket 13, thereby eliminating the space/gap 269 (illustrated in FIGS. 14A and 14B). Substantially continuous surface contact opens the door wide open for heat to be conducted away from the radioactive waste. Referring now to FIGS. 14A and 14B, the assembly of the inner shell 1, the spacers 60 and the basket 13 is shown at an elevated temperature (i.e., above ambient temperature), such as when the basket 13 is loaded with radioactive materials having a residual heat load. When the container 100 is loaded with radioactive materials having a residual heat load, such as SNF rods, heat is transferred to the basket 13, the spacers 60 and the inner shell 1. As a result of this heat load, the basket 13, the spacers 60 and the inner shell 1 expand due to the phenomena of thermal expansion. Because the spacers 60 are constructed of a material having a greater coefficient of thermal expansion than that of the inner shell 1, the spacer 60 expands at a greater rate and a larger amount than the inner shell 1. As a result, the outside surfaces 63 of the spacers 60 becomes pressed against the inner surface 41 of the inner shell 1, thereby eliminating the space/gap 268 (present in FIGS. 13A and 13B). Similarly, the space/gap 269 between the inner surface 64 of the spacers and the outer surface 52 of the basket 13 to come into substantially continuous surface contact with the inner surfaces 64 of the spacers 60 and to be under compression. The thermal expansion also preferably causes the outer surface 63 of the spacers 60 to come into substantially continuous surface contact with the inner surface 41 of the inner shell 1 and to be under compression. It is preferred that size of the gaps 268, 269 and/or the materials of which the shell 1, the spacers 60 and/or the basket 13 are to be constructed so that the compression and continuous surface contact are achieved at a temperature range for which the system is designed. The thermal expansion causes the outer surface 52 of the basket 13 to come into substantially continuous surface contact with the inner surfaces 64 of the spacers 60 and to be under compression. The thermal expansion also preferably causes the outer surface 63 of the spacers 60 to come into substantially continuous surface contact with the inner surface 41 of the inner shell 1 and to be under compression. It is preferred that size of the gaps 68, 69 and/or the materials of which the shell 1, the spacers 60 and/or the basket 13 are to be constructed so that the compression and continuous surface contact are achieved at a temperature range for which the system is designed. Referring now to FIGS. 15-17, the basket 13 and its construction will be described. Starting with FIG. 15, the basket 13 is an assembly of slotted plates 55A-C. The plates 55A-C form a honeycomb-like gridwork arranged in a rectilinear configuration. The plates 55A-C are arranged at an approximately 90 degree angle to each other. The gridwork of plates 55A-C form a plurality of elongate cells 50 therebetween. For ease of representation (and in order to void clutter), only a few of the plates 55A-C and the cells 50 are numerically identified in FIG. 15. The cells 50 are substantially vertically oriented spaces having a generally rectangular horizontal cross-sectional configuration. Each cell 50 is designed to accommodate a single SNF rod. The basket 13 (and thus the cells 50) has a height that is greater than or equal to the height of the SNF rods for which the basket 13 is designed to accommodate. The basket 13 preferably comprises between 12 to 120 storage cells 50. The basket 13 also comprises a plurality of flux traps 53 that regulate the production of neutron radiation and prevent criticality in a flooded condition. The flux traps 53 are small spaces that extend the height of the basket 13. The flux traps 53 are formed between two of the plates 55C that are close to one another and substantially parallel. The flux traps 53 are designed so as to be too narrow to accommodate an SNF rod. In one embodiment, the flux traps 53 are approximately nine (9) centimeters wide. Of course, other dimensions are acceptable. A total of four flux traps 53 are provided in the basket 13. A first pair of parallel flux traps 53 extend from opposing lateral sides of the basket 13. A second pair of parallel flux traps 53 extends substantially perpendicular to the first pair of parallel flux traps 53 and from the remaining opposing lateral sides of the basket 13. The plates 55A-C are preferably constructed of a metal matrix composite material. More preferably, the plates 55A-C are constructed of a metal ceramic that is high in Cr—Al2O3. Most preferably, the plates 55A-C are constructed of Metamic. In some embodiments, however, the basket can be constructed of alternate materials, such as steel or borated stainless steel. A plurality of cutouts 58 are provided in the plates 55A-C at both the top and bottom of the basket 13. For ease of representation (and in order to void clutter), only a few of the cut-outs 58 are numerically identified in FIG. 15. The cutouts 58 form passageways through the plates 55A-C so that all of the cells 50 are in spatial communication. As a result, the cutouts 58 at or near the bottom of the basket 13 act as a bottom air plenum while the cutouts at or near the top of the basket act as a top air plenum. These plenums help circulate air within the basket 13 (and the cavity 42) to effectuate convective cooling of the stored SNF rods during storage and/or transportation. This natural circulation of air can be further facilitated by leaving one or more of the cells 50 along the periphery of the basket 13 empty so that they can act as downcomers. The downcomer passageways preferably extend from the top plenum create by the cutouts 58 at the top of the basket 13 to the bottom plenum created by the cutouts 58 at the top of the basket 13. The cutouts 58 are semi-circular in shape in the illustrated embodiment but can take on a wide variety of shapes. Alternatively, the passageways 166 of the spacers 60 can be used as downcomers by providing cutouts/holes that lead from the passageways 166 to the cells 50 at or near the plenums. These cutouts/holes put the cells 50 and the passageways 166 in spatial communication with one another. The cutouts/holes in the spacers 60 should be provided both at or near the top of the cavity 42 and at or near the bottom of the cavity 42. Most preferably, the cutouts/holes are located near the cutouts 58 in the top and bottom of the basket 13 so that the downcomer passageways 166 extend from the top plenum created by the cutouts 58 at the top of the basket 13 to the bottom plenum created by the cutouts 58 at the bottom of the basket 13. Referring still to FIG. 15, the basket 13 is formed by a plurality of segments of the plates 55 that are arranged in a stacked assembly. A single middle segment 150 of the basket 13 is illustrated in FIG. 16. The segments 150 and the plates 55A-C slidably intersect and interlock with one another to form the stacked assembly that is the basket 13. Referring now to FIG. 16, a single middle segment 150 of the basket is illustrated. Each segment 150 of the basket 13 comprises the honeycomb-like gridwork of plates 55A-C arranged in the rectilinear configuration. The plates 55A-C of the basket 13 comprise a plurality of slots 151 and end tabs 152 to facilitate sliding assembly. A plurality of slots 151 are provided in both the top and bottom edges of the plates 55A-55C. The slots 151 on the top edge of each plate 55A-C are aligned with the slots 151 on the bottom edge of that plate 55A-C. The slots 151 extend through the plates 55A-C for one-fourth of the height of the plates 55A-C. The end tabs 152 extend from lateral edges of the plates 55A-C and are preferably about one-half of the height of the plates 55A-C. The end tabs 152 slidably mate with slots 151 cut into the plates 55A-C at the lateral edges. The plates 55A-C are slotted prior to being assembled. The plates 55A-C slidably engage one another to form the basket 13 when the segments 150 are arranged in a stacked assembly. More specifically, the slots of each segment 150 intersect with the slots 151 of the adjacent segment 150. The plates 55A-C intersect and interlock when one plate 55A-C is arranged at a 90 degree angle to a second plate 55A-C so that the aligned slots 151 of the two plates intersect. The slots 151 and end tabs 152 of the segments 150 interlock the adjacent segments 150 together so as to prohibit relative horizontal and rotational movement between the segments 150. The basket 13 preferably comprises at least four of the segments 150, and more preferably at least ten segments 150. All of the segments 150 have substantially the same height and configuration. The entire segment 150 is formed of plates 55A-C having no more that three different configurations. In fact, the entire basket 13 is formed of plates 55A-C having no more than three different configurations, with the exception that the cutouts 158 have to be added to the plates 55A-C of the top and bottom segments 150 and a few plates 55A-C have to be cut down to form end plates 55D (FIG. 17) Referring now to FIG. 17, the bottom-most segment 250 in the stacked assembly that forms the basket 13 is illustrated. The bottom-most segment 250 is identical to the middle segment of 150 of FIG. 16 with the exception that the cutouts 58 are provided and end plates 55D are used. The end plates 55D are identical to the plates 55A-C except that they have been cut down as necessary. The upper-most segment in the stacked assembly that forms the basket is identical to segment 250 except that it is upside down. While the basket 13 has been described in conjunction with its incorporation into thermally conductive casks, such as container 100, the basket 13 of the present invention is not so limited. For example, the basket 13 can be incorporated into a hermetically sealable multi-purpose canister for use in conjunction with VVO style containment systems. In such an embodiment, the basket 13 will be provided in a cavity formed by a cylindrical metal shell. The metal shell will encircle the basket 13 and a metal base plate may be welded to the bottom of the metal shell. A metal closure plate can be fitted on top of the cylinder formed by the metal shell, thereby forming a canister. While the invention has been described and illustrated in sufficient detail that those skilled in this art can readily make and use it, various alternatives, modifications, and improvements should become readily apparent without departing from the spirit and scope of the invention.
claims
1. A method for monitoring a nuclear reactor for a shutdown condition, the method comprising:detecting gamma radiation within a core of the nuclear reactor utilizing one or more self-powered detectors located within the core of the nuclear reactor;outputting, by the one or more self-powered detectors, a current based on the detected gamma radiation;generating a current value of Keff based on the outputted current in accordance with the following equation; K eff ⁡ ( t ) = 1 - f ⁡ ( t Ref ) ⁢ I Ref f ⁡ ( t ) ⁢ I ⁡ ( t ) ⁢ ( 1 - K Ref ) wherein tRef is a time after shutdown of the nuclear reactor, t is a time after tRef, wherein a ratio of f(tRef)/f(t) is a fission product correction factor based on a time dependent fission product gamma decay relation, I(t) is an output current of the one or more self-powered detectors at time t, IRef is an output current of the one or more self-powered detectors at time tRef, and KRef is a neutron multiplication factor at time tRef; monitoring the current value of Keff; and based on the monitoring of the current value of Keff, adding Boron to coolant in the nuclear reactor when an undesirable change in Keff is detected. 2. The method of claim 1, wherein the one or more self-powered detectors comprise a neutron detector comprising rhodium. 3. The method of claim 1, wherein the one or more self-powered detectors comprise a gamma radiation detector that is platinum-based. 4. The method of claim 1, wherein monitoring the current value of Keff is performed continuously during a shutdown period of the nuclear reactor. 5. The method of claim 1, further comprising:monitoring fission events within the core in a source range utilizing a source range ex-core detector positioned outside of the core, wherein the current value of Keff is generated without input from the source range ex-core detector. 6. The method of claim 1, wherein the adding boron comprises adding boric acid to the coolant. 7. A method for monitoring a nuclear reactor for a shutdown condition, the method comprising:detecting gamma radiation within a core of the nuclear reactor utilizing one or more self-powered detectors located within the core of the nuclear reactor;outputting, by the one or more self-powered detectors, a current based on the detected gamma radiation; adjusting the outputted current to remove influences of fission product gamma radiation using a time dependent analytical correction factor;monitoring the adjusted current; and based on the monitoring of the adjusted current, adding Boron to coolant in the nuclear reactor when an undesirable change in the adjusted current is detected. 8. The method of claim 7, wherein the one or more self-powered detectors comprise a neutron detector comprising rhodium. 9. The method of claim 7, wherein the one or more self-powered detectors comprise a gamma radiation detector that is platinum-based. 10. The method of claim 7, wherein monitoring the adjusted current is performed continuously during a shutdown period of the nuclear reactor. 11. The method of claim 7, further comprisingmonitoring fission events within the core in a source range utilizing a source range ex-core detector positioned outside of the core, wherein the outputted current is adjusted without input from the source range ex-core detector. 12. The method of claim 7, wherein adding boron comprises adding boric acid to the coolant.
abstract
An X-ray device with an X-ray source to produce a beam of X-ray radiation, an X-ray detector to detect this radiation and an X-ray filter with filter elements which is positioned between the X-ray source and the X-ray detector to attenuate the X-ray beam in each independent filter element individually. Even filter element (213) can contain a fluid (32) which is electrically conducting and X-ray absorbing, which is supplied via a transport channel (30), whereas the value of the X-ray absorption of each filter element can discretely adjusted by a stepwise adjustment of the level of the fluid (32) within each filter element. Each filter element comprises a first electrode, which is located in the wall of the filter element and is segmented in the length direction of the filter element (213), the segments being electrically combined in tow subgroups (213, 124) in order to apply an electric potential to the wall segments of the filter element to achieve a step-wise filling of the inner volume (31) by fluid (32). The measuring means (50, 60) are provided to detect the length of the fluid column (32) within the inner volume (31) of the filter element (213).
claims
1. A method of preparing magnetite particles, comprising:providing a first solution of substantially ferrous sulphate;converting the first solution by replacing sulphate ions with chloride ions to produce a second solution of substantially ferrous chloride; andoxidizing the second solution to produce a third solution of substantially iron oxide in the form of the magnetite particles,wherein the step of converting comprises using an ion-exchange resin. 2. The method of claim 1, wherein the step of converting comprises passing the first solution through at least one ion-exchange column housing the ion-exchange resin. 3. The method of claim 2, wherein the step of passing comprises flowing the first solution upwardly through the ion-exchange resin in the at least one ion-exchange column. 4. The method of claim 3, wherein the step of flowing comprises controlling a flow rate of the first solution between 3 to 4 bed volumes per hour. 5. The method of claim 2, wherein the step of converting comprises passing the first solution through a plurality of the ion-exchange columns in parallel. 6. The method of claim 1, further comprising, prior to the step of converting, introducing hydrogen chloride to the ion-exchange resin. 7. The method of claim 6, wherein the step of converting comprises maintaining a ratio of HCl to resin site of at least approximately 2.0. 8. The method of claim 6, further comprising, prior to the step of introducing, flushing the ion-exchange resin with deionized water. 9. The method of claim 1, further comprising collecting the second solution in a product collection reservoir, and measuring pH of the second solution in the product collection reservoir and/or flowing to the product collection reservoir. 10. The method of claim 1, further comprising, prior to the step of oxidizing, purging the second solution with an inert gas. 11. The method of claim 1, wherein the step of oxidizing comprises adjusting a pH of the second solution. 12. The method of claim 11, wherein the step of adjusting comprises adding an alkaline solution. 13. The method of claim 12, wherein the alkaline solution is NaOH. 14. The method of claim 1, wherein the step of oxidizing comprises heating the second solution and sparging the second solution with an oxidizing fluid. 15. The method of claim 14, wherein the oxidizing fluid is air. 16. The method of claim 1, further comprising, after the step of oxidizing, purifying the third solution. 17. The method of claim 16, wherein the step of purifying comprises removing excess ions from the third solution. 18. The method of claim 17, wherein the step of purifying comprises flowing the third solution through at least one membrane dialysis unit. 19. The method of claim 1, further comprising filtering and drying the third solution to yield the magnetite particles. 20. The method of claim 1, wherein the first solution consists of a solution of 59FeSO4, and the second solution consists of a solution of 59FeCl2.
abstract
A pharmaceutical pig is used to transport a syringe containing a liquid radiopharmaceutical from a radiopharmacy to a medical facility for administration to a patient. The pharmaceutical pig includes an elongate polymer cap that is removably attached to an elongate polymer base. The elongate polymer cap includes a cap shell that completely encloses a cap shielding element and the elongate polymer base includes a base shell that completely encloses a base shielding element. Preferably the polymer utilized for the cap shell and the base shell is polycarbonate resin, e.g., LEXAN®. An inner liner is not utilized and the cap shielding element and the base shielding element, which are preferably, but not necessarily, made of lead, are completely sealed and unexposed.
abstract
The invention relates to a computed tomography apparatus which includes a scanning unit which is rotatable, relative to an examination zone (13), around an axis of rotation (14) which extends through the examination zone (13), and also includes a radiation source (S) for generating a primary fan beam (41) which traverses the examination zone (13), and a two-dimensional detector array (D) which includes a plurality of detector elements and a part of the measuring surface of which detects primary radiation from the primary fan beam (41) whereas an other part of its measuring surface detects scattered radiation produced in the examination zone (13). In order to avoid reconstruction artefacts as much as possible in a computed tomography apparatus of this kind, in accordance with the invention it is proposed to arrange a modulation unit (33) between the radiation source (S) and the examination zone (13) in order to realize a temporally and spatially periodic modulation of the primary fan beam (41).
043549985
claims
1. In a tandem mirror fusion reactor having an elongated central cell extending substantially straight longitudinally along an axis and surrounded by magnetic confinement means providing magnetic flux extending in a column axially between the two ends of said cell for confining plasma ions to motion in a column substantially axially of said cell between the ends thereof, and an end plug at each end of said cell providing an electrostatic potential that reflects plasma ions substantially axially toward the other end of said cell for containing a high temperature plasma in said central cell, and including a mirror coil positioned about the axis of said cell between said central cell and each of said end plugs for generation thereby of a thermal barrier region between said mirror coil and the adjacent end plug, in which barrier region plasma ions are trapped upon collision with each other, a method for removing ions trapped in said barrier region comprising the steps of: (a) applying magnetic field by means of at least one turning coil positioned about the axis of said flux column between each said mirror coil and the respective end plug, with said at least one turning coil being not coaxial with said central cell, to deflect lines of flux passing through said mirror coil to bend the axis of the column of flux in the respective said thermal barrier region in a plane, in which region trapped ions moving substantially axially are thereby caused to drift out of the plasma column perpendicularly to the plane of said bending; and (b) collecting said ions that drift from the plasma column in said thermal barrier region. plasma bending means including at least one turning coil positioned about the axis of said flux column between each said mirror coil and the respective end plug, with said at least one turning coil being not coaxial with said central cell, for applying magnetic field to deflect lines of flux passing through said mirror coil to bend the axis of the column of flux in the respective said thermal barrier region in a plane, in which region trapped ions moving substantially axially are thereby caused to drift out of the plasma column perpendicularly to said plane; and means disposed in the path of said drifting ions for collecting ions drifting from the plasma column in said thermal barrier region. 2. A method in accordance with claim 1 wherein the radius R of said bend is chosen such that a desired drift velocity V.sub.d is obtained for said trapped ions as a function of EQU V.sub.d =T.sub.i /ZeBR 3. The method of claim 1 wherein said bending between one said mirror coil and the respective end plug causes plasma ions to drift in a first direction relative to the axial path of the trapped ions, and wherein said bending between the other said mirror coil and the respective end plug causes plasma ions to drift in the opposite direction relative to the axial path of the trapped ions such that net movement of passing ions is cancelled thereby. 4. In a tandem mirror fusion reactor having an elongated central cell extending substantially straight longitudinally along an axis and surrounded by magnetic confinement means for providing magnetic flux extending in a column axially between the two ends of said cell for confining plasma ions to motion in a column substantially axially of said cell between the ends thereof, and an end plug at each end of said cell for providing an electrostatic potential that reflects plasma ions substantially axially toward the other end of said cell for containing a high temperature plasma in said central cell, and including a mirror coil positioned about the axis of said cell between said central cell and each of said end plugs for generation thereby of a thermal barrier region between said mirror coil and its adjacent end plug, in which barrier region plasma ions are trapped upon collision with each other, an apparatus for removing ions trapped in said barrier region comprising: 5. A method according to either of claims 1 and 2 wherein said applied magnetic field bends the axis of the column of flux oppositely at the respective ends of said cell, whereby plasma ions passing between said end plugs are caused to drift oppositely at the respective ends to keep such passing ions in the plasma. 6. Apparatus according to claim 4 wherein said turning coils at the respective ends of said central cell bend the axis of the column of flux oppositely, whereby plasma ions passing between said end plugs are caused to drift oppositely at the respective ends to keep such passing ions in the plasma.
052001385
abstract
A spectral shift-producing subassembly is composed of a plurality of sealed empty water displacement rodlets incorporating a spectral shift-producing capability. Each of the rodlets includes an elongated tube sealed at its opposite ends and having an axially-extending annular wall section of reduced thickness compared to the thickness of the wall of the remainder of the rodlet. The respective reduced thicknesses of the axial wall sections of the rodlets can be varied to adapt the rodlets to rupture at different times and permit water to enter the rodlets to produce an increase in the water/fuel ratio and thereby an increase in reactivity. The rodlets can also have different levels of pressurization to initiate rupture at different times.
052241440
abstract
A method and apparatus for creating image information for objects inspect with penetrating radiation which utilizes a flying spot scanner of reduced mass. Objects are scanned with penetrating radiation along curved scan lines. The flying spot scanner which effects such scanning has a stationary absorber plate having a fixed slit in it which is curved, as well as a chopper wheel having radially oriented slits. As the chopper wheel rotates, the radially oriented slits traverse the projection of the radiation passing through the fixed slit, and because this slit is curved, the radial slits may be of reduced length, and the chopper wheel may be of reduced mass. The detected radiant energy is divided into pixels, and the pixels are addressed to a utilization means such as a memory or a display in such manner that the pixels which correspond to a scan line of the object define a curved line in the utilization means which has the same shape as the curved scanning line.
053409965
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will hereinbelow be described in further detail with reference to the accompanying drawings. FIG. 1 shows an embodiment of the first radiation image read-out apparatus in accordance with the present invention. FIG. 2 shows how a radiation image is recorded on a stimulable phosphor sheet 1, from which the radiation image is read out with the first radiation image read-out apparatus in accordance with the present invention. How a radiation image is recorded will first be described below with reference to FIG. 2. A round bar 2 serving as an object, from which a flaw is to be detected, is positioned between the stimulable phosphor sheet 1 and a radiation source 3, which may be constituted of an X-ray tube, or the like. X-rays 4 are then produced by the radiation source 3 and irradiated to the round bar 2. The X-rays 4, which have passed through the round bar 2, impinge upon the stimulable phosphor sheet 1. In this manner, a radiation image of the round bar 2 is stored on the stimulable phosphor sheet 1. During the recording of the radiation image, the round bar 2 is located such that its longitudinal axis is parallel to the direction indicated by the arrow Y (i.e. the sub-scanning direction on the stimulable phosphor sheet 1). After the radiation image of the round bar 2 is stored on the stimulable phosphor sheet 1, the radiation image is read out from the stimulable phosphor sheet 1 by the radiation image read-out apparatus shown in FIG. 1. Specifically, the stimulable phosphor sheet 1, on which the radiation image has been stored, is conveyed in a sub-scanning direction indicated by the arrow Y by a sub-scanning means 29. The sub-scanning means 29 may be constituted of an endless belt or the like. A laser beam 31, which serves as stimulating rays, is produced by a laser beam source 30. The laser beam 31 is deflected by a light deflector 32, such as a galvanometer mirror, and is caused to scan the stimulable phosphor sheet 1 in main scanning directions indicated by the double headed arrow X. When the stimulable phosphor sheet 1 is exposed to the laser beam 31, the exposed portion of the stimulable phosphor sheet 1 emits light 13 in an amount proportional to the amount of energy stored thereon during its exposure to radiation. The emitted light 13 enters a light guide member 14 at its light input face 14a. The light guide member 14 is formed of a transparent acrylic plate. The emitted light 13, which has entered the light guide member 14, is guided through repeated total reflection inside of the light guide member 14, emanates from a circular light output face 14b of the light guide member 14, and is received by a photomultiplier 15. The photomultiplier 15 generates an image signal S, the level of which is proportional to the amount of the emitted light 13, i.e. which represents the radiation image. An optical filter 12 is located so that it is in close contact with the light input face 14a of the light guide member 14. The optical filter 12 will later be described in detail. The image signal S generated by the photomultiplier 15 is logarithmically amplified by a logarithmic amplifier 16, and digitized by an A/D converter 17 into a digital image signal logS. The digital image signal logs is fed into an image processing circuit 20, which carries out image processing, such as gradation processing or frequency response processing. The processed image signal is fed into an image reproducing apparatus 21 and used during the reproduction of a visible radiation image. The image reproducing apparatus 21 may be a display means, such as a CRT display device, or a recording apparatus for recording a radiation image by a light beam scanning operation on photosensitive film. FIG. 3 shows an example of the radiation image reproduced in the manner described above. In FIG. 3, reference numeral 21 denotes a CRT, which serves as an image reproducing apparatus, and reference numeral 2A denotes a radiation image of the round bar 2. The thickness of the round bar 2 at a flawed part is smaller than the surrounding parts, and therefore the radiation absorptivity of the round bar 2 at said part is lower than the surrounding parts. Accordingly, the flawed part appears as an image 2H having a higher image density than the surrounding parts. Such an image 2H appears even when a flaw is located at a position deep from the surface of the round bar 2. Therefore, any flaw present in the round bar 2 can be detected accurately. A defective part of the round bar 2, which part has a larger thickness than surrounding parts, appears as an image 2L having a lower image density than the surrounding parts in the reproduced image. FIG. 4A shows the distribution of the level of energy stored along a single main scanning line on the stimulable phosphor sheet 1 during its exposure to the radiation 4, i.e. the distribution of the amount of light emitted by the stimulable phosphor sheet 1 along a single main scanning line when the stimulable phosphor sheet 1 is exposed to the laser beam 31. At the center part of the round bar 2, which center part is taken in the main scanning directions, the thickness of the round bar 2, through which the radiation 4 must pass during the recording of the radiation image of the round bar 2, is largest and the radiation absorptivity is highest. Therefore, as illustrated in FIG. 4A, the amount of light emitted by the stimulable phosphor sheet 1 is smallest at the part corresponding to the center part of the round bar 2. Also, the thickness of the round bar 2, through which the radiation 4 must pass during the recording of the radiation image of the round bar 2, decreases gradually toward the edges of the round bar 2. Therefore, the amount of light emitted by the stimulable phosphor sheet 1 increases gradually toward the parts corresponding to the edges of the round bar 2. During the recording of the radiation image of the round bar 2, the part (background region) of the stimulable phosphor sheet 1 outward from the parts corresponding to the edges of the round bar 2 were directly exposed to the radiation 4. Therefore, during the readout of the radiation image of the round bar 2 from the stimulable phosphor sheet 1, the largest amount of light is emitted from the background region. As described above, the dynamic range of the amount of light emitted by the stimulable phosphor sheet 1 often becomes wider than 10.sup.4. FIG. 4B shows the distribution of the transmittance of the optical filter 12 shown in FIG. 1 with respect to light having wavelengths within the wavelength distribution range of the light 13 emitted by the stimulable phosphor sheet 1. The distribution of the transmittance of the optical filter 12 with respect to the light 13 emitted by the stimulable phosphor sheet 1 is taken along the main scanning line. As is clear from the comparison of FIG. 4B with FIG. 4A, the transmittance of the optical filter 12 with respect to the light 13 emitted by the stimulable phosphor sheet 1 is distributed such that a basic difference in the radiation absorptivity of the round bar 2 is compensated for. Specifically, the optical filter 12 has the highest transmittance at the part facing the center part of the stimulable phosphor sheet 1, at which the image of the center part of the round bar 2 has been stored. The transmittance of the optical filter 12 with respect to the light 13 emitted by the stimulable phosphor sheet 1 decreases gradually from the part facing the center part of the stimulable phosphor sheet 1 toward the parts facing the parts of the stimulable phosphor sheet 1, at which the images of the edges of the round bar 2 have been stored. Also, as illustrated in FIG. 4B, the parts of the optical filter 12 outward from the parts facing the parts of the stimulable phosphor sheet 1, at which the images of the edges of the round bar 2 have been stored, approximately filter out the light 13 emitted by the stimulable phosphor sheet 1. The optical filter 12 has the characteristics described above. Therefore, when the light 13 emitted by the stimulable phosphor sheet 1 passes through the optical filter 12 and is then detected by the photomultiplier 15, the intensity of the light 13 detected changes approximately in the manner shown in FIG. 4C along the main scanning line. Specifically, the dynamic range of the intensity of the detected light is compressed to a range narrower than the range of the amount of the emitted light 13 shown in FIG. 4A. Therefore, when the light 13, which has passed through the optical filter 12 and then enters the photomultiplier 15, is detected with the read-out range of approximately 10.sup.2 being utilized broadly, a read-out image signal S having the values shown in FIG. 4D is obtained. As shown in FIG. 4D, if a flaw is present in the round bar 2, a signal change a representing the flaw will occur in the read-out image signal S. If the amount of the emitted light 13 extending over the wide dynamic range shown in FIG. 4A were detected directly with the read-out range of approximately 10.sup.2, the signal change representing the flaw would occur as indicated by a' in FIG. 4E. The signal change a shown in FIG. 4D is larger than the signal change a'. Also, in this embodiment, the light 13 emitted by the background region of the stimulable phosphor sheet 1 is filtered out by the optical filter 12. Therefore, the problem is prevented from occurring in that, in the reproduced radiation image shown in FIG. 3, the boundary between the round bar image 2A and the surrounding parts becomes unsharp due to the light 13 emitted by the background region. In the aforesaid embodiment of the first radiation image read-out apparatus in accordance with the present invention, the radiation image of the round bar 2 is read out from the stimulable phosphor sheet 1. The first radiation image read-out apparatus in accordance with the present invention is applicable also when a radiation image of an object, such as a pipe or a die steel product, is read out from a stimulable phosphor sheet. In each case, an optical filter is employed which has transmittance with respect to the light emitted by the stimulable phosphor sheet, which transmittance is distributed in accordance with how the radiation absorptivity of the object is distributed. The distribution of the transmittance of the optical filter with respect to the light emitted by the stimulable phosphor sheet may be fixed or may be variable. In the latter case, for example, the optical filter may be composed of an array of a plurality of liquid crystal cells, and the distribution of the transmittance of the optical filter with respect to the light emitted by the stimulable phosphor sheet may be varied by changing the voltage applied to each liquid crystal cell. In cases where such an optical filter is employed, a single optical filter can be used for different objects having different distributions of the radiation absorptivity. An embodiment of the second radiation image read-out apparatus in accordance with the present invention will be described hereinbelow. FIG. 5 shows the embodiment of the second radiation image read-out apparatus in accordance with the present invention. In FIG. 5, similar elements are numbered with the same reference numerals with respect to FIG. 1. After a radiation image is stored on a stimulable phosphor sheet 1 in the manner described above with reference to FIG. 2, the radiation image is read out by the radiation image read-out apparatus shown in FIG. 5. An image signal S generated by the photomultiplier 15 is logarithmically amplified by the logarithmic amplifier 16 and is then converted by the A/D converter 17 into a digital image signal D. The digital image signal D is fed into a signal correcting circuit 19 and is corrected into a signal D'. The signal D' is then fed into the image processing circuit 20, which carries out image processing, such as gradation processing, on the signal D'. A signal D" obtained from the image processing circuit 20 is fed into the image reproducing apparatus 21. In the same manner as that described above, a radiation image shown in FIG. 3 is reproduced by the image reproducing apparatus 21. FIG. 6A shows the distribution of the level of energy stored along a single main scanning line on the stimulable phosphor sheet 1 during its exposure to the radiation 4, i.e. the distribution of the amount of light emitted by the stimulable phosphor sheet 1 along a single main scanning line when the stimulable phosphor sheet 1 is exposed to the laser beam 31. At the center part of the round bar 2, which center part is taken in the main scanning directions, the thickness of the round bar 2, through which the radiation 4 must pass during the recording of the radiation image of the round bar 2, is largest and the radiation absorptivity is highest. Therefore, as illustrated in FIG. 6A, the amount of light emitted by the stimulable phosphor sheet 1 is smallest at the part corresponding to the center part of the round bar 2. Also, the thickness of the round bar 2, through which the radiation 4 must pass during the recording of the radiation image of the round bar 2, decreases gradually toward the edges of the round bar 2. Therefore, the amount of light emitted by the stimulable phosphor sheet 1 increases gradually toward the parts corresponding to the edges of the round bar 2. During the recording of the radiation image of the round bar 2, the part (background region) of the stimulable phosphor sheet 1 outward from the parts corresponding to the edges of the round bar 2 were directly exposed to the radiation 4. Therefore, during the readout of the radiation image of the round bar 2 from the stimulable phosphor sheet 1, the largest amount of light is emitted from the background region. As described above, the dynamic range of the amount of light emitted by the stimulable phosphor sheet 1 often becomes wider than 10.sup.4. The signal correcting circuit 19 shown in FIG. 5 generates a predetermined correction signal C and converts the image signal D into a corrected image signal D' which is expressed as EQU D'=(D+C)/2. The values of the correction signal C are distributed in the pattern shown in FIG. 6B along the main scanning line. As is clear from the comparison of FIG. 6B with FIG. 6A, the correction signal C has the characteristics that compensate for the basic difference in the radiation absorptivity of the round bar 2. In this embodiment, the digital image signal D takes 10-bit values ranging from 0 to 1,023. Also, the correction signal C takes the values falling within the same range as the digital image signal D. The corrected image signal D' takes values shown in, for example, FIG. 6C. On the other hand, the image signal D before being corrected takes values shown in FIG. 6E. The dynamic range of the corrected image signal D' is compressed into a range narrower than the dynamic range of the image signal D. As described above, the corrected image signal D' is subjected to image processing in the image processing circuit 20. At this time, contrast enhancement processing is also carried out on the corrected image signal D'. The processed image signal D" thus obtained takes the values shown in FIG. 6D. As shown in FIG. 6D, if flaws are present in the round bar 2, signal changes a and b representing the flaws will occur in the processed image signal D". As shown in FIG. 6E, in the image signal D, the signal changes occur as indicated by a' and b'. However, as shown in FIG. 6C, the distribution of the values of the corrected image signal D' is approximately flat. Therefore, in cases where contrast enhancement processing is carried out on the corrected image signal D', the signal changes a and b can be rendered sufficiently larger than the signal changes a' and b'. When a visible image is reproduced from the processed image signal D" having the signal changes a and b, the flaws represented by the signal changes a and b can be illustrated clearly in the visible image. In the aforesaid embodiment of the second radiation image read-out apparatus in accordance with the present invention, the correction signal C converts the image signal D into the image signal D' having the values distributed approximately flat. However, any of other correction signals may be employed which has the characteristics that compensate for the basic difference in the radiation absorptivity of the object. For example, a correction signal C having the characteristics shown in FIG. 7A may be employed. FIG. 7B shows an example of the distribution of the values of an image signal D' which has been corrected with the correction signal C shown in FIG. 7A. FIG. 7C shows an example of the distribution of the values of an image signal D" which has been obtained by carrying out contrast enhancement processing on the corrected image signal D' shown in FIG. 7B. In the aforesaid embodiment of the second radiation image read-out apparatus in accordance with the present invention, the signal correcting circuit 19 is located after the A/D converter 17 in order to correct the digital image signal D. Alternatively, the signal correcting circuit 19 may be located before the A/D converter 17, and the analog image signal S may be corrected. FIG. 8 shows such an embodiment of the second radiation image read-out apparatus in accordance with the present invention. In FIG. 8, similar elements are numbered with the same reference numerals with respect to FIG. 5. In the embodiment of FIG. 8, a signal correcting circuit 19' is located after the logarithmic amplifier 16. The signal correcting circuit 19' corrects the analog image signal S in the same manner as the signal correcting circuit 19 shown in FIG. 5. In this manner, a corrected image signal S' having a compressed dynamic range is obtained. The corrected image signal S' is then amplified by an amplifier 22 into an image signal S" having enhanced contrast. The image signal S" is converted into a digital image signal D' by the A/D converter 17. The digital image signal D' is then fed into the image processing circuit 20, which carries out image processing on the digital image signal D'. The processed image signal D" generated by the image processing circuit 20 is then used during the reproduction of a visible radiation image. With this embodiment, the signal correction and contrast enhancement processing are carried out on the analog image signal at the stage prior to the A/D converter 17. Therefore, the bit resolution of the A/D converter 17 can be utilized fully. Accordingly, defects, such as flaws, in the object can be illustrated more perceptibly in the reproduced radiation image. In the aforesaid embodiments of the second radiation image read-out apparatus in accordance with the present invention, the radiation image of the round bar 2 is read out from the stimulable phosphor sheet 1. The second radiation image read-out apparatus in accordance with the present invention is applicable also when a radiation image of an object, such as a pipe or a die steel product, is read out from a stimulable phosphor sheet. In each case, a correction signal is employed which can correct a signal in accordance with how the radiation absorptivity of the object is distributed. In the aforesaid embodiments of the second radiation image read-out apparatus in accordance with the present invention, the dynamic range of the image signal is compressed by the signal correction circuit 19 or the signal correcting circuit 19' and then contrast enhancement processing is carried out. However, contrast enhancement processing need not necessarily be carried out. Insofar as the distribution of the values of the image signal is rendered flat, defects, such as flaws, in the object can be detected easily regardless of how the radiation absorptivity of the object is distributed. Specifically, if the image signal is not corrected, as shown in FIG. 6E, the signal change b' representing a flaw, which is present at an edge of the round bar 2, cannot be detected easily. However, when the image signal is corrected into the image signal D' having the values distributed approximately flat as shown in FIG. 6C, the signal change b" representing the flaw, which is present near an edge of the round bar 2, becomes as large as the signal change a" and therefore can be detected easily. An embodiment of the radiation image recording apparatus in accordance with the present invention will be described hereinbelow with reference to FIG. 9. A stimulable phosphor sheet 10 is conveyed vertically by a sheet conveyance means, which comprises endless belts 40, 41 and endless belts, or the like, located above and below the endless belts 40, 41. During the recording of a radiation image on the stimulable phosphor sheet 10, the stimulable phosphor sheet 10 is kept stationary at the image recording position shown in FIG. 9. A radiation source 42, which may be constituted of an X-ray tube, or the like, is located facing the stimulable phosphor sheet 10, which is located at the image recording position. An object 43 is placed between the radiation source 42 and the stimulable phosphor sheet 10, and the radiation source 42 is then activated to produce X-rays 44. The X-rays 44 produced by the radiation source 42 pass through the object 43. The X-rays 44, which have passed through the object 43, impinge upon the stimulable phosphor sheet 10. As a result, energy from the X-rays 44 is stored on a stimulable phosphor layer of the stimulable phosphor sheet 10. In this manner, a radiation image of the object 43 is stored on the stimulable phosphor sheet 10. In this embodiment, a stimulable phosphor represented by the formula BaFBr:Eu.sup.2+ is employed as the stimulable phosphor, which constitutes the stimulable phosphor layer of the stimulable phosphor sheet 10. FIG. 14 is a graph showing the stimulation spectrum of the stimulable phosphor represented by the formula BaFBr:Eu.sup.2+, i.e. the spectrum of stimulating rays which are capable of causing said stimulable phosphor to emit light in proportion to the amount of energy stored thereon during its exposure to radiation. FIG. 15 is a graph showing the light emission spectrum of the stimulable phosphor represented by the formula BaFBr:Eu.sup.2+. Also, as one of the features of the radiation image recording apparatus in accordance with the present invention, a phosphor sheet 70 provided with a layer of a phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+ is held inside of the space defined by the endless belt 41, which is transparent. The phosphor sheet 70 is located close to and facing the stimulable phosphor sheet 10, which is held at the image recording position. When the phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+ is exposed to X-rays, it produces the fluorescence (i.e. instantaneously emitted light and an after-glow) 71 primarily having a wavelength of 549 nm. Therefore, the stimulable phosphor sheet 10 is exposed to the fluorescence 71 as long as the stimulable phosphor sheet 10 is exposed to the X-rays 44 in the manner described above and for a short time after the exposure of the stimulable phosphor sheet 10 to the X-rays 44 is ceased. As is clear from FIG. 14, the wavelengths of the fluorescence 71 fall within the stimulation wavelength range of the stimulable phosphor, which is represented by the formula BaFBr:Eu.sup.2+ and which constitutes the stimulable phosphor layer of the stimulable phosphor sheet 10. Therefore, when the stimulable phosphor sheet 10 is exposed to the fluorescence 71, part of energy stored on the layer of the stimulable phosphor represented by the formula BaFBr:Eu.sup.2+ during its exposure to the X-rays 44 is released. In this manner, the stimulable phosphor sheet 10 is approximately simultaneously subjected to the operation for recording the radiation image and the operation for slightly erasing the energy stored thereon during its exposure to the X-rays 44. After the radiation image is stored on the stimulable phosphor sheet 10, it is read out by a radiation image read-out apparatus shown in FIG. 16. How the radiation image is read out will be described hereinbelow. The stimulable phosphor sheet 10, on which the radiation image has been stored, is conveyed by a sheet conveyance means 111, which may be constituted of an endless belt, or the like, in the sub-scanning direction indicated by the arrow Y. At the same time, a laser beam 113 is produced by a laser beam source 112. The laser beam 113 is deflected by a light deflector 114, which may be constituted of a galvanometer mirror, or the like. The laser beam 113 is thus caused to scan the stimulable phosphor sheet 10 in the main scanning directions indicated by the double headed arrow X, which directions are approximately normal to the sub-scanning direction indicated by the arrow Y. In this example, the laser beam source 112 is constituted of an He-Ne laser which produces a laser beam 113 having a wavelength of .lambda.1=632.8 nm. When the stimulable phosphor sheet 10 is exposed to the laser beam 113, the exposed portion of the stimulable phosphor sheet 10 emits light 115 in an amount proportional to the amount of energy stored thereon during its exposure to the radiation. The emitted light 115 is detected by a long photomultiplier 117, which is described in detail in, for example, U.S. Pat. No. 4,864,134. The photomultiplier 117 generates an output S1, which represents the amount of the emitted light 115. The output S1 is fed into a read-out circuit 120, which carries out processing, such as amplification and logarithmic conversion, on the output S1. Also, the output S1, which has been obtained for each of predetermined periods, is integrated in accordance with a synchronizing signal S2, which is synchronized to the scanning of the laser beam 113. In this manner, a time-serial, analog read-out image signal S3, which has been divided into picture elements, is generated by the read-out circuit 120. By way of example, the read-out image signal S3 is then digitized by an A/D converter 121. The digital image signal thus obtained is fed into an image processing circuit 122, which carries out signal processing (image processing), such as gradation processing or frequency response processing, on the digital image signal. The processed image signal obtained from the image processing circuit 122 is then fed into an image reproducing apparatus 123, which may be constituted of a CRT, a printer, or the like. The image reproducing apparatus 123 reproduces a visible radiation image from the processed image signal. The long photomultiplier 117 has a cylindrical main body 117A, which has been evacuated. A transparent adapter 117D is located so that it is in close contact with a light receiving face of the main body 117A. A filter 117B is located so that it is in close contact with the transparent adapter 117D. The filter 117B substantially transmits the emitted light 115 and filters out the laser beam 113 which is reflected from the stimulable phosphor sheet 10. Also, a light guide member 117C constituted of glass, or the like, is located so that it is in close contact with the filter 117B. The long photomultiplier 117 is located such that an elongated light input face 117m of the light guide member 117C extends close to and along the main scanning line on the stimulable phosphor sheet 10. The radiation image read-out apparatus shown in FIG. 16 may be independent from the radiation image recording apparatus shown in FIG. 9. Alternatively, as disclosed in, for example, U.S. Pat. No. 755,992, a radiation image recording apparatus and a radiation image read-out apparatus may be combined with each other. Also, an erasing section may be provided which release energy remaining on a stimulable phosphor sheet after a radiation image has been read out therefrom. The stimulable phosphor sheet may be circulated between the radiation image recording apparatus, the radiation image read-out apparatus, and the erasing section. As described above, the stimulable phosphor sheet 10 is approximately simultaneously subjected to the operation for recording the radiation image and the operation for slightly erasing the energy stored thereon during its exposure to the X-rays 44. The extent of the erasing is higher at part of the stimulable phosphor sheet 10, which is exposed to a larger amount of the fluorescence 71, i.e. at part (for example, the background region) of the stimulable phosphor sheet 10, which is exposed to a higher dose of the X-rays 44. By way of example, as for part of the stimulable phosphor sheet 10, which was exposed to the X-rays 44 at a dose of 2 mR (i.e. 2 milliroentgen), the amount of light emitted by said part of the stimulable phosphor sheet 10 in cases where the phosphor sheet 70 was used during the recording of the radiation image is approximately the same as (smaller by as little as 0.3% than) the amount of light emitted by said part of the stimulable phosphor sheet 10 when no phosphor sheet was used during the recording of the radiation image. However, as for part of the stimulable phosphor sheet 10, which was exposed to the X-rays 44 at a dose of 2 R, the amount of light emitted by said part of the stimulable phosphor sheet 10 in cases where the phosphor sheet 70 was used during the recording of the radiation image is smaller by as large as 96.3% than the amount of light emitted by said part of the stimulable phosphor sheet 10 when no phosphor sheet was used during the recording of the radiation image. Therefore, in cases where the phosphor sheet 70 is used during the recording of the radiation image, the range of the amount of the light 115 emitted by the stimulable phosphor sheet 10 during the readout of the radiation image can be compressed markedly. An embodiment of the stimulable phosphor sheet in accordance with the present invention will hereinbelow be described with reference to FIG. 10. A stimulable phosphor sheet 50 comprises a sheet-like substrate 50A and a binder layer 50B overlaid on the substrate 50A. A stimulable phosphor 50C and a phosphor 50D are dispersed in the binder layer 50B. The stimulable phosphor represented by the formula BaFBr:Eu.sup.2+ is employed as the stimulable phosphor 50C. The phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+ is employed as the phosphor 50D. In the same manner as the stimulable phosphor sheet 10 shown in FIG. 9, the stimulable phosphor sheet 50 is used to record a radiation image of an object 51. In this example, the object 51 is an industrial metal product. As described above, in such cases, the stimulable phosphor sheet 50 is exposed to a particularly high dose of X-rays 44. Also, in the case of the stimulable phosphor sheet 50, part of energy stored in the stimulable phosphor 50C during its exposure to the X-rays 44 is released (i.e. erased) during its exposure to the fluorescence 71, which is produced by the phosphor 50D. The extent of the erasing is higher at part of the stimulable phosphor sheet 50, which is exposed to a higher dose of the X-rays 44. Therefore, the range of the amount of light emitted by the stimulable phosphor sheet 50 during the readout of the radiation image can be compressed markedly. Another embodiment of the stimulable phosphor sheet in accordance with the present invention will hereinbelow be described with reference to FIG. 11. In FIG. 11, similar elements are numbered with the same reference numerals with respect to FIG. 10. A stimulable phosphor sheet 55 comprises a substrate 50A, a layer of the phosphor 50D represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+, which layer is overlaid on the substrate 50A, and a layer of the stimulable phosphor 50C represented by the formula BaFBr:Eu.sup.2+, which layer is overlaid on the layer of the phosphor 50D. Even when the layer of the stimulable phosphor 50C and the layer of the phosphor 50D are formed independently of each other, the range of the amount of light emitted by the stimulable phosphor sheet 55 can be compressed in the same manner as that described above with the effects of the phosphor 50D represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+. Conversely to the configuration of FIG. 11, a layer of the stimulable phosphor 50C may be overlaid on the substrate 50A, and a layer of the phosphor 50D may be overlaid on the layer of the stimulable phosphor 50C. An embodiment of the cassette in accordance with the present invention will be described hereinbelow with reference to FIG. 12. A cassette 60 comprises a square housing 61 constituted of a light-shielding material, and a light-shielding cover member 63, which is supported on the housing 61 by a hinge 62 so that it can be opened. One surface of a lower pad 64 is secured to the cover member 63, and a phosphor sheet 70 is secured to the other surface of the lower pad 64. As in the case of the phosphor sheet 70 used in the radiation image recording apparatus shown in FIG. 9, the phosphor sheet 70 housed in the cassette 60 is provided with a layer of the phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+. When a radiation image is recorded with the cassette 60, a stimulable phosphor sheet 10 is housed in the housing 61 such that it is in contact with an upper pad 64. The cover member 63 is then closed. As in the case of the stimulable phosphor sheet 10 used in the radiation image recording apparatus shown in FIG. 9, the stimulable phosphor sheet 10 housed in the cassette 60 is provided with a layer of the stimulable phosphor represented by the formula BaFBr:Eu.sup.2+. When the cover member 63 is closed, the the stimulable phosphor sheet 10 becomes in close contact with the phosphor sheet 70. Thereafter, X-rays 44 are irradiated to the cassette 60, and a radiation image of an object 43 is stored on the stimulable phosphor sheet 10, which is housed in the cassette 60. At this time, the phosphor sheet 70 is also exposed to the X-rays 44 and produces the fluorescence 71. The fluorescence 71 is irradiated to the stimulable phosphor sheet 10. Therefore, the same effects as the radiation image recording apparatus shown in FIG. 9 can be obtained. FIG. 13 shows another embodiment of the cassette in accordance with the present invention. As shown in FIG. 13, the phosphor sheet 70 may be secured to one surface of the upper pad 64, the other surface of which is secured to the housing 61 of a cassette 65. Also, the stimulable phosphor sheet 10 may be located in the cassette 65 so that it is in close contact with the phosphor sheet 70. When a radiation image is recorded with the cassette 65, the X-rays 44, which have passed through the phosphor sheet 70, impinge upon the stimulable phosphor sheet 10. The phosphor sheet 70 should preferably be secured releasably to the cassette 60 or the cassette 65. In such cases, the cassette 60 or the cassette 65 can be used also when the recording of a radiation image is carried out with a low dose of radiation. Also, the radiation image recording apparatus shown in FIG. 9 should preferably be constituted such that the phosphor sheet 70 can be moved away from the position close to the stimulable phosphor sheet 10, which is located at the image recording position. Instead of the phosphor sheet 70 being constituted of the phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+, it may be constituted of the phosphor, which is represented by the formula Y.sub.2 O.sub.2 S:Tb.sup.3+ and which produces the fluorescence primarily having wavelengths of 415 nm, 440 nm, and 545 nm when it is exposed to X-rays. The wavelengths of the fluorescence produced by the phosphor represented by the formula Y.sub.2 O.sub.2 S:Tb.sup.3+ fall within the stimulation wavelength range of the stimulable phosphor, which is represented by the formula BaFBr:Eu.sup.2+ and which constitutes the stimulable phosphor sheet 10. Therefore, in cases where the phosphor sheet 70 constituted of the phosphor represented by the formula Y.sub.2 O.sub.2 S:Tb.sup.3+ is used during the recording of a radiation image, part of energy stored on the stimulable phosphor sheet 10 during its exposure to the X-rays is released by the fluorescence 71. Accordingly, when the radiation image is read out from the stimulable phosphor sheet 10, the range of the amount of light emitted by the stimulable phosphor sheet 10 can be compressed. Particularly, in cases where the phosphor sheet 70 is located closer to the radiation source than the stimulable phosphor sheet is, the phosphor sheet 70 should preferably be constituted of the phosphor represented by the formula Y.sub.2 O.sub.2 S:Tb.sup.3+, which is light and which has a low radiation absorptivity, instead of the phosphor represented by the formula Gd.sub.2 O.sub.2 S:Tb.sup.3+, which is heavy and which has a high radiation absorptivity. Any of other stimulable phosphors and any of other phosphor producing the fluorescence can be employed with the stimulation wavelength range of the stimulable phosphor and the wavelength of the fluorescence being taken into consideration.
description
This application is a continuation of and claims priority under 35 U.S.C. §120 to PCT Application No. PCT/EP2013/068952 filed on Sep. 12, 2013. The contents of this priority application is hereby incorporated by reference in its entirety. The present disclosure relates to a beam guiding apparatus. A beam guiding apparatus for an extreme ultraviolet radiation (EUV) generating device has been disclosed in, for example, US 2011/0140008 A1. The beam guiding apparatus described therein serves for guiding laser radiation which was generated and amplified in a beam source, for example, a driver laser system. The beam guiding apparatus guides the laser beam from the driver laser system to a focusing apparatus in order to focus the laser beam in a target region. A target material which is converted into a plasma state when irradiated by the laser beam and which emits EUV radiation in the process is provided in the target region. The target material is typically provided in a vacuum chamber, into which the laser beam enters by way of an opening. In general, some of the target materials (e.g. tin) evaporate when irradiated by the laser beam and deposit on the optical surfaces of optical elements arranged in the vicinity of the target region. In order to counteract this problem, a CO2 laser is generally used as a laser source or as a driver laser. As a result of the long wavelength (for example, approximately 10.6 μm), CO2 laser radiation is also reflected by optical elements that have a comparatively rough optical surface caused by, e.g., tin deposits. The use of a laser source or a driver laser in the form of a CO2 laser can lead to high conversion efficiency between the input power of the driver laser and the output power of the generated EUV radiation in the case of specific target materials such as, e.g., tin. WO 2011/162903 discloses a driver laser arrangement that has a first seed laser for generating a pre-pulse and a second seed laser for generating a main pulse. The pre-pulse and the main pulse have different wavelengths and they are combined by a beam combiner in order to pass along a common beam path through an amplifier and the beam guiding apparatus following the driver laser arrangement. The pre-pulse can, for example, influence the target material. For example, the pre-pulse can heat up, expand, vaporize, and/or ionize the target material to generate a weak, or possibly a strong, plasma. The main pulse can, for example, convert the majority of the material influenced by the pre-pulse into the plasma state and generate EUV radiation in the process. Both the pre-pulse and the main pulse are guided into the vacuum chamber through the same opening. Since the pressure inside the vacuum chamber is typically lower than the pressure in the beam guidance outside of the vacuum chamber, it is generally required to seal the opening in the vacuum chamber in a gas-tight manner from the surroundings by a transmissive optical element which, for example, may form a window, e.g., a plane plate. The transmissive optical element should enable a passage for both laser beams (pre-pulse and main pulse) with small losses and/or aberrations. However, if the wavelength of the pre-pulse differs significantly from the wavelength of the main pulse, the transmissive optical element can lead to losses and aberration in pre-pulse or main pulse or both. The present disclosure covers a beam guiding apparatus and a EUV radiation generating device for providing common focusing of laser beams with different wavelengths in a target region. In one implementation, a beam guiding apparatus includes a vacuum chamber that includes a target region arranged to receive a target material for generating EUV radiation. The vacuum chamber further includes a first opening for receiving into the vacuum chamber a first laser beam and a second opening for receiving into the vacuum chamber a second laser beam. The vacuum chamber also includes a superposition apparatus arranged to superpose the first laser beam having a first wavelength and a second laser beam having a second wavelength for common beam guidance in the direction of the target region. The first laser beam and the second laser beam have different wavelengths. In some implementations, the first and second laser beams do not enter into the vacuum chamber through a common opening or a common window but rather use two different openings in order to be able to use a transmissive material, optimized for the respective wavelength, for the transmissive optical element sealing the respective opening. Separate beam paths of the two laser beams emerge in the vacuum chamber as a result of the two different openings. For the purposes of combining the two beam paths, the superposition apparatus is proposed, in which the superposition apparatus combines and superposes the two laser beams entering through the different openings in such a way that these are incident together on optical elements arranged downstream of the superposition apparatus. Within the meaning of this application, superposition is understood to mean that the beam axes of the two laser beams are superposed, e.g., the two laser beams have a common beam axis after the superposition. The laser beams themselves propagate coaxially along the common beam axis, e.g., the radiation from the first laser beam has a typically substantially circular beam cross section, which is surrounded by the substantially ring-shaped beam cross section of the second laser beam. By contrast, a consequence of the separate beam guidance of the two laser beams would be that dynamic excitations caused by vibrations, thermally induced displacements of a respective optical element, among other factors, would have different effects on the laser beams, which would lead to different beam paths or different fluctuations in the lengths of the beam paths of the two laser beams in relation to the respective focal position and hence to a change in the relative distance between the two focal positions of the two laser beams in the target region along a movement direction or a movement path of the target material and/or the tin droplets. However, the relative distance between the two focal positions should be maintained precisely; this can be ensured by the common beam guidance of the two laser beams, in particular, when these are focused or pass through the focusing apparatus, since the errors in the beam guidance have the same effect on the laser beams that are guided together. The foregoing and other implementations can optionally include one or more of the following features, individually or in combination. For example, in some implementations, the superposition apparatus includes a reflecting optical element, arranged in the vacuum chamber. The reflecting optical element includes a first surface region for reflecting the first laser beam and a second surface region, surrounding the first surface region in a ring-shaped manner, for reflecting the second laser beam. With the aid of the above-described superposition apparatus, it is possible to combine two laser beams, which are incident on the reflecting optical element from different directions or at different angles of incidence, without needing to use a transmissive optical element for this purpose, as is the case in a conventional superposition apparatus, in which a partly transmissive mirror made of a material transparent to at least one laser beam or one wavelength as well as a coating are used, which, depending on the wavelength, can be complicated in terms of the production thereof and very sensitive to contamination. In some implementations, the reflecting optical element is a mirror with a circular geometry, e.g., the inner surface region forms a circular area arranged concentrically in relation to the geometric center of the mirror while the outer surface region forms an outer, concentric ring surface. The first laser beam is then deflected by the inner surface region and the second laser beam is deflected by the outer surface region. In this case, the reflecting optical element is aligned in such a way in relation to the beam directions of the two incident laser beams that these beams, after the reflection or deflection, propagate along a common beam direction. In some implementations, the first surface region and the second surface region can be embodied as planar surfaces which are tilted in relation to one another. The first and the second surface region can each be provided with a coating that reflects the wavelength of the laser beam to be reflected, e.g., with a coating which increases the reflectivity of the surface region for the respective wavelength. The surface regions themselves are typically formed on the surface of a metallic material which was generally subjected to surface treatment. In some implementations, the reflecting optical element is configured to expand the first and second laser beams. In this case, the reflecting optical element also allows for beam expansion, e.g., for generating divergent laser beams and/or for increasing the divergence of the laser beams, in addition to the superposition of the two laser beams. To this end, the two surface regions typically have a convex curvature. The beam expansion of the laser beams is advantageous, in particular in combination with a subsequent optical element which focuses the divergent laser beams in the target region. In some implementations, the first surface region includes a convexly curved paraboloid surface. The paraboloid surface is typically a reflecting surface which forms an off-axis segment of a paraboloid. The term “off axis” means that the first surface region does not contain the axis of rotation or the principal axis of the paraboloid (and hence it does not contain the vertex of the paraboloid either). Typically, the beam direction of the laser beam incident on the first surface region extends parallel to the principal axis of the paraboloid. This allows aberration-free imaging to be realized if the first laser beam is a collimated laser beam or a parallel beam. The second surface region of the reflecting optical element can also be embodied as a convexly curved paraboloid surface. In this case, the second surface region typically likewise forms an off-axis segment of a paraboloid, e.g., the principal axis of the paraboloid extends parallel to the incident second laser beam but not through the second surface region. If the incident second laser beam is a parallel beam, aberration-free imaging of the second laser beam can be realized in this manner. In some implementations, the second surface region includes a convexly curved hyperboloid surface. Aberration-free imaging of a divergent laser beam incident on the second surface region can be realized with the aid of a curved hyperboloid surface. Typically, the hyperboloid surface is likewise an off-axis segment of a rotation hyperboloid, e.g., the second surface region does not contain the axis of rotation or the principal axis of the hyperboloid but extends parallel to the principal axis. The use of a second laser beam which is incident in a divergent manner on the second surface region was found to be advantageous if the first, inner surface region has a comparatively large numerical aperture since, in this case, the concentrically arranged ring-shaped second surface region is even larger, and so, when a collimated second laser beam is used, the optical elements arranged in the beam path upstream of the superposition apparatus would have to have correspondingly large dimensions, in particular the optical element through which the second laser beam enters into the vacuum chamber. Alternatively, there could also be parallel expansion within the vacuum chamber itself for the purposes of generating a ring-shaped beam cross section, to which end, however, the use of comparatively large optical elements would likewise be necessary. If the second laser beam is a convergent laser beam, the second surface region for realizing aberration-free imaging can be embodied as a convexly curved ellipsoid surface. In this case too, the second surface region typically forms an off-axis segment of the ellipsoid, e.g., neither the semi-major axis nor the semi-minor axis of the ellipsoid extends through the second surface region. Depending on whether the first laser beam is incident on the first surface region in a convergent, divergent or collimated manner, said first surface region can be embodied as a convex ellipsoid surface, a hyperboloid surface or as a paraboloid surface, respectively. Some implementations include a focusing optical element, arranged in the beam path downstream of the reflecting optical element. The focusing optical element is configured to focus the first and the second laser beam in the target region. Both laser beams pass through, or are reflected by, the focusing optical element (typically a focusing mirror) together such that temperature-dependent fluctuations or thermally dependent displacements of the focusing optical element have the same effect on both laser beams, and therefore there is no influence on the relative distance between the two focal positions due to such fluctuations. In some implementations, the focusing optical element has at least one concavely curved, reflecting ellipsoid surface. In particular, the focusing optical element can be an ellipsoid mirror with a single reflecting surface forming an off-axis segment of an ellipsoid. In this case, the reflecting ellipsoid surface can be provided with in each case different coatings that are optimized for the reflection of the respective wavelength in the two portions, in which the first and second laser beams are, respectively, incident. The ellipsoid mirror serves for focusing the two laser beams at a respective focal position within the target region, which substantially corresponds to an exit-side focus of the ellipsoid mirror. In some implementations, the focusing optical element has a first focusing surface region for reflecting the first laser beam and a second focusing surface region, surrounding the first surface region, for reflecting the second laser beam. In particular, the first surface region of the focusing optical element can be a concavely curved ellipsoid surface. The second, concavely curved surface region of the focusing optical element can also be embodied as a reflecting ellipsoid surface. In some implementations, the first focusing surface region has a first concavely curved ellipsoid surface with a first entrance-side focal length and a first exit-side focal length. The second focusing surface region has a second concavely curved ellipsoid surface with a second entrance-side focal length and a second exit-side focal length. The first and second entrance-side focal lengths are different. The two ellipsoid surfaces have different entrance-side focal lengths, e.g., different entrance-side focal positions. This is advantageous for avoiding illumination or imaging of the transition zone, which exists between the first and the second surface region of the optical element forming the superposition apparatus, and therefore for preventing diffraction effects. The principal axes of the two ellipsoid surfaces and hence the entrance-side focal points lie on a common line. Is was found to be advantageous if the entrance-side focal length of the second, outer ellipsoid surface is greater than the entrance-side focal length of the first, inner ellipsoid surface. In some implementations, the first and second exit-side focal lengths are the same, for e.g., the two laser beams deflected at the first and the second surface region, respectively, are focused at the same distance from the ellipsoid mirror. In some implementations, the entrance-side focal length of the first concavely curved ellipsoid surface and the exit-side focal length of the first concavely curved ellipsoid surface have the same magnitude. Since the concavely curved ellipsoid surface is comparatively large in the present application, the entrance-side and exit-side focal lengths of the inner ellipsoid surface should have the same magnitude as an imbalance in the production of the mirror could otherwise drastically restrict the achievable accuracy. Even in the case where the focusing mirror only has a single ellipsoid surface, the entrance-side and the exit-side focal lengths should therefore correspond. Some implementations include a deflection mirror arranged in the beam path downstream of the focusing optical element to deflect the first and second laser beams in the direction of the target region. The deflection mirror typically has a plane mirror surface and serves as a folding mirror in order to reduce the required installation space within the vacuum chamber. In order to maintain the relative distance between the focal positions of the two laser beams accurately within the target region, it is typically necessary for both laser beams to be incident on the deflection mirror in parallel, for example, aligned along a common beam direction, which is made possible by the superposition described herein. In some implementations, the deflection mirror is configured to be tiltable, so that, upon tilting the deflection mirror, a first focal position of the first laser beam and a second focal position of the second laser beam are changed. For e.g., the deflection mirror can be tilted, for example, by a motor-driven drive. By tilting the deflection mirror, it is possible to displace or update the focal position of the main pulse in a direction perpendicular to the movement direction of the target material (for example, the target material can move in the direction of the gravitational force or another direction, e.g., a substantially horizontal direction, which, proceeding from the exit of the target material from a provision apparatus, corresponds to a projectile trajectory) to obtain an ideal plasma yield when irradiating the target material. Without the use of the superposition apparatus, the first and second laser beams would be guided along separate beam paths within the vacuum chamber, and so, for maintaining a constant relative distance between the two focal positions in the case of such updating, a further motor-driven mirror would be required for updating the focal position of the second laser beam in accordance with the focal position of the first laser beam, or vice versa. When using the superposition apparatus described herein, it is possible to realize an incidence of the two laser beams on the deflection mirror with an identical beam direction and it is therefore possible to change the focal positions of the first and second laser beams in the same manner by a single motor-driven deflection mirror, and so it is possible to dispense with a further motor-driven deflection mirror. Some implementations include a beam shaping apparatus arranged upstream of the superposition apparatus in the beam path of the second laser beam. The beam shaping apparatus is configured to set a ring-shaped beam profile of the second laser beam. Such a beam shaping apparatus is advantageous for adapting the beam profile of the second laser beam to the ring-shaped geometry of the second surface region and therefore for being able to reflect the second laser beam at the ring-shaped outer surface region with a loss of radiation power that is as small as possible. In some implementations, the beam shaping apparatus comprises at least one conical surface. For e.g., the beam shaping apparatus is embodied in the style of an axicon. The conical surface of the axicon can be embodied as reflecting surface; however, it is also possible to use a transmissive optical element with a conical surface as an axicon for the beam expansion. In some implementations, the beam shaping apparatus is configured to expand the second laser beam. For e.g., for shaping a divergent second laser beam. Shaping a divergent second laser beam is advantageous, in particular, if the optical element, for example, mirror, serving as a superposition apparatus already has a large entrance-side numerical aperture. In this case, if a ring-shaped collimated second laser beam is used, the beam shaping apparatus and the window in the vacuum chamber for the entry of the second laser beam must have correspondingly large dimensions or possibly, for forming a ring-shaped beam profile, there would have to be a parallel expansion within the vacuum chamber with comparatively large optical elements upstream of the expansion mirror. When realizing a sufficiently large distance between the beam shaping apparatus and the mirror serving as a superposition apparatus, the upstream elements, e.g., the beam shaping apparatus and the window, can have substantially smaller dimensions when the divergent second laser beam is used. In some implementations, the beam guiding apparatus comprises a ring-shaped encircling mirror surface to deflect the laser beam expanded in the radial direction by the conical surface such that the exiting laser beam with a ring-shaped beam cross-section extends substantially coaxially or parallel with the beam direction of the laser beam (with a circular beam cross section) entering the beam shaping apparatus. By way of example, the ring-shaped encircling mirror surface can be a plane surface which, depending on the alignment thereof in respect of the conical surface, generates a ring-shaped laser beam emerging in a convergent, divergent or collimated manner. The mirror surface can be formed as a deflection mirror that is not transparent to the second laser beam; however, this can also be a mirrored surface which is attached to a main body made of material transmissive to the second laser beam. In some implementations, the ring-shaped encircling mirror surface forms a convexly curved paraboloid surface or a plane surface. With the aid of a convexly curved encircling mirror surface, it is possible to generate an emerging laser beam with a divergent ring-shaped beam profile, in which the marginal rays of the divergent beam profile lying radially on the inside and on the outside do not extend parallel to one another but rather include an angle there between, e.g., diverge. Some implementations include a first optical element that closes off the first opening of the vacuum chamber in a gas-tight manner and transmits the first laser beam and a second optical element that closes off the second opening of the vacuum chamber in a gas-tight manner and transmits the second laser beam. As a matter of principle, in addition to the transmitting function thereof, the optical elements at the first and second openings can also satisfy other optical functions, such as, e.g., a collimating or focusing function for the laser beams passing through. By contrast, a transmitting optical element embodied as a window, e.g., as a plane-parallel plate, has no beam-shaping function. An antireflection coating for the transmitted first or second laser beam can be applied at a surface facing away from the vacuum chamber and/or at a surface arranged in the vacuum chamber of the respective optical element. In this way, there are no, or hardly any, reflections at the surfaces of the transmitting optical element, and so the laser beams are transmitted completely or virtually completely. Losses and scattering are therefore reduced in an advantageous manner or, ideally, completely avoided. Some implementations include a closed-loop control apparatus configured to regulate at least one of a first focal position of the first laser beam and a second focal position of the second laser beam to a setpoint focal position. Some implementations include an open-loop control apparatus configured to regulate at least one of a first focal position of the first laser beam and a second focal position of the second laser beam to a setpoint focal position. The open-loop and closed-loop control apparatus can regulate at least one focal position of one of the two laser beams to a setpoint focal position for the possibly required adaptation of the distance between the two focal positions. For closed-loop control purposes, the beam paths of the laser beams can be measured using suitable measurement apparatuses. For influencing the focal positions, the closed-loop control apparatus can be connected in a signaling manner with, for example, a focus adjustment apparatus, with actuators of a focus adjustment apparatus, by which the beam directions of the laser beams can be influenced, and with actuators of a focusing apparatus for the individual laser beams, for example, for displacing focusing elements provided therein, such as lenses provided there. The open-loop and/or closed-loop control apparatus also serves to synchronize the beam sources generating the laser beams with the provision apparatus for the target material. In some implementations, the first laser beam has a wavelength greater than 10 μm. For the purposes of generating a wavelength of more than 10 μm, a CO2 laser is generally used as laser source or driver laser. On account of its long wavelength of approximately 10.6 μm, CO2 laser radiation is also reflected by optical elements which have a comparatively rough optical surface, which may be caused by tin deposits that may arise when tin is used as a target material. The use of a laser source or a driver laser in the form of a CO2 laser, moreover, enables a high conversion efficiency between the input power of the driver laser and the output power of the generated EUV radiation in the case of tin as a target material, provided the CO2 laser is used for generating the main pulse. In some implementations, the second laser beam has a wavelength less than 3 μm. In general, a solid-state laser, for example an Nd:YAG laser with a wavelength of 1.06 μm, which is typically operated as a short pulse laser, e.g., with pulse lengths in the nanosecond range or in the picosecond range, is used to generate a wavelength of less than 3 μm. It is understood that solid-state lasers or other lasers, which generate wavelengths of less than 3 μm, can also be used as a beam source for generating the pre-pulse. The use of laser radiation with a comparatively short wavelength was found to be advantageous for generating the pre-pulse since this renders it possible to realize very short pulse durations and sharper focusing of the pre-pulse in the target region. In one implementation, an EUV radiation generating device includes a first beam source and a second beam source operable to generate a first laser beam and a second laser beam, respectively. The EUV radiation generating device also includes a beam guiding apparatus. The beam guiding apparatus includes a vacuum chamber that includes a target region arranged to receive a target material for generating EUV radiation. The vacuum chamber further includes a first opening for receiving into the vacuum chamber a first laser beam and a second opening for receiving into the vacuum chamber a second laser beam. The vacuum chamber also includes a superposition apparatus arranged to superpose the first laser beam having a first wavelength and a second laser beam having a second wavelength for common beam guidance in the direction of the target region. The first laser beam and the second laser beam have different wavelengths. The EUV radiation generating device makes use of the same advantages as the beam guiding apparatus described herein. The second beam source can be, for example, a solid-state laser (optionally with suitable gain stages) serving to generate the pre-pulse, while the first beam source can be a CO2 laser source (optionally with suitable gain stages) for generating the main pulse. A desired setpoint focal position of the corresponding laser beam can be regulated or set within the target region by the open-loop and/or closed-loop control apparatus. Such closed-loop control is advantageous if interference occurs on the radiation source side or at other optical components, e.g., at the windows, leading to a change in the focal position. By way of example, the closed-loop control apparatus can remove by closed-loop control or suppress interference in the form of variations in the direction or divergence of the laser beam (e.g., a drift) such that the two laser beams, or the focal positions thereof, remain at their respective setpoint focal position by readjustment. The features mentioned above and the features yet to be explained below can be used on their own in each case or several of these can be used in any combination. The shown and described implementations should not be understood as a conclusive list but instead have an exemplary character for explaining the invention. The details of one or more implementations of the subject matter of this disclosure are set forth in the accompanying drawings and the description below. Other features, aspects, and advantages of the subject matter will become apparent from the description, the drawings and the claims. FIG. 1 shows an embodiment of an EUV radiation generating device 1 with a first beam source 2 that serves as a driver laser (for example, a CO2 laser), which generates a first pulsed laser beam 3 with high radiation power (>>1 kW), and a second beam source 4 (for example, a solid-state laser), which generates a second pulsed laser beam 5. For the purposes of generating the first laser beam 3 with a high beam power, the first beam source 2 can include multiple amplifiers. In the shown example, the first laser beam 3 and the second laser beam 5 have substantially different wavelengths λ1, λ2, respectively. The wavelengths λ1 and λ2 may differ, for example, by approximately one order of magnitude. It is understood that the difference between the two wavelengths λ1, λ2 can also be smaller or, where necessary, larger. In the shown example, the second laser beam 5 has a wavelength λ2, for example, approximately 1.06 μm, which is generated by the second beam source 4. The second beam source 4 can, for example, be a solid-state laser in the form of an Nd:YAG laser, e.g. a disk laser, in ultrashort pulsed operation, e.g., with pulse rates in the nanosecond range or in the picosecond range. The first laser beam 3, generated by a first beam source 2, has a wavelength λ1, for example, approximately 10.6 μm. The first beam source 2 can, for example, be a CO2 laser. The EUV radiation generating device 1 furthermore includes a beam guiding apparatus 6, by which the two laser beams 3, 5 are guided in the direction of a target region 7, in which a target material 8 (for example, tin droplets) has been introduced in order to generate EUV radiation 9. For reasons of clarity, the illustration of measurement apparatuses for monitoring the beam path of the laser beams 3, 5 has been dispensed with. The target material 8, for example, the tin droplets, can be generated by a provision apparatus (not shown here) and the said target material moves along a predetermined trajectory/path 10. The predetermined path 10, which can, for example, correspond to a substantially horizontal, straight lined trajectory in the style of a projectile trajectory, along a horizontal movement direction 11. The movement direction 11 can also correspond to a different direction, e.g. the direction of the gravitational force. For the purposes of generating the EUV radiation 9, the target material 8 is initially influenced, e.g., heated, expanded, vaporized, ionized and/or brought into the state of a weak or optionally strong plasma, by the second laser beam 5, which forms a pre-pulse. The first laser beam 3, which forms a main pulse, the majority of the target material 8 influenced by the second laser beam 5 is converted into the plasma state and the EUV radiation 9 is generated in the process. The first laser beam 3 can have higher power than the second laser beam 5. It is desirable that the first and the second laser beams 3, 5 impinge on the target 8 (for example, tin droplets that are moving along the predetermined path 10) with a predetermined time interval. This can be achieved, for example, by having the first and the second laser beams to be focused at different spatial points or at different focal positions F1, F2 along the trajectory 10 of the target 8, as shown in FIG. 1. For the purposes of the targeted alignment or focusing of the EUV radiation 9 generated in this way, the EUV radiation generating device 1 can include an EUV focusing mirror (not shown here). The target material 8, the EUV focusing mirror and the target region 7, into which the target material 8 is introduced, are arranged in a vacuum chamber 12 of the beam guiding apparatus 6. The two beam sources 2, 4 for generating the first and the second laser beams 3, 5 are arranged outside of the vacuum chamber 12, typically in a common housing, or in two separate housings, which are not depicted in FIG. 1. To guide the first and the second laser beam 3, 5 into the target region 7, the two laser beams 3, 5 are guided into the vacuum chamber 12 via a first opening 13 and via a second opening 14, respectively, proceeding from the respective beam sources 2, 4. To guide the first laser beam 3 from the beam source 2 to the first opening 13, the beam guidance apparatus 6 has multiple deflection mirrors 20 and a pair of parabolic mirrors 21a, 21b. The parabolic mirrors 21a, 21b can change the beam cross section of the first laser beam 3. For guiding the second laser beam 5 to the second opening 14, the beam guiding apparatus 6 includes a telescopic arrangement 15 that can change the cross section of the second laser beam 5. The telescopic arrangement 15 has a focusing lens 16 and a collimating lens 17 downstream thereof in the beam path. Two deflection mirrors 18, 19 which deflect the second laser beam 5 to the second opening 14 are arranged in the beam path of the second laser beam 5 downstream of the telescopic arrangement 15. Mounted in the region of the first opening 13 is an optical element 22 which closes off the first opening 13 of the vacuum chamber 12 in a gas-tight manner and transmits the first laser beam 3, said optical element 22 being embodied as a window or as a thin plane-parallel plate in the shown example. Accordingly, in the example shown in FIG. 1, an optical element 23 which closes off the second opening 14 of the vacuum chamber 12 in a gas-tight manner and transmits the second laser beam 5 is mounted on said second opening. The first window 22 at the first opening 13 is substantially transparent to the first laser beam 3 and can be formed from, for example, (artificially manufactured) diamonds, as this material, on account of the high thermal conductivity thereof, can effectively dissipate the heat introduced by the high laser power (>>1 kW) of the first laser beam 3. The second window 23 at the second opening 14 is substantially transparent to the second laser beam 5, in particular to wavelengths of less than 3 μm, and it can be formed, for example, from a fused quartz glass material. The two windows 22, 23 have an antireflection coating for the transmitted first and second laser beams 3, 5, respectively, at the surfaces facing away from the vacuum chamber 12 and at the surfaces arranged in the vacuum chamber 12 for the purposes of minimizing power losses caused by reflection during the passage into the vacuum chamber 12. In some implementations, it may be advantageous, despite the use of antireflection coatings, for both the first window 22 and the second window 23 to be aligned at an angle that differs from 90° with respect to the beam direction of the first and second laser beams 3, 5, respectively, in order to be able to use the radiation of the first and second laser beams 3, 5, reflected back at the respective window 22, 23, for measurement purposes. The supply of the two laser beams 3, 5 through separate openings 13, 14 is advantageous since, in this manner, the material of the windows 22, 23 can be matched to the transmitted wavelengths λ1, λ2 of the first and second laser beams 3, 5, respectively, and it is thereby possible to avoid power losses or losses in the beam quality when passing through the windows 22, 23. In order to combine the beam paths of the first and second laser beams 3, 5 after entrance into the vacuum chamber 12, the beam guiding apparatus 6 has a superposition apparatus 24. In the example shown in FIG. 1, the superposition apparatus is formed by a beam-expanding mirror 24, which, together with an ellipsoid mirror 25 downstream thereof in the beam path, forms a common focusing unit for focusing the first and second laser beams 3, 5 at the first focal position F1 and at the second focal position F2, respectively, within the target region 7. As shown in FIG. 2, the expansion mirror 24 has a first surface region A1, which is circular in the shown example, and a second annular surface region A2 that surrounds the first surface region. The first laser beam 3 with a circular cross section is incident on the first surface region A1 and it is expanded on the convexly curved paraboloid surface PZ (cf. FIG. 1) of the first surface region A1. Prior to incidence on the second surface region A2, the second laser beam 5 passes through a beam shaping apparatus 26, which is embodied to reshape the beam cross section of the second laser beam 5 from a circular beam cross section into a ring-shaped beam cross section. In order to let the second laser beam 5 be incident centrally on the beam shaping apparatus 26, more precisely on a conical surface provided there, the two deflection mirrors 18, 19 can be tilted in a motor-driven manner with the aid of actuators, as indicated in FIG. 1 by double-headed arrows. The beam shaping apparatus 26 also serves to expand the second laser beam 5 such that the latter is incident in a divergent manner on the second surface region A2, as can be easily identified in FIG. 2. The second laser beam 5 is expanded at the convexly curved hyperboloid surface HR (cf. FIG. 1) of the ring-shaped/annular second surface region A2 of the beam-expanding mirror 24. The first laser beam 3 incident in a collimated fashion on the parabolically curved first surface region A1 is reflected in an aberration-free manner at the paraboloid surface PZ because the said laser beam 3 extends substantially parallel to an axis of symmetry (not shown here) of the paraboloid surface PZ. The second laser beam 5 incident in a divergent manner on the hyperboloid surface HR is also reflected in an aberration-free manner since said laser beam is incident on the latter in a manner substantially parallel to an axis of symmetry (not shown here) of the hyperboloid surface HR. The surface geometry of the respective surface region A1, A2 depends on whether the respective laser beam is incident thereon in a convergent, collimated or divergent manner. Therefore, if one of the two laser beams 3, 5 is incident on the respective surface region A1, A2 in a focused or convergent manner—unlike what is depicted in the example shown here—an ellipsoid surface is advantageous for generating an aberration-free reflection or aberration-free imaging. Both laser beams 3, 5 leave the expansion mirror 24, which serves as a superposition apparatus, in a manner concentric with one another, e.g., said laser beams extend along a common beam direction and they are incident on the ellipsoid mirror 25 downstream thereof in the beam path. For the purposes of the effect as pre-pulse and as a main pulse, it is necessary for the laser beams 3, 5 focused by the ellipsoid mirror 25 to be focused at different focal positions F1, F2, arranged at a predetermined distance A from one another, within the target region 7 since a time offset between the incidence of the two pulsed laser beams 3, 5 on one and the same tin droplet 8 can only be realized in this manner. The tin droplet 8, which is impinged upon by a pulse (pre-pulse) of the second laser beam 5 at the second focal position F2 is, in this case, subsequently impinged upon by a pulse (main pulse) of the first laser beam 3 at the first focal position F1. It is desirable to select the distance A between the two focal positions F1, F2 along the movement direction 11 of the tin droplets in such a way that the time-of-flight of a respective tin droplet 8 between the two focal positions F1, F2 corresponds to the time offset between the two laser pulses of the beam sources 2, 4. To impinge upon all tin droplets 8 in the manner described above, the distance between two adjacent tin droplets 8 should also be an integer multiple of the distance A between the two focal positions F1, F2 in the direction 11 of the trajectory 10 of the tin droplets 8. The distance A between the two focal positions F1, F2 can be adjusted by a focus adjustment apparatus which, in the shown example, serves to influence the beam direction of the second laser beam 5. In the shown example, the focus adjustment apparatus includes two deflection mirrors 20, which can be tilted (for example, by actuators) in the beam path of the first laser beam 3. The deflection mirrors render it possible to vary or adjust the beam direction of the first laser beam 3 and hence the region of incidence at the expansion mirror 24. As result of a (slight) oblique incidence of the first laser beam 3 generated by the deflection mirrors 20, the first laser beam is focused at a focal position F1 which is spaced apart from the focal position F2 of the second laser beam 5 by the value A. Hence, the focal position F1 of the first laser beam 3 can be changed along the movement direction 11 of the target material 8 by tilting the deflection mirrors 20 about respectively suitable tilt angles. In this manner, it is also possible to adjust the distance A between the two focal positions F1, F2 along the movement direction 11 of the target material. Alternatively or additionally, it is also possible to provide in the beam guiding apparatus 6 a focus adjustment apparatus for adjusting the focal position F2 of the second laser beam 5 along the movement direction 11 of the target material 8, for example, by tilting the beam shaping apparatus 26 in a motor-driven manner by way of a suitable actuator, as shown in FIG. 1, or by virtue of use being made of further tiltable deflection mirrors (not shown here), arranged in the beam path downstream of the beam shaping apparatus 26, for adjusting the focus. In order to make the focal position F2 of the second laser beam 5 adjustable not only along the movement direction 11 of the target material 8 but also in a direction perpendicular thereto (Z-direction, cf. FIG. 1), it is possible to use the telescopic arrangement 15 as a focusing apparatus. In a basic setting, the two lenses 16, 17 of the telescopic arrangement 15 are arranged with the spacing of the focal lengths thereof such that the collimated second laser beam 5 entering into the telescopic arrangement 15 leaves the telescopic arrangement 15 in a collimated manner (and in a manner magnified or reduced by the desired imaging scale). In the shown example, the second lens 17 of the beam telescope 15, which acts as a collimation lens, is displaceable along or counter to the beam direction of the second laser beam 5 by a suitable motor-driven drive. By displacing the collimating lens 17 out of the basic setting that results in a collimated beam 5, a divergent beam or a convergent beam can be formed from the collimated second laser beam 5 entering the beam telescope 15, depending on whether the second lens 17 is displaced along or counter to the beam direction of the second laser beam 5. If the second laser beam 5 enters into the beam telescope 15 in a divergent or convergent manner, the focal position F2 of the second laser beam 5 changes in the Z-direction, and so the target material 8 at different positions in the Z-direction can be impinged upon by the second laser beam 5. Deviating from the illustration shown in FIG. 1, in which an ellipsoid mirror 25 is used with a single concavely curved ellipsoid surface E for focusing the two laser beams 3, 5, FIG. 3 shows an ellipsoid mirror 25, which has a first, inner concavely curved ellipsoid surface EZ and a second, outer, likewise concavely curved ellipsoid surface ER, which are arranged as shown in FIG. 2, e.g., as concentric surface regions A1, A2. The expansion mirror 24 forming the superposition apparatus and the ellipsoid mirror 25 are depicted by vertical lines in FIG. 3, in which vertical lines represent the mirror positions at which the laser beams 3, 5 are expanded and focused. As is possible to identify in FIG. 3, the exit-side focal lengths of the two ellipsoid surfaces EZ, ER coincide, and so the two focal positions F1, F2 coincide in the Z-direction, e.g., perpendicular to the movement direction 11 of the target material 8. Depicted in a dashed manner in FIG. 3 is a deflection mirror 27 with a plane mirror surface which serves to fold the beam or to deflect the first and second laser beams 3, 5 in the direction of the target region 7. The deflection mirror 27 is tiltable, for example, by a motor-driven drive, as indicated in FIG. 3 by a double-headed arrow. If the two laser beams 3, 5 are incident on the deflection mirror 27 in parallel or with a common beam direction, the focal positions F1, F2 of the two laser beams 3, 5 can be displaced together in the Z-direction, e.g., perpendicular to the movement direction 11 of the target material 8, without the distance A between the two focal positions F1, F2 changing in the process. Displacing the focal positions F1, F2 of the two laser beams 3, 5 in the Z-direction serves to optimize the plasma yield and hence to maximize the radiation power generated by the EUV radiation generating device 1. The entrance-side focal length FEZ of the first, inner ellipsoid surface EZ has approximately the same magnitude as the exit-side focal length FEZ thereof in order to avoid the occurrence of imbalances when producing the ellipsoid mirror 25. However, the entrance-side focal length FER of the outer ellipsoid surface ER does not correspond to the entrance-side focal length FEZ of the inner ellipsoid surface EZ but, instead, it is greater in the shown example (FER>FEZ), as a result of which the area or the dimension of the outer ellipsoid surface ER can be reduced. The selection of different entrance-side focal lengths FER, FEZ for the two ellipsoid surfaces ER, EZ was found to be advantageous to eliminate diffraction effects, caused at the transition between the two surface regions A1, A2 of the expansion mirror 24, when focusing on the target region 7. FIG. 4 shows a configuration of the expansion mirror 24 and of the focusing mirror 25. The second laser beam 5 is incident in a collimated manner on the first expansion mirror 24. In this case, the second, outer surface region A2 has a convex paraboloid surface PR in order to realize aberration-free imaging. The outer paraboloid surface PR in this case forms a segment of a paraboloid, the principal axis or axis of symmetry thereof extending parallel to the incident second laser beam 5. In the configuration shown in FIG. 4, the entrance-side and exit-side focal lengths of the ellipsoid mirror 25 coincide, e.g., the inner ellipsoid surface EZ and the outer ellipsoid surface ER depicted in FIG. 4 are portions of one and the same ellipsoid surface, as shown in FIG. 1. The inner portion EZ of the ellipsoid surface and the outer portion ER of the ellipsoid surface can be provided with different coatings, which are optimized for reflecting the first and the second wavelength λ1, λ2, respectively. FIG. 5 shows an illustration analogous to FIG. 4, in which the ellipsoid mirror 25, as shown in FIG. 3, has a first, inner concavely curved ellipsoid surface EZ and a second, outer concavely curved ellipsoid surface ER, which, as in FIG. 3, have different entrance-side focal lengths FEZ, FER in order to reduce diffraction losses. FIG. 6 shows a detailed illustration of the beam shaping apparatus 26 from FIG. 1, which serves to generate a ring-shaped beam cross section in the second laser beam 5. To this end, the beam shaping apparatus 26 has a central conical surface 30 or a cone-shaped optical element, which is attached to a transparent, plate-shaped holder 31. The incident laser beam 5 is deflected by 90° at the conically circumferential reflecting surface 30 and it is incident on a ring-shaped parabolic mirror 32, which can, for example, be fastened to the holder 31. As is shown in FIG. 6, not only is a ring-shaped beam cross section generated at the parabolic mirror 32, but the second laser beam 5 is also expanded, e.g., it has a divergent beam path when leaving the beam shaping apparatus. What is achieved by the parabolically embodied ring-shaped mirror 32 in the shown example is that the marginal ray lying radially at the inside of the expanded second laser beam 5 extends parallel to the beam direction of the incident second laser beam 5 while the marginal ray lying radially at the outside extends at an angle thereto such that the emerging second laser beam 5 is divergent overall. A plane ring-shaped mirror 32 can also be provided in the beam shaping apparatus 26 instead of the parabolically curved mirror for aligning the emerging second laser beam 5, with the beam axis thereof, parallel to the second laser beam 5 entering into the beam shaping apparatus 26, as indicated in FIG. 1. Here, it is possible to adjust the alignment of the ring-shaped emerging second laser beam 5 by setting the angle at which the ring-shaped mirror 32 is arranged in relation to the beam axis of the second laser beam 5 such that said second laser beam 5 emerges from the beam shaping apparatus 26 in a collimated, divergent or convergent manner. It is understood that this is also possible by the parabolic ring mirror 32, shown in FIG. 6, in the case of a suitable design of the curvature of the reflecting surface. In order to monitor and control and/or regulate the generation of the EUV radiation 9, the above-described beam guiding apparatus 6 has a monitoring apparatus in the form of an open-loop/closed-loop control apparatus 40, which is embodied or programmed to synchronize the pulse generation by the two beam sources 2, 4 with the provision apparatus (not shown here) for the target material 8. The open-loop/closed-loop control apparatus 40 is also embodied or programmed to influence the beam direction of the first and/or of the second laser beam(s) 3, 5 to undertake a possibly required adjustment of the distance A between the two focal positions F1, F2 along the movement direction 11 of the target material 8 and, to this end, it is connected in a signaling manner with two actuators (or other mechanism to tilt the deflection mirror 20) actuating the tiltable deflection mirrors 20 and, possibly, with actuators of further tiltable deflection mirrors (not shown here) arranged in the beam path of the second laser beam 5 downstream of the beam shaping apparatus 26. The open-loop and closed-loop control apparatus 40 also serves to adjust the actuators of the two deflection mirrors 18, 19 arranged upstream of the beam shaping apparatus 26 to bring about a central incidence and a suitable alignment of the second laser beam 5 on the conical surface 30. Finally, the open-loop and closed-loop control apparatus 40 is also connected to a drive or actuator, indicated by a double-headed arrow, for displacing the second lens 17 of the beam telescope 15 and, possibly, to a drive for displacing the parabolic mirror or one of the parabolic mirrors 21a, 21b in the beam path of the first laser beam 3. In this manner, the open-loop/closed-loop control apparatus 40 renders it possible to keep each one of the two laser beams 3, 5 at the focal position F1, F2 thereof such that said focal positions correspond to a respective setpoint focal position, even in the case of disturbances in the beam guidance, which is caused, for example, by vibrations, by thermal influences or by fluctuations in the beam sources 2, 4. In the manner described herein, it is possible to realize common focusing of the two laser beams with (significantly) different wavelengths in the common target region in a particularly effective manner, despite the complicated optical, geometrical, e.g., installation space-dependent, and method-dependent and production-dependent boundary conditions which arise. As an alternative to the above-described superposition of the two laser beams 3 and 5 at the reflecting, beam-expanding optical element 24, a superposition of the two laser beams 3 and 5 in the vacuum chamber 12 can also be realized in a different manner. In some implementations, one of the two windows 22, 23, which close off the vacuum chamber 12 in a gas-tight manner, can be used as a superposition apparatus. For example, an optical surface (surface on which an optical beam impinges) of one of the windows 22 and 23 can be coated with a reflecting coating that reflects the laser beam 5, 3 which is transmitted by the other window 23, 22, while the window 22, 23 transmits (or is transparent to) the laser beam 3 or 5, which passes through that window 22, 23. At the reflecting coating, the laser beam 5, 3 passing through the respective other window 22, 23 is reflected such that the two laser beams 3, 5 are superposed at the window 22, 23 and subsequently propagate along a common beam direction within the vacuum chamber 12. A number of implementations have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention. Accordingly, other implementations are within the scope of the following claims.
claims
1. A shipping container for shipping channeled fuel bundles, the shipping container comprising:an outer container;an inner container sized to fit within the outer container; andshock absorbing material disposed between the outer and inner containers,wherein the inner container is shaped to house at least one fuel bundle disposed in a channel and including a channel fastener and an array of rods supported by spacer grids between an upper tie plate and a lower tie plate, andwherein the inner container includes:a lower tie plate restraint device shaped to receive the lower tie plate and a lower portion of the channel and always engaging all sides of the lower tie plate and the lower portion of the channel when received by the lower tie plate restraint device, the lower tie plate restraint device being lockable into the inner container in only one correct orientation, andan upper tie plate restraint device shaped to receive the upper tie plate and an upper portion of the channel, the upper tie plate restraint device being lockable into the inner container. 2. A shipping container according to claim 1, further comprising a hold down bar attached to the inner container, the hold down bar securing the channeled fuel bundle in the inner container. 3. A shipping container according to claim 2, wherein the hold down bar comprises a hinged bracket attachable to the inner container and a locking member, the hold down bar being pivotable via the hinge between a loading position in which the channeled fuel bundle is insertable in the inner container and a locking position in which the channeled fuel bundle is locked in the inner container, the hold down bar being lockable in the locking position by the locking member. 4. A shipping container according to claim 2, wherein the hold down bar comprises a vibration isolation material on a surface facing the channeled fuel bundle. 5. A shipping container according to claim 2, wherein the locking member comprises a locking pin disposed on an end of a spring-loaded plunger, the locking pin being engageable with an aperture in the inner container. 6. A shipping container according to claim 1, wherein the lower tie plate restraint device comprises protective netting at an end that prevents foreign material from entering the channeled fuel bundle from its bottom. 7. A shipping container according to claim 1, wherein the inner container is sized to receive two channeled fuel bundles with a center rib delineating separate spaces. 8. A shipping container according to claim 7, wherein the center rib is formed of a borated aluminum neutron absorbing material. 9. A shipping container according to claim 7, further comprising a hold down bar for each of the separate spaces attached to the center rib and securable in a locked position across the separate spaces. 10. A shipping container according to claim 1, wherein the lower tie-plate restraint device is sized large enough to include a lower tie-plate Y-block. 11. A shipping container according to claim 1, further comprising at least one of memory foam, poly resins or shock absorbing air bags within the container to mitigate shock.
summary
abstract
A container for storing and transporting device containing radioactive materials used for medical procedures is disclosed. Such devices may include a radioactive shielding material which contains a portion of the radioactivity emitted by the radioactive material. The container has an upper portion and a lower portion, and at least one of the portions includes a radiation shielding material, such as lead, steel or other appropriate shielding materials. Devices containing radioactive material are placed within the container. The container secures the devices against lateral movement within the container. The radiation shielding material of the lower portion of the container may cooperate with the radiation shielding material of the device to contain more of the emitted radiation than is contained by the device alone. The container and the holder may be sterilizable to allow such devices to be transported and sterilized for medical use.
06207962&
claims
1. A charged-particle-beam projection-exposure apparatus, comprising: (a) an illumination optical system situated and configured to direct a charged-particle illumination beam along an optical axis from a source to a selected region on a reticle, the reticle being situated at a reticle plane orthogonal to the optical axis; (b) a projection-optical system situated and configured to direct a charged-particle imaging beam from the reticle to a sensitized substrate so as to transfer the pattern portion defined by the selected exposure unit to the substrate; (c) at least one upstream mark situated on the reticle plane so as to be selectively irradiated by the illumination beam; and (d) a shield situated between the source and the upstream mark, the shield defining an aperture that transmits a portion of the illumination beam to the upstream mark while blocking other portions of the illumination beam. the reticle comprises multiple upstream marks distributed over the reticle; and the shield defines multiple apertures each corresponding to a respective individual upstream mark on the reticle. 2. The apparatus of claim 1, wherein the upstream mark is situated on the reticle. 3. The apparatus of claim 2, wherein: 4. The apparatus of claim 1, further comprising a mark member separate from the reticle, wherein at least one upstream mark is situated on the mark member. 5. The apparatus of claim 4, wherein the shield extends over the mark member. 6. The apparatus of claim 1, wherein the upstream mark comprises multiple mark portions. 7. The apparatus of claim 6, wherein the aperture defined by the shield is sized, whenever the aperture is registered axially with the upstream mark, to circumscribe all the mark portions collectively. 8. The apparatus of claim 6, wherein the shield defines multiple apertures each corresponding to a respective individual mark portion. 9. The apparatus of claim 1, wherein the shield is situated between the illumination-optical system and the upstream mark.
047019409
summary
BACKGROUND OF THE INVENTION The present invention relates to a patterning process using an X-ray i.e., a process of X-ray lithography. In a patterning process using an X-ray, the influence of emission of a photoelectron or a Auger electron caused by absorption of the X-ray on irradiation objects must be taken into consideration. For example, when an X-ray is radiated to an electron beam resist to form a pattern, the resist is exposed to secondary electrons emitted from irradiation objects, so the pattern formed becomes bad in quality. According to Yasunao Saitoh et al, "J. Vac. Sci. Technol. B," Vol. 2, No. 1, Jan.-Mar., 1984, p. 63-p. 67, the influence of secondary electrons from a substrate is prevented by using a multi-layer resist. However, although this method is effective against the emission of secondary electrons from a substrate, it is not effective against the influence of secondary electrons emitted from a resist or mask. Besides, the multi-layer resist method is disadvantageous in that a more complicated process is required. SUMMARY OF THE INVENTION It is the object of the present invention to provide a patterning process capable of forming replicate fine patterns in high resolution. According to the patterning process of the present invention, by the using a linearly polarized X-ray, the emitting direction of secondary electrons from a substrate, resist and/or mask during radiation of the X-ray is fixed, consequently the influence of such secondary electrons is diminished. FIG. 7 shows characteristic of a photoelectron emission of K-shell electrons in the radiation of a linearly polarized X-ray. A linearly polarized incident X-ray 1 is assumed to be one in which its electric vector has a linear polarization in the direction indicated at 3. At point 4 the X-ray 1 is absorbed and a photoelectron e.sup.- is emitted. If the angle between the emitting direction 2 of the photoelectron e.sup.- and an advancing direction of the linearly polarized incident X-ray 1 is .theta. and the angle between the photoelectron emitting direction 2 as projected on a plane perpendicular to the linearly polarizd incident X-ray and the electric vector direction 3 is .phi., the probability of photoelectrons being emitted in each direction is expressed approximately as I(.theta., .phi.) .alpha. sin.sup.2 .theta. cos.sup.2 .phi.. Thus, the largest number of photoelectrons are emitted in the electric vector direction 3. In forming a pattern, therefore, a good pattern can be formed by fixing the electric vector direction 3 to a direction perpendicular to a direction in which a high accuracy is required. In other words, the patterning process of the present invention is characterized by using a linearly polarized X-ray.
claims
1. A computer program product stored in a non-transitory computer-usable medium, the computer program product comprising:computer usable program code for collecting idle counts occurring during execution of code to form collected idle counts; andcomputer usable program code for providing the idle counts to an application for analyzing why a processor becomes idle, wherein the computer usable program code for collecting idle counts comprises:computer usable program code for collecting information for a system having a transition between an idle state and a non-idle state to form collected system information; andcomputer usable program means for providing the collected system information for analysis by an application; and wherein the computer usable program code for collecting information comprises:computer usable program code for generating trace records in response to events in which the processor transitions to the idle state and from the idle state; andcomputer usable program means for storing counts of times a processor associated with a thread has been in an idle state in nodes in a tree constructed using the thread's entries into and exits out of routines. 2. The computer program product of claim 1, wherein the computer usable program code for storing counts of times a processor associated with the thread has been in the idle state in nodes in a tree constructed using the thread's entries into and exits out of routines comprises:computer usable program code for storing a first count of a number of times a processor has been idle in a current node in response to an entry into a second routine from a first routine; andcomputer usable program code for storing a second count of the number of times the processor has been idle in the current node in response to an exit from the first routine. 3. The computer program product of claim 2, wherein the first count is a difference between a base count of the number of times the processor has been idle when the first routine was entered and a current count of the number of times the processor has been idle when the entry into the second routine from the first routine occurs. 4. The computer program product of claim 2, wherein the second count is a difference between a base count of the number of times the processor has been idle when the first routine was entered and a current count of the number of times the processor has been idle when the exit from the first routine occurs. 5. The computer program product of claim 4 further comprising:computer usable program code for combining the trace records with the nodes in the tree using node identifiers. 6. The computer program product of claim 1, wherein each trace record in the trace records includes a node identifier of a current node present when each trace record was generated. 7. A data processing system comprising:a bus;a communications unit connected to the bus;a memory connected to the bus, wherein the memory includes a set of computer usable program code; anda first processor connected to the bus, wherein the first processor executes the set of computer usable program code to collect idle counts occurring during execution of code to form collected idle counts; and provide the idle counts to an application for analyzing why a second processor becomes idle, wherein the first processor executing the set of computer usable program code to collect idle counts comprises the first processor executing the set of computer usable program code to collect information for a system having a transition between an idle state and a non-idle state to form collected system information; and to provide the collected system information for analysis by an application, and wherein the first processor executing the set of computer usable program code to collect information comprises the first processor executing the set of instructions to generate trace records in response to events in which the second processor transitions to the idle state and from the idle state; and to store counts of times the second processor associated with a thread has been in an idle state in nodes in a tree constructed using the thread's entries into and exits out of routines. 8. The data processing system of claim 7, wherein the first processor executing the set of instructions to store counts of times the second processor associated with the thread has been in an idle state in nodes in a tree constructed using the thread's entries into and exits out of routines comprises the first processor executing the set of instructions to store a first count of a number of times the second processor has been idle in a current node in response to an entry into a second routine from a first routine; and to store a second count of the number of times the second processor has been idle in the current node in response to an exit from the first routine. 9. The data processing system of claim 8, wherein the first count is a difference between a base count of the number of times the second processor has been idle when the first routine was entered and a current count of the number of times the second processor has been idle when the entry into the second routine from the first routine occurs. 10. The data processing system of claim 8, wherein the second count is a difference between a base count of the number of times the second processor has been idle when the first routine was entered and a current count of the number of times the second processor has been idle when the exit from the first routine occurs. 11. The data processing system of claim 10, further comprising wherein the first processor executing the set of instructions combines the trace records with the nodes in the tree using node identifiers. 12. The data processing system of claim 7, wherein each trace record in the trace records includes a node identifier of a current node present when each trace record was generated.
046541888
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS In FIG. 1, reactor room 20 has concrete walls 22 and floor 24. A reactor well 26 extends downward from floor 24. Nuclear reactor 28 is housed in room 20 and well 26. Reactor 28 includes a generally cylindrical reactor vessel 30 having a mouth portion 32. Nozzles 34 extend from vessel 30 to receive pipes 36, which convey heated water to steam generators (not illustrated) and other apparatus and thence back to reactor 28. During operation, reactor head 38 is attached to mouth region 32 by studs (not illustrated) around the periphery of mouth region 32 and bolts 40 screwed to the studs. A shroud support ring 42 is affixed to head 38 to support shroud 44. Three lugs 46 (only two of which are illustrated) are affixed to head 38 to receive lift rods 48. During refueling, lift rods 48 are used to hoist head 38 and shroud 44. Control rod drive mechanisms and other control devices which are not illustrated are housed within shroud 44. There are currently several shroud designs in use with nuclear reactors. Shroud 44 may be deemed an "integrated head" shroud, which provides various advantages over older shrouds The present invention is not, however, limited to use with integrated head shrouds. Regardless of the particular design, however, the shroud serves to cool the control rod drive mechanisms therein by circulating air to them. With continuing reference to FIG. 1, shroud 44 includes a lower portion 50, a middle portion 52, and an upper portion 54. Portions 50-54 are generally barrel-shaped in configuration, and are joined one on top of the other to from shroud 44. Lower portion 50 includes a ring of vertically positioned metal beams 56 which extend between ring 42 and flange 57. Metal doors 58 are positioned between the beams 56. One side of each door 58 is mounted by hinges (not illustrated) to a beam 56, and the other side has a handle 60 attached thereto to permit technicians wearing protective clothing to briefly enter shroud 44 in order to service the control rod drive mechanisms, etc. Middle portion 52 is constructed much as lower portion 50. A ring of vertically disposed metal beams 62 extends between flange 64 and flange 66, which is affixed to flange 57 by a ring of bolts (not illustrated). However doors are unnecessary between beams 62, so metal panels 68 are permanently affixed between beams 62. Flange 69 of upper portion 54 is affixed to flange 64 of middle portion 52 by bolts (not illustrated). With continuing reference to FIG. 1, an integrated head cooling shroud, such as shroud 44, typically has a stud tensioner hoist rail 70 attached thereto by mounting brackets 72, one of which is welded to each beam 62 of middle section 52. Rail 70 can be provided by an I-beam which is bent into a circle. Brackets 72 extend radially outward to support beam 70 above the bolts 40. Rail 70 provides a track for stud tensioner hoist 74, so that hoist 74 can be moved to a position for use with each bolt 40. Hoist 74 will be described in more detail with reference to FIG. 2. Stud tensioner hoist 74 includes a housing 76 which encloses such elements (not illustrated) as a motor, reduction gearing, and a pulley. Legs 78 extend upward from housing 76. Wheels 80 are journalled for rotation on legs 78 in order to permit hoist 74 to be rolled along the bottom flange of rail 70. A hook 82 is affixed to bracket 84, which rotatably supports pulley 86. Cable 88 loops around pulley 86 to permit hook 82 to be raised or lowered. As is set forth in the Background portion of this application, it is desirable to provide additional shielding around the shroud, shroud 44 being only one example. The additional shielding should be disposed relatively close to the shroud in order to permit access to bolts 40. To this end, the present application provides a shielding system which can be applied to reactors which are already in use. In such a case, technicians permanently mount a shield support around the existing shroud, and thereafter shielding can be hung on the support when necessary. The workers do not, of course, have the advantage of additional shielding when they are installing the shield support, but this is a one-time task which can be accomplished relatively briefly. Typically the radiation exposure when the shield support is mounted is substantially less than the radiation previously received during every refueling. The shield support can also be installed when a new reactor is built, so that it need not be retrofit later. With reference to FIG. 2, shield support 90 includes a permanent rail 92 with swingout rails 94 pivotably attached thereto. Rail 92 encircles shroud 44, although it may be provided by three 120.degree. sections 96 as illustrated in FIG. 3 in order to facilitate installation when the invention is adapted as a retrofit to reactors already in use. Section 96 are preferably constructed of bent I-beams. Swingout sections 94 are also preferably made of I-beams. Returning to FIG. 2, the pivotable connection between permanent rail 92 and swingout rails 94 may be provided by bolts 98, which extend through bores (for example, see bores 100 in FIG. 3) in the I-beams. Nuts 102 are threaded to bolts 98. The pivotable connection permits the rails 94 to be swung outward from rail 92 and then back inward, as illustrated in FIG. 5. Turning next to FIG. 4, hangers 104 are attached to swingout arms 94, which, in turn, are pivotably mounted to permanent rail 92 as previously described, before shield support 90 is installed if the reactor is already in use. Such pre-assembly allows the elements to be installed as a unit, thereby minimizing exposure. Returning to FIG. 2, shield support 90 is affixed to middle portion 52 of shroud 44. Mounting brackets 106 are affixed to permanent rail 92 and are positioned to abut beams 62, one bracket 106 for each beam 62. Brackets 106 have flanges 108 having bores (not illustrated) through them. During installation the technicians hold support 90 so that a flange 108 lies against a beam 62, and holes are then drilled through the beam 62. Bolts 110 are then inserted to secure support 90. This mounting procedure is repeated for the remaining brackets 106. Turning next to FIG. 6, each shielding member 112 preferably includes a panel 114 of lead approximately 2 cm thick, which provides a fifty percent reduction in gamma radiation. For a typical nuclear reactor, and assuming that there are two panels 114 for every swingout arm 94, panels 114 may be about 2.8 meters high and about 0.8 meters wide. Each such panel would have a mass of approximately 160 Kg. With reference to FIGS. 2 and 6, each panel 114 has a suspension member 116 centered at the top thereof. Member 116 includes a mounting bracket 118 which is affixed to panel 114 by bolts 120. An eye element 122 and a pair of hook elements 124 are affixed to pin 126, which is rotatably mounted by bracket 118. The installation of shielding panels 114 will now be described. It will be assumed in the following discussion that support 90 has already been mounted. Referring to FIG. 1, panels 114 are first lowered by a crane (not illustrated) to floor 24 of reactor room 20. The hook 82 (see FIG. 2) of stud tensioner hoist 74 is then lowered to floor 24 and inserted through the eye 122 (see FIG. 2) of a panel 114. Hoist 74 then lifts the panel 114 above floor 24. Referring next to FIG. 2, it will be noted that hook elements 124 jut toward hangers 104 when panel 114 is supported by hook 82. Panel 114 is lifted, and stud tensioner hoist 74 is pushed along rail 70, until the hooks 114 are aligned with a hanger 104. Thereafter the swingout rail 94 is pivoted outward, as illustrated in FIG. 5, to receive the panel 114. FIG. 4 illustrates two hangers 104 for every swingout arm 94, in which case two panels 114 are mounted on each swingout arm. Alternately, a single hanger 104 could be used with every swingout arm 94 in order to suspend a single panel 114, or more than two hangers 104 could be used to suspend a corresponding number of panels 114. The widths of the panels 114, of course, would differ depending upon how many are used. After a swingout arm 94 has received its entire complement of panels 114, the arm 94 is pivoted back inward toward shroud 44. Using the suspended panels 114 to shield himself during further installation, the technician then proceeds to hang panels 114 on the next swingout arm 94. The technician thus progresses around shroud 44, and receives shielding as he works from the panels 114 which have already been hung. Removal of panels 114 is accomplished in a manner substantially the reverse of the installation procedure described above. Turning next to FIG. 7, the edges of panels 114 overlap, as at overlap regions 128, in order to avoid "seams" through which radiation could escape. It will be apparent that such overlaps can be provided regardless of whether one, two, or more panels 114 are suspended from each swingout arm 94. Although panels 114 are preferred since they can be readily handled, guilted blankets of lead wool might alternately be employed as shielding members 112. Moreover, radiation attenuating materials other than lead can be used. FIG. 8 generally illustrates that permanent rail 92 is mounted between the shroud and stud tensioner hoist rail 70. FIG. 8 also illustrates that swingout arms 94 can be positioned to allow access to the interior of shroud 44 through doors 58 therein even when the shielding is in place. A technician within the shroud would not, of course, receive the benefit of the shielding. FIG. 9 illustrates an alternate way to mount the permanent rail of the shield support. This alternative mounting technique may be used with integrated head shrouds, such as shroud 44, but it is particularly useful for certain previous shroud designs wherein the shroud itself cannot be used to mount the permanent rail. In FIG. 9, which does not illustrate the swingout rails, permanent rail 130 is provided by rail segments 132. Three segments 132 are used, each being curved through a circular arc of substantially 120.degree.. Near one end of each segment 132 is a bore 134. The adjacent ends of segments 132 are joined by plates 136 and bolts 138. Thus assembled, rail 130 is positioned above the reactor so that bores 134 are aligned with the lift rods 48 (see FIG. 1). Rail 130 is then lowered down rods 48. Rail 130 is not lowered all the way to reactor head 38. Instead, a pair of sleeves 140 are positioned around the lower portion of each rod 48 and held together by a number of clamps 141. Each clamp 141 may include a U-shaped element 142, a bar 144, a nuts 146. Another alternative for mounting the shield support would be to suspend the permanent rail from the seismic support platform. Although not illustrated in the drawings, seismic support platforms are used with nuclear reactors to provide lateral support for the control rod drive mechanisms in the event of seismic disturbances. From the foregoing description it will be apparent that the present invention provides a shielding method and system for protecting technicians during refueling of a nuclear reactor. Shielding panels are hung in an overlapping manner from a shield support, which can be mounted on the shroud or elsewhere. The shield support includes swingout arms which facilitate transfer of the panels from the stud tensioner hoist to their installed positions. It will be understood that the above description of the present invention is susceptible to various modifications, changes, and adaptations, and the same are intended to be comprehended within the meaning and range of equivalents of the appended claims.
claims
1. A method of controlling a nuclear reactor shutdown system, the method comprising:detecting a fission rate within a core of a nuclear reactor with a sensor, the sensor providing an output signal corresponding to the fission rate;determining a measured flux signal from the output signal with a signal conditioning module, the measured flux signal corresponding to a percentage of a reactor power;calculating a first derivative of the measured flux signal with a rate module, the rate module outputting a rate signal corresponding to a percentage of reactor power per unit of time;calculating a flux rate difference signal based on the rate signal and a bias value;applying a gain to the flux rate difference signal to produce a rate-based signal component;summing the rate-based signal component and the measured flux signal to produce a rate-assisted flux signal corresponding to a percentage of a reactor power;comparing the rate-assisted flux signal to a trip setpoint with a comparator module, the comparator module generating a trip signal if the rate-assisted flux signal is greater than the trip setpoint; andintroducing one selected from a group consisting of a neutron-absorbing solution and neutron-absorbing rods into the core of the reactor in response to the trip signal being generated,wherein determining the measured flux signal includes determining a linear measured flux single from the linear output signal with the signal conditioning module, the linear measured flux signal corresponding to a linear percentage of a reactor power. 2. The method of claim 1, wherein the sensor includes an in-core flux detector. 3. The method of claim 1, further comprising filtering the rate signal with a noise filter module prior to biasing the rate signal. 4. The method of claim 3, wherein the act of filtering the rate signal is performed with a second order low pass noise filter. 5. The method of claim 1, wherein the trip signal is a first trip signal associated with a first shutdown system logic channel, and wherein introducing the neutron-absorbing rods into the core of the reactor occurs in response to at least the first trip signal and a second trip signal associated with a second shutdown system logic channel. 6. The method of claim 1, wherein the act of calculating a flux rate difference signal includes comparing the rate signal with a bias value. 7. The method of claim 1, wherein applying the gain to the flux rate difference signal includes applying the gain to the flux rate difference signal when the flux rate difference has a positive value. 8. The method of claim 1, wherein the gain is not applied when the flux rate difference signal has a negative value. 9. A shutdown system for a nuclear reactor having a reactor core, the system comprising:a sensor associated with the reactor core and operable to detect a fission rate within the core and generate an output signal related to the fission rate;a signal conditioning module operable to generate a measured flux signal based on the output signal, the measured flux signal corresponding to a percentage of a reactor power of the nuclear reactor;a shutdown system trip controller includinga rate module operable to generate a rate signal from the measured flux signal, the rate signal corresponding to a percentage of the reactor power of the nuclear reactor per unit of time;a comparator module operable to compare the rate signal with a bias value, the comparator module generating a flux rate difference signal based on the comparison;an amplifier module operable to apply a gain to the flux rate difference signal to produce a rate-based signal component, anda summing module operable to apply the rate-based signal component to the measured flux signal to produce a rate-assisted flux signal,wherein the comparator module is further operable to compare the rate-assisted flux signal to a trip setpoint, the comparator module generating a trip signal if the rate-assisted flux signal is greater than the trip setpoint; anda shutdown apparatus operable to absorb neutrons within the reactor core upon receipt of the trip signal,wherein the measured flux signal is a linear measured flux signal based on a linear output signal, the linear measured flux signal corresponding to linear percentage of reactor power of the nuclear reactor. 10. The shutdown system of claim 9, wherein the shutdown apparatus includes neutron-absorbing rods. 11. The shutdown system of claim 9, wherein the shutdown apparatus includes a neutron-absorbing solution. 12. The shutdown system of claim 9, wherein the sensor includes an in-core flux detector. 13. The shutdown system of claim 9, wherein the comparator module operable is further configured to determine whether the flux rate difference signal is positive. 14. The shutdown system of claim 9, wherein the rate module is operable to generate the rate signal by calculating a first derivative of the measured flux signal and wherein the shutdown system trip controller further includes a second-order, low pass filter for filtering noise in the rate signal. 15. A controller for producing a nuclear reactor shutdown system trip signal in response to a sensor signal, the controller comprising:a signal conditioning module receiving the sensor signal and outputting a measured flux signal;a rate module operable to generate a rate signal from the measured flux signal, the rate signal corresponding to a percentage of reactor power of the nuclear reactor per unit of time;a comparator module operable to compare the rate signal with a bias value, the comparator module generating a flux rate difference signal;an amplifier module operable to apply a gain to the flux rate difference signal to produce a rate-based signal component; anda summing module operable to apply the rate-based signal component to the measured flux signal to produce a rate-assisted flux signal;wherein the comparator module is further operable to compare the rate-assisted flux signal to a trip setpoint and generate a trip signal, andwherein one selected from a group consisting of a neutron-absorbing solution and neutron-absorbing rods is inserted into the core of the reactor in response to the trip signal being generated,wherein the measured flux signal is a linear measured flux signal. 16. The controller of claim 15, wherein the controller includes a micro-processor. 17. The controller of claim 15, wherein the controller includes a second-order, low pass filter operable to filter noise in the rate signal.
abstract
The present disclosure relates to a particle energy modulating device for variably changing the energy of the particles of a particle beam. The particle energy modulating device has a variable energy varying device with a control value correcting device for correcting a supplied control value. The control value correcting device corrects the supplied control values through the use of previously determined calibration data.
abstract
An ion implantation apparatus reciprocally scans an ion beam extracted from an ion source and passed through a mass analysis magnet apparatus and a mass analysis slit and irradiates to a wafer. The ion beam is converged and shaped by providing a first quadrupole vertical focusing electromagnet at a section of a beam line from an outlet of the mass analysis magnet apparatus before incidence of the mass analysis slit and providing a second quadrupole vertical focusing electromagnet having an effective magnetic field effect larger than that of the first quadrupole focusing electromagnet at a section of the beam line from an outlet of the mass analysis slit before incidence on the beam scanner.
description
The present disclosure relates generally to methods for eliminating thermal sleeves in a nuclear reactor and, more particularly, to methods for replacing thermal sleeves in a nuclear reactor with extension tubes attached directly to a control rod drive mechanism (CRDM) penetration housing of the nuclear reactor. In a nuclear reactor, thermal sleeves serve four purposes. The thermal sleeve protects the rod cluster control assembly (RCCA) drive rod from the fluid effects present in the reactor vessel head plenum (e.g., cross flow). The thermal sleeve facilitates hydraulic communication (flow to the CRDM) during RCCA insertion (control rod drop). The thermal sleeve provides alignment to the control drive rod for vessel head installation. The thermal sleeve also protects the head penetration and CRDM housing from thermal transients of the reactor coolant. Thermal sleeves include an outside diameter (OD) and an inside diameter (ID) and include flanges. Thermal sleeves are subject to wear at the flanges and the OD/ID. It has been observed that thermal sleeves are subject to wear between the upper head and the CRDM penetration housing in a nuclear reactor. This wear has been measured using laser metrology to determine the amount that a particular thermal sleeve has “dropped.” Thermal sleeve flange and OD/ID wear results in recurring maintenance costs. Thermal sleeve failure due to OD/ID wear requires costly repair before a return to power is possible. Wear predictions through Pressurized Water Reactor Owners Group (PWROG) programs can be used to identify which sleeves will need eventual intervention. Proactive elimination of the thermal sleeves can eliminate or greatly delay future thermal sleeve inspections for any type of wear. A method for removing a worn thermal sleeve and replacing it with a temporary “compressible thermal sleeve” has been developed. The method does not require removal of the CRDM motor assembly from the top side of the reactor head. The method, however, does not address the failure mechanism due to thermal sleeve wear and CRDM penetration housing. Thus, even a compressible thermal sleeve will most likely continue to wear along with the CRDM penetration housing. In response to operational experience of thermal sleeve wear at a number of nuclear plants there is a clear need for eliminating thermal sleeves used in nuclear reactors. Thermal sleeve flange and/or ID/OD wear have been identified during inspection of nuclear reactors. Moreover, there is a need for replacing the thermal sleeves with extension tubes attached directly to the CRDM penetration housing of the nuclear reactor. Accordingly, there is a strong and repeated need for permanent thermal sleeve replacement to remove the need for multiple, varied thermal sleeve inspections over time. In one aspect, the present disclosure provides a method for installing an extension tube in a nuclear reactor comprising a control rod drive mechanism (CRDM) housing with a threaded head penetration nozzle and a thermal sleeve disposed therein. The method comprises removing the thermal sleeve from the threaded head penetration nozzle and aligning an extension tube with the threaded end of the head penetration nozzle. The extension tube comprises a threaded end and non-threaded end, the threaded end sized and configured to threadably couple to the threaded head penetration nozzle. The method further comprises threading the threaded end of the extension tube to the threaded end of the threaded head penetration nozzle, torqueing the extension tube to the threaded end of the threaded head penetration nozzle, gauging the alignment of the extension tube relative to the threaded head penetration nozzle, installing retention fillet welds between the extension tube and the threaded end of the threaded head penetration nozzle, and installing a guide funnel to the non-threaded end of the extension tube. In one aspect, the present disclosure provides a method for installing an extension tube in a nuclear reactor comprising a control rod drive mechanism (CRDM) housing with a non-threaded head penetration nozzle and a thermal sleeve disposed therein. The method comprises machining the non-threaded CRDM housing, installing and aligning a threaded adapter to the machined end of the non-threaded CRDM housing, joining the threaded adapter to the machined end of the non-threaded CRDM housing, machining a bore defined by the non-threaded CRDM housing, machining a bore defined by the threaded adapter, machining an outside diameter of the joint between the machined end of the non-threaded CRDM housing and the threaded adapter, installing an extension tube to the threaded adapter, and installing retention fillets welds between the extension tube and the threaded adapter. In addition to the foregoing, various other method and/or system and/or program product aspects are set forth and described in the teachings such as text (e.g., claims and/or detailed description) and/or drawings of the present disclosure. The foregoing is a summary and thus may contain simplifications, generalizations, inclusions, and/or omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is NOT intended to be in any way limiting. Other aspects, features, and advantages of the devices and/or processes and/or other subject matter described herein will become apparent in the teachings set forth herein. In one or more various aspects, related systems include but are not limited to circuitry and/or programming for effecting herein-referenced method aspects; the circuitry and/or programming can be virtually any combination of hardware, software, and/or firmware configured to affect the herein-referenced method aspects depending upon the design choices of the system designer. In addition to the foregoing, various other method and/or system aspects are set forth and described in the teachings such as text (e.g., claims and/or detailed description) and/or drawings of the present disclosure. Further, it is understood that any one or more of the following-described forms, expressions of forms, examples, can be combined with any one or more of the other following-described forms, expressions of forms, and examples. The foregoing summary is illustrative only and is not intended to be in anyway limiting. In addition to the illustrative aspects, embodiments, and features described above, further aspects, embodiments, and features will become apparent by reference to the drawings and the following detailed description. This application is related to PCT/US2020/019116, filed on Feb. 20, 2020, titled ANTI-ROTATION ARRANGEMENTS FOR THERMAL SLEEVES, which is herein incorporated by reference in its entirety. Before explaining various aspects of methods for eliminating thermal sleeves in nuclear reactors, or more particularly, methods for replacing the thermal sleeves with extension tubes which attach directly to control rod drive mechanism (CRDM) penetration housings of the nuclear reactor in detail, it should be noted that the illustrative aspects are not limited in application or use to the details of construction and arrangement of parts illustrated in the accompanying drawings and description. The illustrative aspects may be implemented or incorporated in other aspects, variations and modifications, and may be practiced or carried out in various ways. Further, unless otherwise indicated, the terms and expressions utilized herein have been chosen for the purpose of describing the illustrative aspects for the convenience of the reader and are not for the purpose of limitation thereof. Further, it is understood that any one or more of the following-described forms, expressions of forms, examples, can be combined with any one or more of the other following-described forms, expressions of forms, and examples. In one aspect, the present disclosure is directed, as stated above, to methods for eliminating thermal sleeves in nuclear reactors. In another aspect, the present disclosure is directed to methods for replacing the thermal sleeves with extension tubes which attach directly to CRDM penetration housings of the nuclear reactor. In one aspect, the thermal sleeve can be removed from underneath the reactor vessel closure head (RVCH) using exiting equipment and processes for thermal sleeve removal. An extension tube, which attaches directly to the CRDM penetration housing, is installed. In accordance with one aspect, there are two main components required to eliminate a thermal sleeve. First is the extension tube and second is the upper guide sleeve. The purpose of the upper guide sleeve is to provide the final guidance for the drive rod into the CRDM through the latch stop plate. Generally speaking, there are two styles of CRDM penetration housings—threaded and non-threaded. Threaded penetrations have a 3¾″-8 UN-2A thread. Non-threaded penetrations have a bare tubular end with radii on both the OD and ID to face transitions. For threaded penetrations, an extension tube may be manufactured to a specific length which would set a funnel height at the same elevation as existing thermal sleeves when threaded on and tightened. For non-threaded penetrations, a penetration nozzle may be welded on to the penetration which would then provide the proper male thread for attaching the extension tube. A special Compressible Guide Sleeve (CGS) has been designed to provide the same functions that the guide sleeve does on replacement RVCHs and AP1000 pressurized water reactors, for example. The CGS can be installed from underneath the RVCH, along with the extension tube. The present disclosure provides a new and innovative process of retrofitting an extension tube onto an in-service RVCH. Thermal sleeves that exhibit flange and/or ID/OD wear are suitable candidates for elimination and replacement with extension tubes to eliminate recurring maintenance costs and failures which require costly repairs before a return to power is possible. Wear predictions through PWROG programs can be used to identify which thermal sleeves will need eventual intervention. Proactive elimination combined with engineering justification can eliminate or greatly delay future thermal sleeve inspections for a many types of wear. FIG. 1 is a schematic cross-sectional view of an upper portion of a conventional nuclear reactor 2 illustrating a portion of a reactor vessel 4 penetrated by a plurality of head penetration nozzles 6 which extend downward from a CRDM housing 8. FIG. 2 is a schematic cross-sectional view of a conventional reactor vessel head penetration illustrating a CRDM housing 8, a head penetration nozzle 6, and a thermal sleeve 10. Continuing to refer to FIG. 1, as well as to the sectional view of FIG. 2, a thermal sleeve 10 including a guide funnel 12 is positioned within each head penetration nozzle 6 beneath each CRDM housing 8 such that each guide funnel 12 is positioned directly above, and spaced a distance from, a corresponding guide tube 14 extending from an upper support plate 16 within reactor vessel 4. The thermal sleeve 10 is housed within the head penetration nozzle 6 within the reactor vessel 4 except within region 15 (FIG. 2) where the thermal sleeve 10 is exposed to the reactor coolant. The current belief is that wear of thermal sleeve 10 and head penetration nozzle 6 in region 13 illustrated in FIGS. 1 and 2 results from rotation of the thermal sleeve 10 within the head penetration nozzle 6 about a central axis 18 of the thermal sleeve 10. It is believed that vortices in the reactor coolant flowing within the reactor vessel 4 come into contact with the thermal sleeve 10 (i.e., in region 15) causing the thermal sleeve 10 to rotate about its central axis 18 relative to the head penetration nozzle 6. The present disclosure provides methods for eliminating thermal sleeves 10 in a nuclear reactor 2 and, more particularly, methods for replacing thermal sleeves 10 in a nuclear reactor 2 with extension tubes attached directly to a CRDM penetration housing 6 of the nuclear reactor 2. These methods squarely fulfill the strong and repeated need for permanent thermal sleeve 10 replacement to remove the need for multiple, varied thermal sleeve 10 inspections over time. FIG. 3 is a section view 100 of a thermal sleeve 110 and a CDRM housing 108 in an un-worn condition. The thermal sleeve 110 includes a flange 112 defines an outside diameter 114 (OD) and an inside diameter 116 (ID) that are subject to wear. The thermal sleeve 110 is subject to wear between the upper head and the CRDM penetration housing 108 in a nuclear reactor. FIG. 4 is a section view 120 of the thermal sleeve 110 and the CDRM housing 108 in a substantially worn condition. As discussed above, the thermal sleeve 110 shows substantial wear at the flange 112 and the OD 114 and ID 116. This wear my be manifested by a drop of the thermal sleeve 110. FIG. 5 is a section view 140 of the thermal sleeve 110 and the CDRM housing in a worn condition to the point of thermal sleeve 110 separation. As shown, the thermal sleeve 110 has developed a crack 118 resulting in the separation of the thermal sleeve 110. The section view 140 also shows additional wear of the flange 112, OD 114, and ID 116 relative to the section view 120 shown in FIG. 4. With reference to FIGS. 1-5, extension tubes can be retrofitted in a variety of reactor vessel 4 (FIG. 1) heads which currently have thermal sleeves 10 (FIGS. 1-2), 110 (FIGS. 3-5) installed. Typical CRDM penetration designs have either “threaded” or “non-threaded” ends protruding through the reactor vessel 4 head. With reference also to FIG. 6, an extension tube 200 that can be installed in the nuclear reactor 4 in place of thermal sleeves 10, 110 is shown. The extension tube 200 comprises a substantially cylindrical body 202 and a threaded end 204 that would protrude through the reactor vessel 4 head once installed and threadably couple to threaded penetration nozzle. FIG. 7 illustrates an extension tube 240 that can be installed in the nuclear reactor 4 in place of thermal sleeves 10, 110. The extension tube 240 comprises a substantially cylindrical body 242 and a non-threaded end 244 that would protrude through the reactor vessel 4 head once installed and couple to a non-threaded penetration nozzle by a suitable weld, for example. FIG. 8 is a schematic cross-sectional view of an upper portion of a conventional nuclear reactor 302 illustrating a portion of a reactor vessel head 304 penetrated by a plurality of head penetration nozzles 306 which extend downward from a CRDM housing 308. An extension tube 310 is coupled to the distal end 314 of the head penetration nozzle 306. The distal end 316 of the extension tube includes a guide funnel 312. The head penetration nozzles 306 include a compressible guide sleeve 318. A threaded penetration adapter 320 is coupled between the extension tube 310 and the head penetration nozzle 306. In one aspect, the threaded penetration adapter 320 is employed for non-threaded penetration nozzles 306 in order to facilitate the installation of the extension tube 310 on a non-threaded head penetration nozzle 306. The threaded penetration adapter 320 is welded to the end of the non-threaded head penetration nozzle 306. Compressible guide sleeves 318 are further described in commonly owned patent application number PCT/US2019/015797, filed Jan. 30, 2019, titled THERMAL SLEEVE, which is herein incorporated by reference in its entirety. FIG. 9 is a section view of the threaded penetration adapter 320 coupled between the extension tube 310 and the head penetration nozzle 306. The threaded penetration adapter 320 includes a body 322 with an upper end adapted and configured to couple to the non-threaded end of the head penetration nozzle 306 and a lower end adapted and configured to couple to the extension tube 310. In one aspect, the upper end of the body 322 of the threaded penetration adapter 320 may be welded to the non-threaded head penetration nozzle 306 at connection 324 and the lower end of the threaded adapter body 322 may be welded to the extension tube 310 at connection 326. In one aspect, the connection 326 is a bimetallic weld in the extension tube 310 to transition to steel. In various aspects, the threaded penetration adapter 320 may include threads to threadably couple to the non-threaded head penetration nozzle 306 and/or the extension tube 310, for example. FIG. 10 is a section view of a head penetration nozzle 306 with the extension tube 310. The head penetration nozzle 306 extends downward from a latch housing 336 and penetrates the reactor vessel head 304. The latch housing 336 contains a CRDM motor 330 and a compressible guide sleeve 332. The latch housing 336 is coupled to the head penetration nozzle 306 via a bimetallic weld 334. The head penetration nozzle 306 is coupled to an extension tube 310 within the reactor vessel head 304 through a threaded penetration adapter 320. The extension tube 310 is coupled to a guide funnel 312. As shown in FIG. 10, the thermal sleeve in the head penetration nozzle 306 has been replaced by the extension tube 310. FIG. 11 is a section view of the head penetration nozzle 306 located through the reactor vessel head 304. The end of the head penetration nozzle outside the reactor vessel head 304 comprises a CRDM head adapter 337. The head penetration nozzle 306 defines a space 338, which normally contains a thermal sleeve that is notably missing. FIG. 12 is a section view of the head penetration nozzle 306 with the extension tube 310. The head penetration nozzle 306 is coupled to the extension tube 310 via an optional threaded penetration adapter 320. The compressible guide sleeve 332, shown in detail in FIG. 14, is normally contained within a space 340 defined by the head penetration nozzle 306. FIG. 13 is a perspective view of a compressible guide sleeve 332, which is received in the space 340 defined by the head penetration nozzle 306, as shown in FIG. 12. The compressible guide sleeve 332 comprises a three-leaf compressible flex section 342 for compressibility and stiffness. In various aspects, the compressible guide sleeve may comprise at least two and more than three compressible sleeves. Each of the leaf compressible flex sections 342 includes a flange 344 that is positioned within the CRDM housing 308. The compressible guide sleeve 332 is installed into the CRDM penetration to facilitate drive rod guidance into the latch housing 336 (FIG. 10). The bottom end of the compressible guide sleeve 332 comprises an alignment feature 346. Existing thermal sleeve elimination modifications in new RVCHs employ a smaller guide sleeve similar to a truncated thermal sleeve. The purpose of the guide sleeve is to provide guidance for the drive rod into the CRDM latch assembly. The compressible guide sleeve 332 accomplishes the same function as the guide sleeve and is installed from underneath the reactor vessel head 304 (FIGS. 8-12), after the extension tube 310 has been attached to the head penetration nozzle 306. While the design of the compressible guide sleeve 332 allows for it to be flexible enough to be installed through the CRDM penetration, it is also stiff/rigid enough that it requires a specialized fixture to compress the compressible guide sleeve 332 prior to installation. This stiffness is sufficient for it to remain in place during all of a nuclear plant's design basis conditions. The entire extension tube 310 installation process occurs underneath the RVCH and requires no modifications or removals of the CRDM and requires no modifications to the upper internal components. Under-the-head installation processes are known and have been developed by the owner of the present application. The replacement of the thermal sleeve with the entire extension tube 310 eliminates all future thermal sleeve wear at the installation location. The extension tube 310 requires no inspections for wear throughout its life. FIG. 14 illustrates an extension tube 310 with a guide funnel 312 and a threaded penetration adapter 320. In one aspect, the guide funnel 312 is collapsible and is configured to fail before CRDM or fuel damage can occur in the event of misalignment during head installation. In one aspect, the threaded penetration adapter 320 includes a threaded end with female threads 348 to threadably couple to male threads 349 (FIG. 17) of the head penetration nozzle 306 (FIGS. 8-13). During the thermal sleeve replacement process, retention fillet welds 352 are provided between the threaded penetration adapter 320 and the head penetration nozzle 306 to stabilize the connection. The threaded penetration adapter 320 is coupled to the extension tube 310 by a weld 354. The extension tube 310 is coupled to the guide funnel 312 by retention fillet welds 356. FIG. 15 is a process 400 for removing a thermal sleeve in need of removal. The process 400 will now be described with reference to FIGS. 1-5 and 15. The thermal sleeve 10 (FIGS. 1-2), 110 (FIGS. 3-5) in need of removal is identified 402. The ID of the thermal sleeve 10, 110 is flapped and cleaned 404. The electrical discharging machining (EDM) head is installed 406 on one section of the thermal sleeve 10, 110 and the a series of cuts is performed. Once the series of cuts is completed, the thermal sleeve 10, 110 is removed 408 and the head penetration nozzle 306 is cleaned and inspected 410. The thermal sleeve 10, 110 removal is now complete 412. FIG. 16 is a process 440 for installing a threaded extension tube. The process 400 will now be described with reference to FIGS. 1-5, 8-14, and 16. Once the thermal sleeve 10, 110 is removed 442 the threaded extension tube 310 is installed 444. The extension tube 310 is torqued 446 to the head penetration nozzle 306 using a torque tool. The alignment of the extension tube 310 is gauged 448. Retention fillet welds 352 are installed 450. A compressible guide sleeve 332 is installed 452 and the alignment of the extension tube 310 is finally gauged 454. The installation is now complete and the extension tube 310 is in its final installed arrangement 456. Details of the extension tube installation process 440 will now be described in more detail. Still with reference to FIG. 16, FIGS. 17 and 18 illustrate the process step of installing 444 the threaded extension tube 310. As shown in FIG. 17, the extension tube 310 comprising a threaded penetration adapter 320 is aligned with a threaded head penetration nozzle 306 extending through the reactor vessel head 304. The threaded head penetration nozzle 306 comprises a threaded end 307 with male threads 349 configured to threadably couple the female threads 348 of the threaded end of the threaded penetration adapter 320. As previously discussed, the threaded penetration adapter 320 is coupled to the extension tube 310 by a weld 354. As shown in FIG. 18, the female threads 348 of the threaded end of the threaded penetration adapter 320 is threadably coupled to the male threads 349 of the threaded end 307 of the threaded penetration adapter 320. Still with reference to FIGS. 16-18, FIGS. 19 and 20 illustrate torqueing 446 the extension tube 310 to the head penetration nozzle 306 using a torque tool 350. Still with reference to FIGS. 16-20, FIGS. 21-23 illustrates the process of gauging 448 the extension tube 310 alignment after it has been properly torqued 446 to the head penetration nozzle 306, where FIG. 21 is a section view 520 of an extension tube 310 alignment gauging test, FIG. 22 is a perspective view of a gauge 522 used in the gauging 448 process, and FIG. 23 is a section view of the alignment of a drive rod 530 relative to the extension tube 310. The gauge 522 is inserted into the guide funnel 312, through the extension tube 310, the head penetration nozzle 306, and into the CRDM housing 308. The gauge 522 is rotated to fit into the guide funnel 312. The alignment of the extension tube 310 is measured relative to a nominal centerline with a maximum offset permitted from the nominal centerline. Datum A is measured at a point along the extension tube 310 and at a first radial offset 524 extending radially from the gauge 522 located at the end of the extension tube 310 near the guide tube 312, a second radial offset 526 located within the head penetration nozzle 306 just outside the reactor vessel head 304, and a third radial offset 528 located inside the CRDM housing 308. The amount of shift relative to datum A is determined at each radial offset 524, 256, 528 location. In FIG. 23, the alignment of a drive rod 532 is shown relative to the inlet of the guide funnel 312. Once the gauging 448 of the extension tube 310 alignment, the retention fillet welds 352 are installed 450. Still with reference to FIGS. 16-23, FIG. 24 illustrates the retention fillet welds 352 installed 450 between the threaded end 307 of the head penetration nozzle 306 and the threaded penetration adapter 320 coupled to the extension tube 310 by a weld 354. Following the installation 450 of the retention fillet welds 352, the compressible guide sleeve 332 is installed 452. Still with reference to FIGS. 16-24, FIGS. 25-29 illustrate the process of installing 452 the compressible guide sleeve 332. As shown in FIG. 25, the three-leaf compressible flex sections 342 of the compressible guide sleeve 332 are compressed to contract the flanges 344 of the compressible flex sections 342 to a size suitable for introducing into the guide funnel 312. FIG. 26 illustrates a compression tool 534 that may be employed to compress the compressible guide sleeve 332 prior to inserting the compressible guide sleeve 332 into the guide nozzle 312. FIG. 27 illustrates the compressible guide sleeve 332 in its compressed configuration inserted through the head penetration nozzle 306 and the CRDM head adapter 337 such that the flanges 344 of the compressible flex sections 342 are positioned just above a counterbore ledge 536 defined within the CRDM head adapter 337 section of the head penetration nozzle 306. In FIG. 28 the compressible flex sections 342 of the compressible guide sleeve 332 are released such that the flanges 344 of the compressible flex sections 342 engage the counterbore ledge 536 defined within the CRDM head adapter 337 section of the head penetration nozzle 306. The counterbore ledge 536 retains the compressible guide sleeve 332 within the CRDM head adapter 337 section of the head penetration nozzle 306. FIG. 29 illustrates the compressible guide sleeve 332 in its final installed state. A final gauging 454 of the extension tube 310 can now be performed. Still with reference to FIGS. 16-29, FIGS. 30-33 illustrate the final installed arrangement 456 of the extension tube 310. FIG. 30 is a section view of the reactor vessel head 304 illustrating the extension tube 310 coupled to the head penetration nozzle 306 installed inside the reactor vessel head 304. FIG. 31 is a detailed view of the installed extension tube 310 coupled to the head penetration nozzle 306 showing the extension tube retention welds 352. FIG. 32 is a section view of the extension tube 310 coupled to the head penetration nozzle 306 installed inside the reactor vessel head 304. FIG. 33 is an elevation view of the extension tube 310 coupled to the head penetration nozzle 306 installed inside the reactor vessel head 304. FIG. 34 illustrates a head penetration nozzle 306 with threads 552 that are not usable, due to wear, damage, or sizing mismatch. FIG. 35 is a section view of the head penetration 306 nozzle shown in FIG. 34. With reference now to FIGS. 17, 34, and 35, if the male threads 349 on the threaded end 307 of the CRDM head penetration nozzle 306 are not usable, due to wear, damage, or sizing mismatch, in one aspect, a threaded adapter 550 may be employed as a contingency. The threaded adapter 550 is welded 554 below the male threads 349 of the head penetration nozzle 310. The threaded adapter 550 includes male threads 552 suitable for threadably coupling the female thread 348 on the threaded adapter 320 of the extension tube 310. The installation of an extension tube 310 in the field becomes more complicated at nuclear plants without CRDM housings comprising threaded head penetration nozzles 306. Additional field machining would be required to prepare the non-threaded CRDM housing for welding, as well as perform post-welding cleanup. Design of the extension tube 310 remains common between threaded and non-threaded CRDM housings. A process for installing an extension tube 310 on a non-threaded CRDM housing is described hereinbelow. FIG. 36 is a process 600 for installing an extension tube on a non-threaded CRDM housings. With reference also to FIGS. 37-39, the process 600 begins by machining 602 a non-threaded CRDM housing 650. In other words, the CRDM housing 650 does not include a threaded head penetration nozzle with a threaded end 307 with male threads 349 as described with reference to FIGS. 3-35. FIG. 37 is a section view of a non-threaded CRDM housing 650 before machining. The machining 602 step involves preparing the face 652 (FIG. 37, pre-machining) of the non-threaded CRDM housing 650 geometry for machine welding and turning-back the ID bore 654 of the non-threaded CRDM housing 650. FIG. 38 is a section view of the non-threaded CRDM housing 650 after machining 602. FIG. 39 is a detail view of the section view of the non-threaded CRDM housing shown in FIG. 38. As shown in FIGS. 38 and 39, the face 656 (post-machining) of the non-threaded CRDM housing 650 is machined back to remove the radii and install the prep weld. FIG. 39 shows a detailed view of the machined face 656 of the non-threaded CRDM housing 650. FIG. 41 illustrates a gap 668 defined between the machined end face 656 of the non-threaded CRDM housing 650 and the non-threaded end 654 of the threaded adapter 660. With continued reference to FIGS. 36-39 and with reference also to FIGS. 14 and 40-41, the next step in the process 600 is installing and aligning 604 a threaded adapter 660 to the machined non-threaded CRDM housing 650. As shown in FIG. 40, the threaded adapter 660 comprises male threads 662 sized and configured to receive the female threads 348 of the extension tube 310 (See FIG. 14, for example) and a non-threaded end 664 configured to abut the machined end face 656 of the non-threaded CRDM housing 650. The threaded adapter 660 also defines a bore 670. As shown in FIG. 41, a gap 668 is defined between the machined end face 656 of the non-threaded CRDM housing 650 and the non-threaded end of the threaded adapter 660. FIG. 42 illustrates the threaded adapter 660 joined to the non-threaded CRDM housing 650. With continued reference to FIGS. 36-41, and with reference also to FIG. 42, the next step in the process 600 is joining 606 the threaded adapter 660 to the non-threaded CRDM housing 650. In one aspect, the threaded adapter 660 is joined 606 to the CRDM housing by the a penetration welding technique to form a joint 672. In one aspect, the threaded adapter 660 may be joined 606 to the non-threaded CRDM housing 650 by a full penetration weld that joins 606 the threaded adapter 660 to the non-threaded CRDM housing 650 with no gaps in between the filler material and the roots of the joint 672. In one aspect, the threaded adapter 660 may be welded to the non-threaded CRDM housing 650 may be performed using a specialized semi-automatic gas tungsten arc welding (GTAW) weld head, for example. FIG. 43 illustrates machined bores 654, 670 defined by the non-threaded CRDM housing and the threaded adapter. With continued reference to FIGS. 36-42, and with reference also to FIG. 43, the next step in the process 600 is machining 608 the bore 670 defined by the threaded adapter 660 and/or the bore 654 defined by the non-threaded CRDM housing 650. This step removes an integral backing ring/alignment ring. FIG. 44 illustrates a machined/ground OD 674 of the joint 672. With continued reference to FIGS. 36-43, and with reference also to FIG. 44, the next step in the process 600 is machining/grinding 610 the OD 674 of the joint 672, such as the penetration weld cap, for inspections. FIGS. 45 and 46 show the threaded adapter 660 attached to the non-threaded CRDM housing 650 installed below the reactor vessel head 304 and ready to receive the extension tube 310. FIG. 47 illustrates the threaded adapter 320 of the extension tube 310 installed on the threaded adapter attached to the non-threaded CRDM housing from below the reactor vessel head 304. With continued reference to FIGS. 36-46, and with reference also to FIGS. 14 and 47, the next step in the process 600 is installing the threaded adapter 320 of the extension tube 310 on the threaded adapter 660 attached to the non-threaded CRDM housing from below the reactor vessel head 304. This step includes threading and torqueing the extension tube 310 on the threaded adapter 660 in manner similar to that described above with reference to 17-20. FIG. 48 illustrates retention fillet welds 676 between the threaded adapter 320 of the extension tube 310 and the threaded adapter 660 of the non-threaded CRDM 650. With continued reference to FIGS. 36-47, and with reference also to FIGS. 14 and 48, the next step in the process 600 is installing 614 retention fillet welds 676. The process 600 may comprise installing a guide funnel 312 as described above with reference to 8-14, for example. The process 600 may further comprise gauging the alignment of the extension tube using the same process described above with reference to FIGS. 21-23, for example. The process 600 may further comprise installing a compressible guide sleeve 332 using the same process described above with reference to FIGS. 25-29, for example. Although certain aspects have been illustrated and described herein for purposes of description, a wide variety of alternate and/or equivalent aspects or implementations calculated to achieve the same purposes may be substituted for the aspects shown and described without departing from the scope of the present disclosure. This application is intended to cover any adaptations or variations of the embodiments discussed herein. Examples of the methods and/or systems of various aspects of the present disclosure are provided below. An aspect of the methods and/or systems may include any one or more than one, and any combination of, the examples described below. A method for installing an extension tube in a nuclear reactor comprising a control rod drive mechanism (CRDM) housing with a threaded head penetration nozzle and a thermal sleeve disposed therein. The method comprises removing the thermal sleeve from the threaded head penetration nozzle and aligning an extension tube with the threaded end of the head penetration nozzle. The extension tube comprises a threaded end and non-threaded end, the threaded end sized and configured to threadably couple to the threaded head penetration nozzle. The method further comprises threading the threaded end of the extension tube to the threaded end of the threaded head penetration nozzle, torqueing the extension tube to the threaded end of the threaded head penetration nozzle, gauging the alignment of the extension tube relative to the threaded head penetration nozzle, installing retention fillet welds between the extension tube and the threaded end of the threaded head penetration nozzle, and installing a guide funnel to the non-threaded end of the extension tube. The method of Example 1, comprising installing a compressible guide sleeve to the CRDM housing. The method of Example 2, wherein installing the compressible guide sleeve to the CRDM housing comprises compressing the compressible guide sleeve, and inserting the compressed guide sleeve into the guide funnel, through the extension tube, the head penetration nozzle, and the CRDM housing. Installing the compressible guide sleeve to the CRDM housing further comprises releasing the compression of the compressible guide sleeve to retainably couple to the CRDM housing. The method of any one of Examples 2-3, wherein the compressible guide sleeve comprises a multiple leaf compressible flex sections, wherein each of the multiple leaf compressible flex sections comprises a flange. The method comprises compressing the multiple leaf compressible flex sections to contract the flanges prior to inserting the compressed guide sleeve into the guide funnel, and releasing the compression of the compressible guide sleeve after insertion into the CRDM housing to release the flanges to engage a counterbore ledge defined by the CRDM housing. The method of any one of Examples 1-4, comprising performing a final gauging of the alignment of the extension tube. The method of any one of Examples 2-5, wherein the compressible guide sleeve is inserted into the guide funnel from a position below the reactor vessel head. The method of any one of Examples 1-6, wherein the extension tube is installed from a position below the reactor vessel head. The method of any one of Examples 1-7, wherein prior to aligning the extension tube with the threaded end of the head penetration nozzle and threading the threaded end of the extension tube to the threaded end of the threaded head penetration nozzle, the method comprises joining a threaded adapter to the threaded end of the threaded head penetration nozzle, wherein the threaded adapter comprises male threads sized and configured to threadably couple to the threaded end of the extension tube. A method for installing an extension tube in a nuclear reactor comprising a control rod drive mechanism (CRDM) housing with a non-threaded head penetration nozzle and a thermal sleeve disposed therein. The method comprises machining the non-threaded CRDM housing, installing and aligning a threaded adapter to the machined end of the non-threaded CRDM housing, joining the threaded adapter to the machined end of the non-threaded CRDM housing, machining a bore defined by the non-threaded CRDM housing, machining a bore defined by the threaded adapter, machining an outside diameter of the joint between the machined end of the non-threaded CRDM housing and the threaded adapter, installing an extension tube to the threaded adapter, and installing retention fillets welds between the extension tube and the threaded adapter. The method of Example 9, wherein machining the non-threaded CRDM housing comprises preparing a face of the non-threaded CRDM housing, and turning-back an inside diameter of the bore defined by the non-threaded CRDM housing. The method of any one of Examples 9-10, wherein joining the threaded adapter to the machined end of the non-threaded CRDM housing comprises performing a penetration welding technique to form the joint. The method of any one of Examples 9-11, wherein joining the threaded adapter to the machined end of the non-threaded CRDM housing comprises performing a full penetration welding technique to form the joint. The method of any one of Examples 9-12, wherein installing an extension tube to the threaded adapter comprises threading the extension tube on the threaded adapter, and torqueing the extension tube on the threaded adapter. The method of any one of Examples 9-13, comprising gauging the alignment of the extension tube relative to the threaded head penetration nozzle. The method of any one of Examples 9-14, comprising installing a guide funnel to the non-threaded end of the extension tube. The method of any one of Examples 9-15, comprising installing a compressible guide sleeve to the non-threaded CRDM housing. The method of Example 16, wherein installing the compressible guide sleeve to the non-threaded CRDM housing comprises compressing the compressible guide sleeve, and inserting the compressed guide sleeve through the extension tube, the head penetration nozzle, and the non-threaded CRDM housing. Installing the compressible guide sleeve to the non-threaded CRDM housing further comprises releasing the compression of the compressible guide sleeve to retainably couple to the non-threaded CRDM housing. The method of any one of Examples 16-17, wherein the compressible guide sleeve comprises a multiple leaf compressible flex sections, wherein each of the multiple leaf compressible flex sections comprises a flange. The method comprises compressing the multiple leaf compressible flex sections to contract the flanges prior to inserting the compressed guide sleeve into the extension tube, and releasing the compression of the compressible guide sleeve after insertion into the non-threaded CRDM housing to release the flanges to engage a counterbore ledge defined by the non-threaded CRDM housing. The method of any one of Examples 9-18, comprising performing a final gauging of the alignment of the extension tube. The method of any one of Examples 16-19, wherein the compressible guide sleeve is inserted into the guide funnel from a position below the reactor vessel head. The method of any one of Examples 9-20, wherein the extension tube is installed from a position below the reactor vessel head.