patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
claims
1. An EUV vessel for an extreme ultra violet (EUV) radiation source apparatus, comprising:an EUV collector mirror body on which a reflective layer as a reflective surface is disposed; anda trajectory correcting device attached to or embedded in the EUV collector mirror body,wherein the trajectory correcting device is configured to adjust a trajectory of metal ions towards an opposite side of the EUV vessel away from the EUV collector mirror body, andwherein the trajectory correcting device includes a pulse timing module coupled to a first charging point at the EUV collector mirror body. 2. The EUV vessel of claim 1, wherein a conduit connects the first charging point at the EUV collector mirror body and the pulse timing module. 3. The EUV vessel of claim 1, wherein the first charging point is configured to positively bias the collector mirror body by applying a voltage in a range from 1 V to 50 V to the collector mirror body. 4. The EUV vessel of claim 1, wherein the pulse timing module is configured to provide an amplitude modulation of the pulse. 5. The EUV vessel of claim 1, wherein the pulse timing module is configured to provide a frequency modulation of the pulse. 6. The EUV vessel of claim 1, wherein the pulse timing module is configured to provide an electric field to cause the metal ions to be redistributed in a direction away from the EUV collector mirror body. 7. The EUV vessel of claim 1, wherein the trajectory correcting device includes a pulse timing module coupled to a second charging point at a lower cone of the EUV vessel, the lower cone being opposite from the collector and adjacent to exhaust ports. 8. A method of preventing contamination of a collector of an extreme ultraviolet (EUV) radiation source, the method comprising:providing an EUV collector mirror body on which a reflective layer as a reflective surface is disposed; andproviding a trajectory correcting device attached to or embedded in the EUV collector mirror body; andapplying an electric field to an EUV collector mirror body to adjust a trajectory of metal debris towards an opposite side of the EUV radiation source away from the EUV collector mirror body,wherein the trajectory correcting device includes a pulse timing module coupled to a first charging point at a lower cone of an EUV vessel, the lower cone being opposite from the collector and adjacent to exhaust ports. 9. The method of claim 8, wherein the first charging point at the EUV collector mirror body is connected to the pulse timing module by a conduit. 10. The method of claim 8, further comprising positively biasing the collector mirror body by applying a voltage in a range from 1 V to 50 V to the EUV collector mirror body. 11. The method of claim 8, further comprising modulating an amplitude of voltage pulses using the pulse timing module. 12. The method of claim 8, further comprising modulating a frequency of voltage pulses using the pulse timing module. 13. The method of claim 8, wherein the pulse timing module provides the electric field to the EUV collector mirror body. 14. The method of claim 8, wherein the trajectory correcting device includes a pulse timing module coupled to a second charging point at the EUV collector mirror body. 15. An extreme ultraviolet (EUV) radiation source, comprising:a chamber enclosing an EUV vessel,the EUV vessel comprising:a collector mirror configured to reflect EUV radiation;a debris collection mechanism disposed over the collector mirror;a lower cone disposed over the debris collection mechanism;at least one first charging point attached to the collector mirror;a second charging point attached to the lower cone; anda pulse timing module and a DC bias circuit coupled to the first charging point and the second charging point. 16. The EUV radiation source of claim 15, wherein the pulse timing module, DC bias circuit, and the first charging point are configured to positively bias the collector mirror by applying a voltage in a range from 1 V to 50 V to the collector mirror. 17. The EUV radiation source of claim 16, wherein the pulse timing module modulates a frequency and amplitude of the modulation of a DC pulse applied to the collector mirror. 18. The EUV radiation source of claim 16, wherein the at least one first charging point is attached to the collector mirror adjacent to vanes of the debris collection mechanism. 19. The EUV radiation source of claim 16, further comprising isolated electrode regions on a rear side of an EUV collector mirror. 20. The EUV radiation source of claim 19, wherein the isolated electrode regions are separated by electrically insulating regions.
summary
description
The present invention will be described in detail in conjunction with what are presently considered preferred or typical embodiments thereof with reference to the accompanying drawings. In the following description like reference characters designate like or corresponding parts throughout the several views. Now, description will be made of the fuel assembly according to a first embodiment of the present invention with reference to FIGS. 1 to 3. The fuel assembly according to the embodiment of the invention is comprised of a lower nozzle 2 disposed on a lower core plate 1, an upper nozzle 4 having hold-down springs 3 for pressing and holding down the lower nozzle 2 against the lower core plate 1, a plurality of control rod guide thimbles 5 for guiding control rods extending through the upper nozzle 4 toward the lower core plate 1, a plurality of support grids 6 mounted onto the control rod guide thimbles 5, and a number of fuel rods 7 held in parallel with the control rod guide thimbles 5 by the support grids 6. The lower nozzle 2 is constituted by a plate portion 2a formed in a square shape, and having four leg portions 2b formed on the bottom surface at four corners thereof respectively. A number of coolant flow holes are opened in the plate portion 2a of the lower nozzle 2. Additionally a number of thimble mounting holes normally corresponding to the number of the control rod guide thimbles 5 are opened in the plate portion 2a. In each of the thimble mounting holes, a thimble mounting bolt 8 (see FIG. 2) is inserted from the bottom side of the lower nozzle 2. Lower end portions of the control rod guide thimbles 5 are secured onto the top surface of the lower nozzle 2 by means of these thimble mounting bolts 8. The upper nozzle 4 is formed as a box-like structure having a central recess formed in a top cover portion thereof, wherein a plurality of control rod receiving through-holes 9 are formed in the upper nozzle 4 (see FIG. 3). These control rod receiving through-holes 9 are provided in correspondence to the control rod guide thimbles 5, respectively, wherein a connecting pipe 10 is welded to each of the control rod receiving through-holes 9 for connecting the top end portion of the control rod guide thimble 5 to the upper nozzle 4. The connecting pipe 10 has an inner diameter slightly greater than the outer diameter of the control rod guide thimble 5. The control rod guide thimble 5 and the connecting pipe 10 are joined together by a bulging process. Each of the supporting grids 6 comprises a frame with a square shape, and a number of metal plates assembled together inside of the square frame, wherein a plurality of sleeves 11 are secured to the metal plates by welding. The sleeves 11 are provided for mounting each of the supporting grids 6 to the control rod guide thimbles 5, wherein the associated control rod guide thimble 5 and sleeve 11 are joined together by a bulging process. The control rod guide thimbles 5 are formed in the shape of a straight tube, wherein a lower end portion of each control rod guide thimble 5 is provided with a dashpot 12. The dashpot 12 is designed to dampen an impact force applied to the upper nozzle 4 by reducing the falling speed of the control rod upon detachment thereof from the control rod driving unit. With xe2x80x9cLxe2x80x9d representing the length of the control rod guide thimble 5, the dashpot 12 has a length ranging from 0.16 L to 0.18 L. Further, a large diameter section 13a is formed at a lower portion of each dashpot 12, while the upper portion of each dashpot 12 is formed as a small diameter section 13b. The outer diameter of the large diameter section 13a is dimensioned to be approximately equal to that of the control rod guide thimble 5. The length of the dashpot 12, exclusive of the large diameter section 13a, i.e., the effective length S of the small diameter section 13b, is so selected as to fall within a range of from 0.03 L to 0.1 L, preferably within a range of from 0.04 L to 0.06 L, wherein xe2x80x9cLxe2x80x9d represents the entire length of the control rod guide thimble 5. Consequently, the length Sxe2x80x2 of the large diameter section 13a is dimensioned to be within a range of from 0.06 L to 0.15 L and preferably within a range of from 0.10 L to 0.14 L. As can be viewed from FIG. 6, the dashpot 12 includes another small diameter section 13c located at its lower end portion, close to a lower nozzle 2. FIG. 4 is a graph illustrating the results of analysis concerning the relationship between the impact force F applied to the upper nozzle 4 when the control rods are detached from the associated control rod driving unit and the length of the dashpot 12, exclusive of the large diameter section 13a; i.e., the effective length S of the small diameter section 13b. As can be seen from this figure, the effective length S of the small diameter section 13b of the dashpot 12 should preferably be greater than 0.03 L in order to make the impact force F applied to the upper nozzle 4 smaller than the permissible limit value F0. Next, FIG. 5 is a graph illustrating the results of analysis concerning the relationship between the flexural rigidity of the dashpot 12 and the effective length S of the small diameter section 13b of the dashpot. As can be seen from this figure, when the effective length S of the small diameter section 13b of the dashpot is selected to be equal to 0.1 L, the flexural rigidity of the dashpot 12 increases by about 15% compared to the conventional dashpot employed in the fuel assembly known heretofore. Further, when the effective length S of the small diameter section 13b of the dashpot is selected to be S 0.05 L, the flexural rigidity of the dashpot 12 increases by about 30% compared to the conventional dashpot. Thus, it can be understood from the foregoing description that when the effective length S of the small diameter section 13b of the dashpot 12, exclusive of the large diameter section 13a, is selected so as to fall within the range of 0.03 L to 0.1 L and preferably within a range of 0.04 L to 0.06 L, the impact force F applied to the upper nozzle 4 upon detachment of the control rods can be suppressed to be smaller than the permissible limit value F0, and the flexural rigidity of the dashpot 12 can be increased as well. Thus, the dashpot 12 can be protected against flexural deformation under a compression load acting in the axial direction of the control rod guide thimble 5. Furthermore, the present invention is not intended to be limited to the embodiment described above, and numerous modifications may be conceived. By way of example, in the case of the fuel assembly described above, the outer diameter of the lower end portions of the dashpots 12 is formed so as to be approximately equal to that of the control rod guide thimble 5. However, the outer diameter of the lower end portions of the dashpots 12 may be formed smaller than that of the control rod guide thimbles 5 as shown in FIG. 6, which shows the fuel assembly according to a second embodiment of the present invention. As is apparent from the foregoing description, with the arrangement of the present invention, since large diameter sections having approximately the same diameter as that of the control rod guide thimbles are formed in lower portions of the dashpots and the length of the dashpot, exclusive of the large diameter section, i.e., the length of the small diameter section, is selected so as to lie within a range of 0.03 L to 0.1 L (where L represents the entire length of the control rod guide thimble), a fuel assembly can be realized in which the dashpots of the control rod guide thimbles are protected against flexural deformation which may otherwise occur under the compression loads acting in the axial or longitudinal direction of the control rod guide thimbles. Many modifications and variations of the present invention are possible in the light of the above techniques. It is therefore to be understood that within the scope of the appended claims, the invention may be practiced other than as specifically described.
description
This application is a continuation of U.S. patent application Ser. No. 14/040,591, filed on Sep. 27, 2013, pending, the entire disclosure of which is expressly incorporated by reference herein. This application relates generally to methods for image processing and, more specifically, to methods for finding and testing the alignment of radiation isocenter and mechanical isocenter. A radiation oncology treatment system must be properly calibrated to ensure that the radiation beams accurately target the treatment volume. Calibration of the radiation isocenter is one of the quality control procedures to determine the accuracy of the treatment system. In short, the radiation isocenter is the point in space where radiation beams intersect when the gantry rotates around the gantry rotation axis. More specifically, the point where the central radiation beams intersect is a small volume that looks geometrically similar to a sphere or an ellipsoid, and the center-of-mass of this volume is the radiation isocenter. The radiation isocenter differs from the mechanical isocenter; the radiation isocenter is the point in space through which the central beam of radiation passes, whereas mechanical isocenter is the point where the targeting optical beams intersect (or where the gantry rotation axis and the collimator rotation axis intersect). When properly calibrated, the targeting optical beams of the treatment system that are used to target the radiation beams at intended target should intersect at radiation isocenter, but the two isocenters do not necessarily coincide. Traditionally, the radiation isocenter is found by exposing radiographic film with a star shot pattern. The film is placed so that the beam enters through the edge of the film when it is irradiated from several gantry angles with a small field. The rotation axis of the gantry, running perpendicularly through the film, is marked. The middle lines of the three radiation beams, shown as stripes on the film, form a triangle when they intersect in the film center. The smallest circle which fits inside the triangle (all three beams have to intersect the circle or at least touch it) is usually called the radiation isocenter circle. Its size (diameter or radius) can be used to determine a quality parameter of the linac. An alternative to find the radiation isocenter is the Winston-Lutz test (WL test), which characterizes specific aspects of Clinac treatment beam dose distribution error based on treatment beam test images. The Winston-Lutz test is becoming more widely adopted as the test for geometric positioning accuracy of the Clinac for its simplicity and similarity to the actual treatment: the cone represents the beam-shaping elements (e.g., the collimator), and the ball represents the tumor, located nominally at isocenter. The WL test if performed by having a lead ball on a rod is held in place and aligning the center of the lead ball with the targeting optical beams. The lead ball is then exposed to film or digital imager in different combinations of the gantry angles and the table angles. The isocenter is then calculated by locating the center point of the image of the ball, which is done by using conventional method of finding the mid point of the ball image via a ruler. The Winston-Lutz test has many drawbacks, however. The beam scattering and penumbra effects will cause an unavoidable blurring of the image edge thus resulting in uncertainty of the true edge of the ball image and the calculated eccentricity. The resolution of the film and digital imager must also be high (˜0.1 mm) in order to accurately find the edge of the ball image under magnification. In addition, visually estimating the image edge is susceptible to random noise present in the image, especially in conventional films. An image processing method includes: obtaining an image that includes a ball image and a cone image; obtaining an estimate of a center of the ball image; converting the image to a converted image using a processor based at least in part on the estimate of the center of the ball image, wherein the converted image comprises a converted ball image that looks different from the ball image in the image, and a converted cone image that looks different from the cone image in the image; identifying the converted ball image in the converted image; and analyzing the converted ball image to determine a score that represents an accuracy of the estimate of the center of the ball image. Optionally, the image is converted to the converted image using a polar-to-rectangular coordinate conversion scheme. Optionally, the converted ball image has a non-circular shape. Optionally, the act of identifying the converted ball image is performed by the processor, which analyzes the converted image to identify a region in which the converted ball image lies. Optionally, the act of analyzing the converted ball image comprises: calculating standard deviation values for a plurality of respective rows of pixels that correspond to the converted ball image; and summing the standard deviation values to obtain the score. Optionally, the obtained image also includes a rod image, and the method further comprises identifying the rod image in the obtained image. Optionally, the rod image in the image is excluded before converting the image to the converted image. Optionally, the method further includes determining a center of the ball image based at least in part on the score. Optionally, the obtained image also includes a rod image, and the method further comprises using the processor to determine a position of the rod image using an algorithm. Optionally, the method further includes determining an additional center of the ball image based at least in part on the determined position of the rod, the additional center of the ball image being more accurate than the previously determined center of the ball image. Optionally, the method further includes determining one or more additional scores for one or more additional estimates of the center of the cone image, wherein the center of the ball image is determined by selecting one of the estimates that has the lowest score. Optionally, the method further includes using the processor to determine a center of the cone image. Optionally, the method further includes determining an eccentricity between the determined center of the ball image and the determined center of the cone image. Optionally, the eccentricity comprises an offset distance between the determined center of the ball image and the determined center of the cone image, and a direction of the offset distance. Optionally, the offset distance is expressed in pixel values, and the method further comprises converting the offset distance from pixel values to length units. Optionally, the method further comprises using the determined center of the ball image and one or more other parameters to determine one or more of an isocenter sag, isocenter skew, quality data representing measurement quality, and panel shift. A computer product includes a non-transitory medium storing a set of instructions, an execution of which will cause an imaging method to be performed, the method comprising: obtaining an image that includes a ball image and a cone image; obtaining an estimate of a center of the ball image; converting the image to a converted image based at least in part on the estimate of the center of the ball image, wherein the converted image comprises a converted ball image that looks different from the ball image in the image, and a converted cone image that looks different from the cone image in the image; identifying the converted ball image in the converted image; and analyzing the converted ball image to determine a score that represents an accuracy of the estimate of the center of the ball image. An image processing method includes: obtaining an image that includes a ball image and a cone image; using a processor to execute a first algorithm to determine a ball center; using the processor to execute a second algorithm to determine a cone center; and determining an eccentricity between the determined ball center and the determined cone center; wherein the first algorithm involves converting the ball image to a converted ball image that looks different from the ball image. Optionally, the eccentricity comprises an offset distance between the determined ball center and the determined cone center, and a direction of the offset distance. Optionally, the offset distance is expressed in pixel values, and the method further comprises converting the offset distance from pixel values to length units. Optionally, the converted ball image has a non-circular shape. Optionally, the second algorithm involves converting the cone image to a converted cone image that looks different from the cone image. Optionally, the method further includes using the determined ball center and one or more other parameters to determine one or more of an isocenter sag, isocenter skew, quality data representing measurement quality, and panel shift. A computer product includes a non-transitory medium storing a set of instructions, an execution of which will cause an imaging method to be performed, the method comprising: obtaining an image that includes a ball image and a cone image; executing a first algorithm by a processor to determine a ball center; executing a second algorithm by the processor to determine a cone center; and determining an eccentricity between the determined ball center and the determined cone center; wherein the first algorithm involves converting the ball image to a converted ball image that looks different from the ball image. Other and further aspects and features will be evident from reading the following detailed description of the embodiments, Various embodiments of the present application are described hereinafter with reference to the figures. It should be noted that the figures are not drawn to scale and elements of similar structures or functions are represented by like reference numerals throughout the figures. It should also be noted that the figures are only intended to facilitate the description of specific embodiments. They are not intended as an exhaustive description of the present application or as a limitation on the scope of the present application. In addition, an aspect or a feature described in conjunction with a particular embodiment is not necessarily limited to that embodiment and can be practiced in any other embodiments of the present application. In the use of medical linear accelerators (or Clinac), the geometric positioning accuracy is an important quality control to radiation dose delivery. An exemplary medical linear accelerator is shown in FIG. 1A. The medical linear accelerator 100 includes a gantry 102, a collimator 104, and an imager 106. The mechanical isocenter 120 is the point of intersection of the gantry rotation axis 122 (e.g., Y-axis) and the collimator rotation axis 124 (e.g., Z-axis). The X-axis is defined as the axis extending from the intersection of the Y-axis and the Z-axis. The same accelerator 100 is shown in side view in FIG. 1B. One of the tests for geometric positioning accuracy of the medical linear accelerators is Winston-Lutz Test (an exemplary accelerator configured for Winston-Lutz Test is shown in FIG. 1B), which is performed by: (1) placing a lead ball (152 in FIG. 1B) where the center of the lead ball is aligned with the targeting optical beams (e.g., mechanically aligned with mechanical isocenter); (2) placing a lead cone 154 (FIG. 1B) is on the collimator so that cone center hole is aligned with the collimator rotation axis 124 (Z-axis); (3) acquiring images in different combinations of the gantry angles and collimator positions (e.g., an image is acquired for each arbitrary collimator position (i.e., the collimator rotational positions, which is the angular positions of the collimator achieved by rotating the collimator about axis 124) at each gantry angle, resulting in a set of N×M images, where N is the number of unique gantry positions, and M is the number of collimator positions); and (4) measuring isocenter manually based on the acquired images. The cone 154 has a circular opening for allowing radiation to go therethrough while the rest of the cone material blocks radiation. An example of a Winston-Lutz Test image is shown in FIG. 3. In an exemplary embodiment, an image is taken at each of the four different collimator positions at each of the four different gantry positions, 0°, 90°, 180°, and 270°, such that a total of 16 images are taken. The center point of the ball in each image is then determined. An embodiment of the current image processing algorithm automates the determination of the precise location of the ball center and cone center in pixel coordinates based on the digital image acquired during Winston-Lutz test. The current method is objectively precise, immune to short scale noise and penumbra, checks for malfunction or calibration drift (long scale noise), automated, and faster determination of geometric position accuracy when compared to the conventional method of using visual estimation and ruler to measure the isocenter. In some cases, the precision may be at least 1/100th of a pixel. The method also provides compensation factors to correct image shift error due to X-ray source deviations and imager panel mechanical shift, which may include gravity, positioning error, gantry bearing error, or similar disturbances. Referring now to the drawings, in which similar corresponding parts are identified with the same reference numeral. FIG. 2 is a method 200 for determining a center of the ball 152 in an image in accordance with some embodiments. The method 200 may be performed by a processor, which may be a part of the radiation system of FIG. 1A, or may be a part of another device that is configured to perform the features described herein. By means of non-limiting examples, the device that includes the processor configured to perform the features described herein may be a computer, an iPad, a Tablet, a smart phone, a handheld communication device, or any of other devices. The processor may be one or more of an ASIC processor, a FPGA processor, a signal processor, a general purpose processor, etc. Referring now to FIG. 2, first, an image of a Winston-Lutz test is obtained (Item 201). In some embodiments, after the ball 152 is mounted, and the cone 154 is secured, radiation is delivered to obtain an image of the ball 152 and the cone 154. The image is generated using the detector 106 in response to radiation received by the detector 106. In some embodiments, the image provided by the detector 106 is a digitized image. In other embodiments, the image may be a film image that is converted to a digitized image by scanning and digitization. An example of the digitized image 300 of Winston-Lutz test is shown in FIG. 3. As shown in the figure, the image 300 includes an image 304 of the ball 152, an image 306 of the cone 154 (i.e., showing the boundary of the circular opening provided by the cone 154), and an image 308 of the rod that is connected to the ball. The image 300 also includes an area 310 representing unobstructed radiation that reaches the detector 106. Since the radiation not blocked by the ball 152 and the cone 154 will reach the detector 106, the area 310 formed by such radiation will have a color or greyscale that is distinctly different from the ball image 304 and the cone image 306. In some embodiments, the act of obtaining the Winston-Lutz test image is performed by a processor receiving the image data of the Winston-Lutz test. In other embodiments, the act of obtaining the Winston-Lutz test image may also include generating the Winston-Lutz test image. Returning to FIG. 2, next, a possible ball center is determined (Item 202). In some embodiments, a user may manually select a point near the center pixel of the ball portion of the grayscale digitized ball on an image acquired during the Winston-Lutz test. An example of the digitized image 300 of Winston-Lutz test with a selected ball center 302 is shown in FIG. 3. The initial guess of the center of the ball may be input manually by the user as a coordinate (x, y). In some embodiments, the act of determining the possible center in item 202 may be performed by the processor receiving input from the user regarding the initial guess of the ball center. In other embodiments, the initial guess may be automatically determined by the processor, which analyzes the image to make an initial guess of the ball center. Returning to FIG. 2, next, the image 300 is converted to a converted image using a polar to rectangular scheme, similar to a Mercator projection, based on the previously designated coordinate of the initially selected center (Item 206). An example of the converted image 400 that is converted from polar coordinate to rectangular coordinate based on the selected ball center is shown in FIG. 4. The converted image 400 includes an image 304 of the ball expressed in rectangular coordinate, and an image 306 of the cone also expressed in rectangular coordinate. The converted image 400 also includes the area 310 representing unobstructed radiation detected by the detector 106. In some embodiments, the act of converting the image 300 to the converted image 400 may be performed by the processor. Also, in some embodiments, the act of converting the image 300 to the converted image 400 may be performed automatically by the processor. In some embodiments, the zone/image 308 of the rod may be omitted or discarded when converting the image 300 to the converted image 400. In one implementation, the position of the rod image 308 may be estimated and input manually to the processor. In other embodiments, the processor may automatically estimate the position of the rod image 308 by performing image analysis on the image 300/400. It is desirable to discard the rod image 308 from the converted image 400 because doing so will result in the ball image 304 being easier to be identified in the converted image 400. In some embodiments, the identification of the rod image 308 may be achieved by identifying a rod angle, which is the angle in the polar coordinate at which the rod image 308 lies. In other embodiments, the identification of the rod image 308 may be achieved by identifying a horizontal position in the converted image 400 at which the center of the rod image 308 lies. Returning to FIG. 2, next, the image 304 of the ball 152 (converted ball image) is identified in the converted image 400 (Item 208). In some embodiment, because the area 310 has a color or darkness that is distinguishable from that of the image 304 of the ball 152, the image 304 of the ball 152 may be identified based on the difference in the color or darkness between the regions 304, 310. For example, the processor may select all of the pixels above the area 310 that have a color or darkness different (e.g., with the difference being above a certain prescribed threshold) from that in the area 310 as the image pixels for the ball 152. In some embodiments, the identification of the image 304 that corresponds with the ball 152 in the converted image 400 may be performed using the processor. Also, in some embodiments, the identification of the image 304 that corresponds with the ball 152 may be performed automatically by the processor. Next, the standard deviations for the plurality of pixel rows of the zone 304 wherein the ball lies (the portion 304 in the converted image 400) is calculated (Item 210). In some embodiments, greyscale luminance values are used for calculating the standard deviations. In the illustrated embodiments, the standard deviations are calculated row-by-row, and so there are multiple standard deviation values for the respective rows. In some embodiments, the calculation of the standard deviation may be performed by the processor. Also, in some embodiments, the calculation of the standard deviation may be performed automatically by the processor. Next, the row-wise standard deviation values are summed up to obtain an eccentricity score (Item 212). The eccentricity score represents how well the estimate (e.g., (x, y)) lies in the actual center of the ball image. In some embodiments, the eccentricity score may represent the eccentricity of the determined ball center (i.e., an amount of difference between the estimated ball center and the actual center of the ball 152), wherein a lower score represents less eccentricity, and therefore, a more accurate estimate. In other embodiments, the eccentricity score also represent the quality of the image data, which may indicate possible malfunction(s) in the Clinac operation. In some embodiments, item 212 may be performed by the processor. Also, in some embodiments, item 212 may be performed by the processor automatically. Next, the center of the ball 152 is determined based at least in part on the eccentricity score (item 214). In some embodiments, the above algorithm may be repeated with one or more center estimate(s) to obtain one or more corresponding eccentricity score(s). In particular, item 202 may be repeated one or more times for one or more ball center estimate(s), and items 206-214 may be repeated one or more corresponding times to determine one or more eccentricity scores (see loop arrow 220). This may be performed until an estimated ball center with the best eccentricity score is obtained. The ball center estimate with the lowest corresponding eccentricity score is then selected as the center of the ball 152. In some embodiments, a determined eccentricity score may be used to determine a next estimate of the center of the ball 152. Also, in some embodiments, an optimization algorithm may be employed by the processor to minimize the eccentricity score, to thereby find the optimized/actual center of the ball 152 in the image. When the actual center of the ball is determined, or the eccentricity score is minimized, the converted image 400 converted from the image 300 based on the determined actual center will show the image 304 for the ball 152 having a relatively uniform shape (like that shown in FIG. 5). In some embodiments, item 214 may be performed by the processor. Also, in some embodiments, item 214 may be performed by the processor automatically. In some embodiments, the determined center of the ball 152 from item 214 may be stored in a non-transitory medium for later use or processing. As discussed, in some embodiments, the position of the rod image 308 may be initially estimated, so that it can be discarded in the converted image 400. In some embodiments, after the ball center is initially determined from item 214, the position of the rod image 308 may be verified and/or fine-tuned to potentially improve the accuracy of the ball center determination. FIG. 6 illustrates an image processing method 600 for determining a rod position. First, after the ball center has been determined from item 214, the image 300 is converted into a converted image 400 using a polar to rectangular scheme, similar to a Mercator projection, based on the coordinate of the determined ball center (Item 602). The act of item 602 may be similar to that of item 206. When the image 300 is converted into the converted image 400, the rod image 308 is retained so that the converted image 400 will include an image of the rod. An example of the converted image 400 with the rod image 308 is shown in FIG. 7. Returning to FIG. 6, next, the approximate center of the rod image 308 may be determined by scanning horizontally the converted image 400 (Item 604). In some embodiments, the processor may look for a maximum brightness pixel for each horizontal row. The horizontal position in each row where the maximum brightness pixel is located represents the rod position (e.g., position of the centerline of the rod) at that row. In other embodiments, the position of the centerline of the rod in each row may be determined based on secondary order interpolation of the pixels adjacent to the maximum brightness pixel. In such technique, the brightest pixel is first found. Then that pixel and the two pixels on either side of the brightest pixel are used to feed a second order interpolator to calculate a theoretical center of the rod. This may be useful in case the center of the rod is straddling two pixels. After the position of the centerline of the rod is determined for each row, the positions are averaged to determine the rod position. In some embodiments, the rod position (e.g., X=34 mm) in the rectangular coordinate format may be converted to polar coordinate format (e.g., R=24°). In some embodiments, after the rod position has been objectively determined using the method 600 of FIG. 6, the method of FIG. 2 may be repeated using (1) the rod position determined from item 604, and (2) the position of the ball center determined previously from item 214, to obtain a more precise ball center. In particular, when repeating the method of FIG. 2, the rod position determined objectively from the method 600 of FIG. 6 may be used by the processor to omit the rod image zone when converting the image 300 to the converted image 400 (in item 208) based on the previously determined ball center. Because the rod position is objectively determined, it is subject to less variation that may otherwise result from manual interpretation. As a result, the rod image 308 that is selected for exclusion when converting the image 300 to the converted image 400 is more accurate. This result in a determination of the ball center (from item 214) that is more accurate. In other embodiments, the method 600 for determining rod position objectively is optional, and may not be performed. In such cases, the method 200 may not be repeated. In particular, in other embodiments, the ball center position obtained from item 214 in the method 200 the first time around may be used as the final ball center position. Also, in other embodiments, after the method 600 is performed to objectively determine the rod position, and after the method 200 is repeated using the objectively determined rod position to obtain a more accurate ball center position, the method 600 may be repeated (at least one time) using the updated ball center position to obtain a more accurate rod position. In other embodiments, the method 600 may not need to be repeated. In such cases, the rod position determined from item 604 the first time around may be used as the final rod position. In further embodiments, the method 200 for determining ball center position, and the method 600 for determining rod position may be repeated multiple times. Each time the method 600 is performed, the method 600 uses the most recent determined ball center position to obtain an updated rod position. Also, each time the method 200 is performed, the method 200 uses the most recent determined rod position to obtain an updated ball center position. The methods 200, 600 may be repeated until a solution is converged. For example, in some embodiments, the method 600 may be repeated multiple times until a difference between two successively determined rod positions falls below a prescribed threshold. Similarly, in another example, in some embodiments, the method 200 may be repeated multiple times until a difference between two successively determined ball center positions falls below a prescribed threshold. In some embodiments, after the final ball center position is determined (either the first time around from item 214, or after repeating the method 200 one or more times), the center of the cone 154 in the image 300 may be determined. In some embodiments, the method of determining the cone center may be similar to the method 200 that was used to determine the ball center. FIG. 8 illustrates a method 800 of determining the center of the cone 154 in accordance with some embodiments. First, an image of a Winston-Lutz test is obtained (Item 801). An example of the digitized image 300 of Winston-Lutz test is shown in FIG. 3. As shown in the figure, the image 300 includes an image 304 of the ball 152, an image 306 of the cone 154 (i.e., showing the boundary of the circular opening provided by the cone 154), and an image 308 of the rod that is connected to the ball. The image 300 also includes an area 310 representing unobstructed radiation that reaches the detector 106. Since the radiation not blocked by the ball 152 and the cone 154 will reach the detector 106, the area 310 formed by such radiation will have a color or greyscale that is distinctly different from the ball image 304 and the cone image 306. As shown in the figure, the ball image 304 is circular because the ball 152 is spherical in shape, or is as nearly perfectly round as possible. Similarly, the cone image 306 is circular because the opening of the cone 154 is circular, or is as nearly perfectly round as possible. In some embodiments, the act of obtaining the Winston-Lutz test image is performed by a processor receiving the image data of the Winston-Lutz test. In other embodiments, the act of obtaining the Winston-Lutz test image may also include generating the Winston-Lutz test image. Returning to FIG. 8, next, a possible cone center is determined (Item 802). In some embodiments, a user may manually select a point near the center pixel of the cone image 306 in the image 300 acquired from the Winston-Lutz test. The initial guess of the center of the cone 154 may be input manually by the user as a coordinate (x, y). In some embodiments, the act of determining the possible center in item 702 may be performed by the processor receiving input from the user regarding the initial guess of the cone center. In other embodiments, the initial guess may be automatically determined by the processor, which analyzes the image to make an initial guess of the cone center. Next, the image 300 is converted to a converted image using a polar to rectangular scheme, similar to a Mercator projection, based on the previously designated coordinate of the initially selected center (Item 806). An example of the converted image 400 that is converted from polar coordinate to rectangular coordinate based on the selected ball center is shown in FIG. 4. The converted image 400 includes an image 304 of the ball 152 expressed in rectangular coordinate, and an image 306 of the cone 154 also expressed in rectangular coordinate. The converted image 400 also includes the area 310 representing unobstructed radiation detected by the detector 106. In some embodiments, the act of converting the image 300 to the converted image 400 may be performed by the processor. Also, in some embodiments, the act of converting the image 300 to the converted image 400 may be performed automatically by the processor. In some embodiments, the zone/image 308 of the rod may be omitted or discarded when converting the image 300 to the converted image 400. In one implementation, the position of the rod image 308 may be obtained from item 604 in the method 600 if the method 600 was already performed. In other embodiments, if the method 600 has not been performed, the position of the rod image 308 may be estimated and input manually to the processor. In other embodiments, the processor may automatically estimate the position of the rod image 308 by performing image analysis on the image 300/400. It is desirable to discard the rod image 308 from the converted image 400 because doing so will result in the cone image 306 being easier to be identified in the converted image 400. In some embodiments, the identification of the rod image 308 may be achieved by identifying a rod angle, which is the angle in the polar coordinate at which the rod image 308 lies. In other embodiments, the identification of the rod image 308 may be achieved by identifying a horizontal position in the converted image 400 at which the center of the rod image 308 lies. Next, the image 306 of the cone 154 is identified in the converted image 400 (Item 808). In some embodiment, because the area 310 has a color or darkness that is distinguishable from that of the image 306 of the cone 154, the image 306 of the cone 154 may be identified based on the difference in the color or darkness between the regions 306, 310. For example, the processor may select all of the pixels below the area 310 that have a color or darkness different (e.g., with the difference being above a certain prescribed threshold) from that in the area 310 as the image pixels for the cone 154. In some embodiments, the identification of the image 306 that corresponds with the cone 154 in the converted image 400 may be performed using the processor. Also, in some embodiments, the identification of the image 306 that corresponds with the cone 154 may be performed automatically by the processor. Next, the standard deviation for the plurality of pixel rows of the zone 306 wherein the cone 154 lies (the portion 306 in the converted image 400) is calculated (Item 810). In the illustrated embodiments, the standard deviation is calculated row-by-row, and so there are multiple standard deviation values for the respective rows. In some embodiments, the calculation of the standard deviation may be performed by the processor. Also, in some embodiments, the calculation of the standard deviation may be performed automatically by the processor. Next, the row-wise standard deviation values are summed up to obtain an eccentricity score (Item 812). The eccentricity score represents how well the estimate (e.g., (x, y)) lies in the actual center of the cone image 306. In some embodiments, the eccentricity score may represent the eccentricity of the determined cone center (i.e., an amount of difference between the determined cone center and the actual cone center), wherein a lower score represents less eccentricity, and therefore, a more accurate estimate. In other embodiments, the eccentricity score also represent the quality of the image data, which may indicate possible malfunction(s) in the Clinac operation. In some embodiments, item 812 may be performed by the processor. Also, in some embodiments, item 812 may be performed by the processor automatically. Next, the center of the cone 154 is determined based at least in part on the eccentricity score (item 814). In some embodiments, the above algorithm may be repeated with one or more cone center estimate(s) to obtain one or more corresponding eccentricity score(s). The cone center estimate with the lowest corresponding eccentricity score is then selected as the center of the cone 154. In some embodiments, a determined eccentricity score may be used to determine a next estimate of the center of the cone 154. Also, in some embodiments, an optimization algorithm may be employed by the processor to minimize the eccentricity score, to thereby find the optimized/actual center of the cone 154 in the image. When the actual center of the cone 154 is determined, or the eccentricity score is minimized, the converted image 400 converted from the image 300 based on the determined actual cone center will show the image 306 for the cone 154 having an uniform shape. In some embodiments, item 814 may be performed by the processor. Also, in some embodiments, item 814 may be performed by the processor automatically. In some embodiments, the determined center of the cone 154 from item 814 may be stored in a non-transitory medium for later use or processing. In some embodiments, as shown in the method 900 of FIG. 9, after the final ball center has been determined from method 200, and after the final cone center has been determined from method 800, the processor may then calculate an eccentricity (distance and angle) between the ball center and the cone center (Item 902). FIG. 10 illustrates the eccentricity between the ball center and the cone center. In the illustrated example, the determined ball center is at location 952, and the determined cone center is at location 954. The offset distance between the ball center and the cone center is represented by distance 956, and the angle (direction of offset) is represented by angle 958. The distance 956 may be represented by x-component 960 and y-component 962. In some embodiments, the processor may calculate such eccentricity automatically. In some embodiments, the distance component of the eccentricity vector (e.g., distance and angle between the cone and ball centers) may be expressed in pixel values. In one implementation, the difference in distance between the ball center and cone center may be expressed in row-column space coordinates, of which row corresponds to y-axis and column corresponds to the x-axis. In some embodiments, the length (offset) component of the eccentricity vector may optionally be converted from pixel units to physical length units (e.g., millimeters) (item 904). The final results are the precise calculation of ball center location, cone center location, the distance offset between the ball center and cone center, and the direction of offset between the ball center and cone center, for the particular image 300 taken at a certain gantry angle. In some embodiments, these results may be stored in a non-transitory medium for later use. The above methods are described with reference to a certain image 300 generated while the detector 106 is at a certain gantry angle. In some embodiments, the above methods (e.g., method 200, method 600, method 800, and method 900) may be repeated to obtain multiple images 300 at different respective gantry angles. In such cases, the final results are ball center locations, cone center locations, offset directions between the respective ball center locations and cone center locations, and directions of offset between the respective ball centers and cone centers, for the respective images 300 at the different gantry angles. Also, in some embodiments, for each of the selected N gantry angles, the collimator may be rotated to M different positions, and M different test images may be obtained for the M different positions. In such cases, there will be N×M number of test images. The above described technique, which is for processing one test image, may be performed for one of the N×M images to obtain an eccentricity score between the cone center and the ball center for a particular image. In addition, in some embodiments, the technique of finding the ball center, cone center, and centerline of rod may be repeated multiple times until the best ball center, best cone center, and best rod centerline is achieved. In some embodiments, once the best rod centerline is obtained, the ball center may be obtained. Also, once the best ball center is obtained, the best cone center may be obtained. Thus, the best center of each component may be obtained by using one best center after another, in order to arrive at the best eccentricity score between the cone center and the ball center. The above embodiments of the image processing methods are advantageous. They automate the determination of the precise location of the ball center and cone center based on the digital image acquired during Winston-Lutz test. The embodiments of the methods are objectively precise, immune to short scale noise and penumbra, check for malfunction or calibration drift (long scale noise), may be automated, provide faster determination (e.g., compared to autocorrelation technique) of geometric position, and provide geometric position determination with higher accuracy when compared to the conventional method of using visual estimation and ruler to measure the isocenter. In some cases, the precision may be at least 1/100th of a pixel. In some cases, embodiments of the methods described herein may also provide compensation factors to correct image shift error due to X-ray source deviations and imager panel mechanical shift, which may include gravity, positioning error, gantry bearing error, or similar disturbances. It should be noted that the technique for determining ball center and the technique for determining cone center is not limited to the examples described previously. In some embodiments, different eccentricity scores may be obtained for different respective gantry angles. For example, N different gantry angles may be prescribed, and there may be N corresponding eccentricity scores. In such cases, the N eccentricity scores may be combined or used together to obtain a final eccentricity between the ball center and the cone center. Such feature will be described in further detail below. One embodiment of the present image processing algorithm may determine isocenter sag (e.g., the deviation from isocenter along the Y-axis) and skew (e.g., the deviation from the isocenter along the X-axis) errors for purpose of ball alignment. FIG. 11 illustrates a method 1100 for ball alignment that involves determination of sag and skew errors, and ball center offsets. First, the cone 154 is affixed to the gantry (Item 1102), and the cone 154 is then aligned to the collimator axis (Item 1104). Next, the ball 152 is attached to a reference structure, such as a patient support (Item 1106), and the ball 152 is aligned to isocenter (Item 1108). Next Winston-Lutz test images are obtained (Item 1110). At a minimum, two Winston-Lutz test images are obtained. However, in the illustrated embodiments, four Winston-Lutz test images are obtained at four respective angles θ1, θ2, θ3, and θ4 (e.g., at 0°, 90°, 180°, and 270°), and the ball center, cone center, and eccentricity between the ball center and cone center are determined to determine ball offset for each image (Item 1114). SAG and SKEW may also be determined in item 1114. In the illustrated examples, the four gantry angles correspond to head up, down, and two sides positions. In other embodiments, there may be more than four Winston-Lutz test images or fewer than four Winston-Lutz test images. In some embodiments, the eccentricities (ball center offsets) may be determined using the methods 200, 600, 800, and 900 for the respective images taken at the respective gantry angles. If the ball 152 is aligned to isocenter with sufficient precision (e.g., ball offset is less than a prescribed threshold), the data (including SAG info, SKEW info, ball center location, cone center location, and eccentricity for each of the gantry angels) is recorded in a non-transitory medium (Item 1116), and the method 1100 is completed. If the ball 152 is not aligned to isocenter with sufficient precision, the ball 152 is manually adjusted (Item 1118) and Items 1110, 1112 shown in FIG. 11 are repeated until the ball is aligned accurately to the isocenter. In some embodiments, the eccentricity values (vectors) may be used to calculate sag, skew, and ball x-y-z offset. The calculation of sag, skew, and ball x-y-z offsets may be performed as follows: sag = ecc row ⁡ ( 0 ) - ecc row ⁡ ( 180 ) 2 where eccrow(0) is the row component of the eccentricity vector for the “head down” position, and eccrow(180) is the row component of the eccentricity vector for the “head up” position. skew = ecc col ⁡ ( 0 ) + ecc col ⁡ ( 180 ) 2 where ecccol(0) is the column component of the eccentricity vector for the “head down” position, and ecccol(180) is the column component of the eccentricity vector for the “head up” position. x_offset = ecc col ⁡ ( 0 ) - ecc col ⁡ ( 180 ) 2 y_offset = ecc row ⁡ ( 0 ) + ecc row ⁡ ( 90 ) + ecc row ⁡ ( 180 ) + ecc row ⁡ ( 270 ) 4 z_offset = ecc col ⁡ ( 90 ) - ecc col ⁡ ( 270 ) 2 where (eccrow (90) is the row component of the eccentricity vector for the θ2=90°, (eccrow (270) is the row component of the eccentricity vector for the θ4=270°, (ecccol (90) is the column component of the eccentricity vector for the θ2=90°, and (eccrow (270) is the column component of the eccentricity vector for the θ4=270°. In other embodiments, θ1, θ2, θ3, and θ4 may have other values. In such cases, the above equations still apply, and ecccol (0), ecccol (90), ecccol(180), ecccol (270), eccrow (0), eccrow (90), eccrow (180), and eccrow (270) will be replaced with the ecccol (θ1), ecccol (θ2), ecccol (θ3), ecccol (θ4), eccrow (θ1), eccrow (θ2), eccrow (θ3), and eccrow (θ4), respectively. In some embodiments, different eccentricity scores may be obtained for different respective gantry angles. For example, N different gantry angles may be prescribed, and there may be N corresponding eccentricity scores. Also, for each of the N gantry angles, the collimator may be prescribed to be rotated to M different collimator positions for obtaining M different respective test images. Thus, there may be N×M test images, which may be used to obtain a final eccentricity between the ball center and the cone center. Such feature will be described in further detail below. Another embodiment of the present image processing algorithm may determine sag, skew, ball center x-y-z offsets from cone center, data quality, and image panel shift, which may be used for ball alignment and radiation system setup. FIG. 12 illustrates a method 1200 for ball alignment. First, the cone 154 is affixed to the gantry (Item 1202), and the cone 154 is then aligned to the collimator axis, e.g., to an accuracy of +/−0.05 inch (Item 1204). Next, the ball 152 is attached to a reference structure, such as a patient support (Item 1206), and the ball 152 is aligned to isocenter, e.g., to an accuracy of +/−0.05″ (Item 1208). Next Winston-Lutz test images are obtained (Item 1210). At a minimum, two Winston-Lutz test images are obtained. In the illustrated embodiments, N×M Winston-Lutz test images are obtained, where N is the number of different gantry angles that may be arbitrarily selected from within a range of gantry motion, and M is the number of collimator positions that may be arbitrarily selected from within a range of motion. In some embodiments, the N different gantry angles may be 0, 30, 60, 90, 120, 150, 180, 210, 240, 270, 300, 330, 360 degrees, for examples. Also, in some embodiments, the M different collimator positions may be 45, 75, 105, 135, 165, 195, 225, 255, 285, 315, 345 degrees, for examples. In one implementation, at each of the N gantry angles, M Winston-Lutz test images are obtained for the N different collimator positions. This is repeated for all of N gantry angles to obtain the N×M Winston-Lutz test images. Next, eccentricity (ball offset) between the ball center and cone center are determined for each selected gantry angle θ (Item 1214). SAG, SKEW, data quality info (measurement quality), and imager panel shift information, may also be determined in item 1214. The data quality information indicates a quality of the measurement taken. In some embodiments, the ball center positions (B1) and cone center positions (C1) may be determined for each of the selected gantry angles. Such may be performed using known techniques, or the techniques described with reference to methods 200, 600, and 800. An additional parameter, CC1, may be calculated, which is the center of the circle generated from a group of cone center positions C1's associated with a certain gantry position (FIG. 13). In one implementation, CC1 for each gantry position θ may be determined by finding the center of a circle generated by the cone centers of a group of images taken at a particular gantry position θ (e.g., images taken at a particular gantry position θ as the collimator rotates through the M different selected collimator positions β1-βM). The above may be repeated for the rest of the N selected gantry angles. In some embodiments, C1, B1, and CC1, may be expressed in row-column space coordinates. In the illustrated embodiments, after CC1's have been determined for the different gantry angles, the values of the CC1 may then be used to determine ball offsets, SAG, SKEW, data quality info, and imager panel shift information. In other embodiments, the eccentricities (ball offsets) may be determined using the methods 200, 600, 800, and 900 for the respective gantry angles θ. As shown in FIG. 12, if the measurement quality is acceptable, the method 1200 then determines whether the ball 152 is aligned with sufficient precision. If the measurement quality is not acceptable, then a debug and/or setup process is performed (Item 1215) until the measurement quality is acceptable. If the ball 152 is aligned to isocenter with sufficient precision, the data (including data quality information, panel shift information, SAG info, SKEW info, ball center location, cone center location, and eccentricity for each of the gantry angels) is recorded in a non-transitory medium (Item 1216), and the method is completed. If the ball 152 is not aligned to isocenter with sufficient precision, the ball 152 is manually adjusted (Item 1218) and the Items 1210, 1214 are repeated until the ball 152 is aligned with sufficient accuracy to the isocenter. In some embodiments, the isocenter sag, the ball-isocenter Y-offset and Measurement Quality may be calculated by the following relationships (see FIG. 14): (1) For each gantry position θ, the ball-cone distances d_row(θ) in the image row-direction are calculated from:d_row(θ)=B1row(θ)−CC1row(θ) (2) The sag and ball Y-offset are calculated by best-fitting the relation: d_row ⁢ ( θ ) = SDD SID · ( Y_offset - sag · cos ⁡ ( θ ) ) by optimizing the parameters Y_offset and sag. In the above relationship, SDD=distance from the radiation source to the image panel, and SID=distance from the radiation source to the isocenter. (3) The Measurement Quality, σ_sag, of sag and Y-offset is the standard deviation of the residual errors between each measure and best-fit function, per gantry angle: σ_sag = 1 N · ∑ θ = 1 N ⁢ [ d_row ⁢ ( θ ) - SDD SID · ( Y_offset - sag · cos ⁡ ( θ ) ) ] 2 Low values for σ_sag indicate a better conformance of data to the optimized function than that associated with high values of σ_sag. Values of σ_sag less than 100 microns (for example) may indicate valid data. Also, in some embodiments, the isocenter skew, the ball-isocenter X- and Z-offsets, and Measurement Quality may be calculated by the following relationships (see FIG. 15): (1) For each gantry position θ, the ball-cone distances d_col(θ) in the image col-direction are calculated from:d_col(θ)=B1col(θ)−CC1col(θ) (2) The skew and ball X-offset and ball Z-offset are calculated by best fitting the relation (e.g., by optimizing the parameters skew, X-offset, and Z-offset): d_col ⁢ ( θ ) = ( SDD SID ) · ( skew + X_offset · cos ⁡ ( θ ) - Z_offset · sin ⁡ ( θ ) ) to the parameters X-offset, Z-offset and skew (e.g., by optimizing the parameters skew, X-offset, and Z-offset). In the above relationship, SDD=distance from the radiation source to the image panel, and SID=distance from the radiation source to the isocenter. (3) The Measurement Quality, σ_askew, of skew, X-offset and Z-offset is the standard deviation of the residual errors between each measure and best-fit function, per gantry angle: σ_skew = 1 N · ∑ θ = 1 N ⁢ [ d_col ⁢ ( θ ) - ( SDD SID ) · ( skew + X_offset · cos ⁡ ( θ ) - Z_offset · sin ⁡ ( θ ) ) ] 2 Low values for σ_askew indicate a better conformance of data to the optimized function than that associated with high values of σ. Values of σ_skew less than 100 microns (for example) may indicate valid data. The location of isocenter projection on the imager panel (which constitutes effective imager panel shift) may calculated based on the following: (1) For each gantry position θ, the projection of isocenter on the panel is, in the row-direction (FIG. 16): ISO row ⁡ ( θ ) = B ⁢ ⁢ 1 row ⁢ ( θ ) - ( SDD SID ) · Y_offset (2) For each gantry position θ, the projection of isocenter on the panel is, in the col-direction (FIG. 17): ISO col ⁡ ( θ ) = B ⁢ ⁢ 1 col ⁢ ( θ ) - ( SDD SID ) · ( X_offset · cos ⁡ ( θ ) - Z_offset · sin ⁡ ( θ ) ) The above technique is advantageous because it eliminates the requirement to precisely mechanically position the cone 154 to achieve alignment with the collimator rotation axis. By doing so, expenditure of skilled manual labor will be saved in addition to eliminating errors arising from imperfect mechanical alignment. Also, the above technique calculates data quality, which averts the problem of unexpected measurement uncertainty from corrupting calculated values of sag, skew, and ball x-y-z offset (but not imager panel shift). The above technique also provides the projection of isocenter onto the imager panel, which may be used as compensation factors to correct image shift error due to X-ray source deviations due to sag and/or skew, and due to imager panel mechanical shift due to gravity, positioning error, gantry bearing error, or similar disturbances. Computer Architecture FIG. 18 is a block diagram that illustrates an embodiment of a computer system 1900 upon which one or more embodiments described herein may be implemented. For example, in some embodiments, the computer system 1900 may be configured to perform the method 200, method 600, method 700, or any combination of the foregoing. Computer system 1900 includes a bus 1902 or other communication mechanism for communicating information, and a processor 1904 coupled with the bus 1902 for processing information. The processor 1904 may be a part of a radiation system, or another processor that is used to perform various functions described herein. In some cases, the computer system 1900 may be used to implement the processor 14 (or other processors described herein). The computer system 1900 also includes a main memory 1906, such as a random access memory (RAM) or other dynamic storage device, coupled to the bus 1902 for storing information and instructions to be executed by the processor 1904. The main memory 1906 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by the processor 1904. The computer system 1900 further includes a read only memory (ROM) 1908 or other static storage device coupled to the bus 1902 for storing static information and instructions for the processor 1904. A data storage device 1910, such as a magnetic disk or optical disk, is provided and coupled to the bus 1902 for storing information and instructions. The computer system 1900 may be coupled via the bus 1902 to a display 1912, such as a cathode ray tube (CRT) or a flat panel, for displaying information to a user. An input device 1914, including alphanumeric and other keys, is coupled to the bus 1902 for communicating information and command selections to processor 1904. Another type of user input device is cursor control 1916, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 1904 and for controlling cursor movement on display 1912. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. The computer system 1900 may be used for performing various functions (e.g., calculation) in accordance with the embodiments described herein. According to one embodiment, such use is provided by computer system 1900 in response to processor 1904 executing one or more sequences of one or more instructions contained in the main memory 1906. Such instructions may be read into the main memory 1906 from another computer-readable medium, such as storage device 1910. Execution of the sequences of instructions contained in the main memory 1906 causes the processor 1904 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in the main memory 1906. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software. The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to the processor 1904 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as the storage device 1910. A non-volatile medium may be considered as an example of a non-transitory medium. Volatile media includes dynamic memory, such as the main memory 1906. A volatile medium may be considered as another exampler of a non-transitory medium. Transmission media includes coaxial cables, copper wire and fiber optics, including the wires that comprise the bus 1902. Transmission media can also take the form of acoustic or light waves, such as those generated during radio wave and infrared data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, or any other magnetic medium, a CD-ROM, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read. Various forms of computer-readable media may be involved in carrying one or more sequences of one or more instructions to the processor 1904 for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to the computer system 1900 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to the bus 1902 can receive the data carried in the infrared signal and place the data on the bus 1902. The bus 1902 carries the data to the main memory 1906, from which the processor 1904 retrieves and executes the instructions. The instructions received by the main memory 1906 may optionally be stored on the storage device 1910 either before or after execution by the processor 1904. The computer system 1900 also includes a communication interface 1918 coupled to the bus 1902. The communication interface 1918 provides a two-way data communication coupling to a network link 1920 that is connected to a local network 1922. For example, the communication interface 1918 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, the communication interface 1918 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, the communication interface 1918 sends and receives electrical, electromagnetic or optical signals that carry data streams representing various types of information. The network link 1920 typically provides data communication through one or more networks to other devices. For example, the network link 1920 may provide a connection through local network 1922 to a host computer 1924 or to equipment 1926 such as a radiation beam source or a switch operatively coupled to a radiation beam source. The data streams transported over the network link 1920 can comprise electrical, electromagnetic or optical signals. The signals through the various networks and the signals on the network link 1920 and through the communication interface 1918, which carry data to and from the computer system 1900, are exemplary forms of carrier waves transporting the information. The computer system 1900 can send messages and receive data, including program code, through the network(s), the network link 1920, and the communication interface 1918. Although particular embodiments of the present inventions have been shown and described, it will be understood that it is not intended to limit the present inventions to the preferred embodiments, and it will be obvious to those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the present inventions. For example, the term “image” needs not be limited to an image that is displayed visually, and may refer to image data that is stored. Also, the term “processor” may include one or more processing units, and may refer to any device that is capable of performing mathematical computation implemented using hardware and/or software. The term “processor” may also refer to software stored in a non-transitory medium in other embodiments. The specification and drawings are, accordingly, to be regarded in an illustrative rather than restrictive sense. The present inventions are intended to cover alternatives, modifications, and equivalents, which may be included within the spirit and scope of the present inventions as defined by the claims.
summary
claims
1. A TBP (tri-butyl phosphate)-nitrate based solvent extraction process for the production of high purity nuclear grade rare metal oxides comprising:subjecting ammonium nitrate-ammonium sulphate effluent generated in a TBP (tri-butyl phosphate)-nitrate based solvent extraction process for the production of high purity nuclear grade rare metal oxides to recycling as a stripping solution so as to strip metal nitrate values from a solvent stream organic phase into an aqueous phase comprising (i) common ion electrolytes based ammonium nitrate-ammonium sulphate effluent to strip hydrolysable rare metal species and (ii) sulphate anion in said ammonium nitrate-ammonium sulphate effluent with complexing ability towards rare metal ions favouring stripping of the rare metal ions from the organic phase into the aqueous phase by equilibration of rare metal solvent extract comprising rare metal nitrate TBP adduct with an aqueous solution containing ammonium nitrate and ammonium sulphate thereby enabling contact of said rare metal nitrate TBP adduct in the organic phase with (NH4)2SO4 in the aqueous phase, andforming aqueous soluble complex salts of respective rare metal ions in the aqueous phase to favor stripping of the rare metal ion from the organic phase into the aqueous phase for recovery of high purity nuclear grade rare metal oxides from said aqueous phase. 2. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 1, wherein for about 5 parts of solvent, about 0.7 to 0.8 parts of ammonium nitrate solution is used for complete stripping of the solvent. 3. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 1, wherein the effluent ammonium nitrate and ammonium sulphate concentrations are in the range of 0 to 200 g/l and 30 to 100 g/l respectively to achieve efficient stripping. 4. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 3, wherein maximum stripping efficiency is attained by the effluent involving about 200 gpl ammonium nitrate and about 30 gpl ammonium sulphate. 5. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 1, comprising liquid-liquid extraction process steps of:i) carrying out extraction of a selective rare metal from a feed solution;ii) scrubbing or back extraction for removal of any other co-extracted rare metal impurities from said solvent thereby providing a purified loaded solvent;iii) subjecting the thus purified loaded solvent to the step of stripping of said selective rare metal from the solvent involving the ammonium nitrate-ammonium sulphate effluent generated in the process and thus maintaining common ion electrolyte based chemical ambience to the highly hydrolysable rare metal species to be stripped wherein the sulphate anion of said ammonium sulphate of the effluent forms aqueous soluble complex salt of the rare metal ion such as to be stripped effectively by said effluent and obtaining pure rare metal nitrate solution therefrom; andiv) precipitation of rare metal hydroxide by ammonium hydroxide solution containing trace quantity of sulphuric acid and obtaining said high purity nuclear grade selective rare metal oxide therefrom. 6. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 1, wherein said production of high purity rare metal oxides include oxides of zirconium, uranium, plutonium, hafnium, niobium, and tantalum. 7. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 1, wherein reduction of ammonium nitrate effluent volume attained is in the range of 12000 to 14000 liters for every metric ton of ZrO2 produced. 8. The TBP (tri-butyl phosphate)-nitrate based solvent extraction process as claimed in claim 5, wherein said liquid-liquid extraction involving the ammonium nitrate-ammonium sulphate effluent as a stripping agent is carried out to achieve substantial reduction in loss of rare metal to only about 0.3 to 0.4 gpl in the stripped solvent with increase in rare metal concentration in said pure rare metal nitrate solution in the range of 120 to 130 gpl with yield of >98% rare metal oxide product and an increase in ammonium nitrate concentration in said effluent in the range of 380 to 400 gpl thereby converting said effluent commercially attractive for disposal. 9. The process as claimed in claim 1 comprising drying wet precipitated rare metal hydroxide cake at a drying rate of 70 to 73 kg/hr.
claims
1. A radiation shielding apparatus, comprising:a. an annular cryogenic vessel adjacent a circumferential side wall of a crew module; andb. a cryogenic hydrogen radiation shielding material capable of providing a radiation shield, said cryogenic hydrogen radiation shielding material including hydrogen at a temperature of less than or equal to about 20 K,wherein said cryogenic hydrogen radiation shielding material is contained in said annular cryogenic vessel. 2. The radiation shielding apparatus of claim 1, wherein said cryogenic hydrogen radiation shielding material includes hydrogen at a temperature of less than or equal to about 14 K. 3. The radiation shielding apparatus of claim 1, wherein said cryogenic hydrogen radiation shielding material includes hydrogen at a temperature of less than or equal to about 12 K. 4. The radiation shielding apparatus of claim 1, said cryogenic hydrogen radiation shielding material including hydrogen at a temperature of from about 10 K to about 12 K. 5. The radiation shielding apparatus of claim 1, wherein said cryogenic hydrogen radiation shielding material includes liquid hydrogen, subcooled liquid hydrogen, solid hydrogen, subcooled solid hydrogen or a mixture thereof. 6. The radiation shielding apparatus of claim 1, wherein said cryogenic hydrogen radiation shielding material includes solid hydrogen, subcooled solid hydrogen or a mixture thereof. 7. The radiation shielding apparatus of claim 6, wherein said annular cryogenic vessel includes an aluminum skin and aluminum foam. 8. The radiation shielding apparatus of claim 1, wherein the cryogenic vessel includes at least one connector capable of attaching to a conduit capable of supplying or maintaining the temperature of the cryogenic hydrogen radiation shielding material.
claims
1. A beam manipulating arrangement for deflecting a plurality of charged particle beams, the arrangement comprising:a first plate having a central portion defining a plurality of apertures, and a frame portion outside of the central portion, the first plate carrying a plurality of deflectors positioned in the central portion of the first plate and a switching circuitry positioned in the central portion of the first plate, wherein each of the plurality of apertures has a deflector associated therewith, the deflector being electrically connected to the switching circuitry and configured to deflect a charged particle beam traversing the aperture;a second plate disposed opposite to the first plate such that a gap is formed between the second plate and the first plate, the second plate having a central portion defining a plurality of apertures and a frame portion outside of the central portion,wherein the apertures of the second plate are registered relative to the apertures of the first plate such that the beams of charged particles may traverse the second plate and the first plate; andat least one heater thermally coupled to the frame portion of the first plate. 2. The beam manipulating arrangement according to claim 1, wherein the heater has a body having a heat emitting surface opposite to a heat receiving surface portion of the second plate such that a gap is formed there between. 3. The beam manipulating arrangement according to claim 1, further comprising a heat sink having at least one inner heat receiving surface oriented transversely to directions of extension of the first plate and the second plate. 4. The beam manipulating arrangement according to claim 3, wherein the at least one heat receiving surface of the heat sink extends away from one of the frame portion of the first plate and the frame portion of the second plate. 5. The beam manipulating arrangement according to claim 4, wherein the heat sink is free of any direct mechanical contact with one of the frame portion of the first plate and the frame portion of the second plate. 6. The beam manipulating arrangement according to claim 3, wherein the heat sink has an outer surface facing towards the heater and wherein a thermal emissivity of the heat receiving surface is higher than a thermal emissivity of the outer surface. 7. The beam manipulating arrangement according to claim 3, wherein the heat sink comprises at least one liquid pipe. 8. The beam manipulating arrangement according to claim 1, wherein the heater comprises at least one resistor having terminals for supplying a heating current. 9. A charged particle beam system, comprising:a charged particle source for generating at least one beam of charged particles;the beam manipulating arrangement according to claim 1 disposed in a beam path of the beam of charged particles;a stage for mounting a substrate surface in a target plane relative to the beam manipulating arrangement; anda charged particle optics configured to direct beams of charged particles traversing apertures of the beam manipulating arrangement onto the target plane. 10. The beam manipulating arrangement according to claim 1, wherein the at least one heater is thermally coupled to the frame portion of the first plate, such that heat generated by the heater increases a temperature of the frame portion of the first plate relative to the temperature of the central portion of the first plate. 11. The beam manipulating arrangement according to claim 1, wherein the at least one heater is thermally coupled to the frame portion of the second plate, such that heat generated by the heater increases a temperature of the frame portion of the second plate relative to the temperature of the central portion of the second plate. 12. The beam manipulating arrangement according to claim 1, wherein the at least one heater is thermally coupled to the frame portion of the first plate, such that heat generated by the heater maintains the frame portion of the first plate at a temperature which is substantially equal to the temperature of the central portion of the first plate. 13. A beam manipulating arrangement for deflecting a plurality of charged particle beams, the arrangement comprising:a first plate having a central portion defining a plurality of apertures, and a frame portion outside of the central portion, the first plate carrying a plurality of deflectors positioned in the central portion of the first plate and a switching circuitry positioned in the central portion of the first plate, wherein each of the plurality of apertures has a deflector associated therewith, the deflector being electrically connected to the switching circuitry and configured to deflect a charged particle beam traversing the aperture; anda second plate disposed opposite to the first plate such that a gap is formed between the second plate and the first plate, the second plate having a central portion defining a plurality of apertures and a frame portion outside of the central portion,wherein the apertures of the second plate are registered relative to the apertures of the first plate such that the beams of charged particles may traverse the second plate and the first plate,wherein the switching circuitry comprises a plurality of circuit device elements,wherein the central portion of the first plate has a first region in which a number of circuit device elements per unit area of the first plate has a first value,wherein the central portion of the first plate has a second region in which the number of circuit device elements per unit area of the first plate has a second value greater than the first value;wherein at least one of first and second surfaces of the second plate has a first region opposite the first region of the first plate having a first heat emissivity, and a second region opposite the second region of the first plate having a second heat emissivity greater than the first heat emissivity. 14. The beam manipulating arrangement according to claim 13, wherein the circuit device elements include memory cells and shift registers. 15. The beam manipulating arrangement according to claim 13, wherein a density of apertures per unit area of the first plate in the first region of the central portion of the first plate is higher than in the second region thereof. 16. The beam manipulating arrangement according to claim 15, wherein the beam manipulating arrangement comprises three balls disposed at a distance from each other and each engaging corresponding grooves provided in the first plate and second plate respectively. 17. The beam manipulating arrangement according to claim 16, wherein two grooves provided in the first plate extend in different directions. 18. A beam manipulating arrangement for deflecting a plurality of charged particle beams, the arrangement comprising:a first plate having a central portion defining a plurality of apertures, and a frame portion outside of the central portion, the first plate carrying a plurality of deflectors positioned in the central portion of the first plate and a switching circuitry positioned in the central portion of the first plate,wherein each of the plurality of apertures has a deflector associated therewith, the deflector being electrically connected to the switching circuitry and configured to deflect a charged particle beam traversing the aperture;a second plate disposed opposite to the first plate such that a gap is formed between the second plate and the first plate, the second plate having a central portion defining a plurality of apertures and a frame portion outside of the central portion,wherein the apertures of the second plate are registered relative to the apertures of the first plate such that the beams of charged particles may traverse the second plate and the first plate, andat least one ball disposed between the first plate and the second plate,wherein the ball is engaged with a groove formed in the frame portion of the first plate and with a groove formed in the frame portion of the second plate.
description
The present invention is related to a shielding for ionizing radiation. More particularly, the present invention is related to a shielding with at least one movable part, said part arranged for opening said shielding. Radiation emitting sources, such as particle accelerators, targets, radioactive sources or wastes, emit unwanted ionising radiations, such as protons, neutrons, electrons and photons. In order to protect personnel from irradiation diseases, these radiation sources are generally placed in a shielding. The shielding must absorb the majority of the emitted radiations, such that transmission through the shield is below a threshold level specified by law or by company specifications. A basic solution for shielding is achieved by encapsulating said radiation sources, e.g. a cyclotron, into walls of concrete and/or other compounds. Such a configuration is known from document GB 2358415. The document discloses the use of building blocks to construct shielding walls. These blocks are provided with male and female-type sides that snugly fit into each other. The male-type sides have a tongue, bordered by coplanar shoulders. The shoulders occupy at least 20% of the total width of the blocks. However, this solution has a drawback as follows: when the installation of such walls around a radiation source is completed, the radiation source is no more accessible, unless one or more blocks are removed from the walls. This operation can be relatively long and complex due to blocks weight or numbers. Another solution is described in document US 2005/0218347, wherein one or more doors are provided for selectively access a targeting assembly of a particle accelerator. The side of the doors, which abut in the wall, have a staircase shape to reduce the transmission of radiation. However, additional shielding is often required in order to reduce transmission through the door clearances. The present invention aims to provide a shielding comprising at least one part that can be opened and closed, which is more efficient than the prior art shieldings in preventing or limiting the entrance of radiation into the shielding and/or the exit of radiation from said shielding. According to the present invention there is provided a shielding for reducing the amount of radiation passing through the shielding. The shielding comprises a first part and a second part, wherein the first part is arranged for being withdrawn from the second part and wherein said first and second parts comprise abutments. At least one pair of corresponding abutments of said first and second parts has a transverse section which is curvilinearly shaped along a portion of at least a part and preferably half of said transverse section. In normal operating conditions the first and second part of the shielding are positioned in face of each other and may contact each other. When a person wants to access what is covered by the shielding, at least the first part is arranged for being withdrawn from the second part, in order to open the shielding and gaining access to what is covered by the shielding. The term curvilinear in the present invention has the meaning of a line having in all its points a finite radius of curvature, wherein the term finite does not comprise zero. The curvilinearly shaped portion of the transverse section may extend along 50, 60, 70, 80, 90, or even 100 percent of the length of said transverse section. Preferably, the curvilinear section may have the shape of a C or an S. Other curvilinear sections may equally be employed, as long as the totality of curvilinear portions is substantially larger than the totality of rectilinear portions. More preferably, the curvilinear section may have a constant radius of curvature. Preferably, the curvilinear portions of corresponding abutments match. Preferably, at least a portion of said transverse section shows a value for the inverse of the radius of curvature different from zero. The present invention is useful for shielding radiation produced by a radiation source, such as a particle accelerator, a target, a radioactive source or radioactive waste. Advantageously, the radiation source is a cyclotron. Advantageously, the shielding comprises a shell that can be filled with radiation absorbing material. More advantageously, said shell comprises an outer region that can be filled with a high Z compound and an inner region that can be filled with a low Z compound. Preferably, said high Z compound comprises lead or iron. Preferably, said low Z compound comprises a polyethylene and/or a paraffin compound. Preferably, when the invention is used for shielding radiation produced by a cyclotron comprising a target, the cyclotron comprises an additional high Z material shield in front of said target. Advantageously, the shielding comprises wheels for displacing said first part. More advantageously, the shielding comprises wheels for also displacing said second part. Advantageously, the shielding comprises a lifting mechanism for said wheels. In an embodiment of the present invention, the second part is a container for limiting the exit of radiations from the radiation source to the outside. Such a container could be used, for example, for transporting and/or shielding radioactive sources, radioactive wastes, or the like. In another, more preferred embodiment of the present invention, said first part is a lid or a door adapted for fitting in an opening of said second part. Without any limitation, said opening could refer to a ceiling wall of a chamber, or a shielding vault door. According to a second aspect of the present invention, there is provided a method for reducing the amount of radiation passing through a shielding, the method comprising the steps of: providing a shielding comprising a first part and a second part, said first part and said second part comprising abutments and shaping corresponding abutments of the first and second part curvilinearly along a major portion of a transverse section of said abutments. The method prevents or limits the entrance of radiation into and/or the exit of radiation out of a shielding. Preferably, the method, according to the invention, comprises the step of providing wheels for moving said first part and said second part. Optionally, the method, according to the invention, comprises the step of providing a lifting mechanism for lifting up and down said first part and said second part such that they respectively move or rest. Preferably, the method according to the invention comprises the step of providing a shell filled with radiation absorbing material. More preferably, according to the second aspect of the invention, said shell comprises an outer region that can be filled with a high Z compound and an inner region that can be filled with a low Z compound. Advantageously, according to the second aspect of the invention, said high Z compound comprises lead or iron. Advantageously, according to the second aspect of the invention, said low Z compound comprises a polyethylene and/or a paraffin compound. Preferably, according to the second aspect of the invention, said radiation is produced by a radiation source. More preferably, according to the second aspect of the invention, said radiation source is a cyclotron. Advantageously, the method according to the invention, wherein said cyclotron comprises a target, comprises the step of providing an additional high Z material shield in front of said target. FIG. 1 shows a radiation source 10, in the following embodied by a cyclotron, enclosed in a shielding 11. The cyclotron 10 rests on feet 12 mounted on a concrete floor 13. Pipes that lead to the cyclotron may be embedded in the floor 13. The floor level 131 on which the cyclotron is mounted is at a lower level with reference to the level 132 on which the shielding 11 rests. Shielding 11 comprises a shell 113, preferably made out of steel. This shell may be filled with radiation absorbing materials. Currently, suitable materials are e.g. lead, iron, polyethylene or a paraffin compound. Lead is provided in an outer region 114 of the shielding 11 in order to stop primary and secondary gamma rays. The inner region 115 of the shielding 11 may comprise a neutron absorbing material such as polyethylene or a paraffin compound. Preferably, an additional lead shield 116 is provided in front of each target of the cyclotron in order to slow or stop photons emitted from the source. Such an additional lead filter 116 permits to reduce the thickness of the shielding 11 at these locations for a specified required transmission dose. The shielding 11 comprises two parts, a male part 111, and a female part 112, both of which are provided with wheels 14. Hence, male part 111 and female part 112 are movable in order to open and close the shielding 11. FIG. 4 shows the shielding 11 in opened state. In this state, the cyclotron can be accessed. Preferably, each of moving parts 111 and 112 rest on three wheels. As the mass of such a shielding may exceed ten tons, wheels are designed such as to be able to bear the heavy load. Wheels 14 slide on rail tracks 15. A clearance between the floor and the moving shielding parts 111 and 112 has to be provided for said parts to move. In a closed configuration, such as depicted in FIG. 5, this clearance would constitute a bottom Leakage path for the radiation emitted by the cyclotron. A method of reducing the transmission of radiation along this leakage path comprises the step of providing a lifting mechanism for the wheels. When the moving parts 111 and 112 are to be moved, this mechanism lifts the parts up so that they may travel. When the shielding is closed, the mechanism may lift said moving parts down such that they rest on the floor without any clearance. This method is, however, cumbersome, particularly in view of the large mass of the shielding. Moreover, deformation in the structure of the shielding, due to the large mass, may cause the clearance not to vanish everywhere. An alternative method comprises the step of placing the cyclotron on a lower floor level 131 with respect to the level 132 on which the moving parts of the shielding are placed, as shown in FIG. 1. The clearance 133 between shielding 11 and floor 13 can then be sealed by providing a strip 16 of radiation absorbing material at the inside of the shielding. In this way, radiation that enters the clearance must first pass the absorbing material before entering the clearance. Strip 16 covers the inlet of clearance 133 and may consist of polyethylene or paraffin compounds. An additional step may be to further reduce the transmission of radiation along the clearance by providing a strip 17 of absorbing material at the underside of moving parts 111 and 112. When the shielding 11 is closed, as depicted in FIGS. 1, 2, 3 and 5, clearances occur wherever one of the moving parts 111 and 112 abuts against the other. In the particular embodiment as presently outlined and referring to FIG. 4, this occurs in between lateral abutments 18 and 19 (i.e. the points where two structures or objects meet) of respectively male part 111 and female part 112, and in between the upper abutments 20 and 21, respectively of the male and female part. In the more general case, a clearance (i.e. the amount of clear space or distance between two objects) will occur between any two moving parts and between any moving and fixed part of the shielding. Clearances have to be kept as small as possible, but can not be avoided. They constitute a mechanical tolerance limit. In fact, the large mass of the shielding would deform the shielding structures, and a clearance has to be specified in order for one part to abut as snugly as possible against another part. However, the occurrence of these clearances notwithstanding, the transmission of radiation through such clearances can be significantly reduced by an appropriate design of the abutments 18, 19, 20 and 21 and without the need of providing additional shielding to cover the clearances. Abutments 18 and 20 are of a male type and are arranged for fitting into the female type abutments 19 and 21. The transverse section of these abutments is curvilinearly shaped along a substantial portion of the section. Referring to FIG. 3, abutments 18 and 19 are entirely curvilinearly shaped. The transverse section of both abutments 18 and 19 has a constant radius. The radius of abutment 19 is slightly larger than the radius of abutment 18 in order to keep the design clearance constant. Referring to FIG. 1, upper abutments 20 and 21, feature a transverse section which is curvilinearly shaped along a substantial portion of the section. FIGS. 10 to 17 present Monte Carlo simulation results of the transmission of radiation for different clearance configurations. FIG. 10 represents the case of a totally closed shielding, with no clearances. FIG. 11 represents the case of a shielding with one rectilinear clearance 32a. FIG. 12 represents the case of a shielding with a stair-cased clearance 32b. FIG. 13 represents the case of a shielding with a C-shaped clearance 32c. At a number of regularly spaced locations, within the shielding and along the outside of the shielding, the incident radiation, emitted from the target 31, was measured by a virtual dosimeter in terms of neutron and photon doses. These locations are indicated by hollow circles on FIGS. 10-13. The fact that the clearance follows a curvilinear path along a substantial portion of its length, causes the radiation (photons, neutrons, . . . ) travelling through the clearance to be reflected a much larger number of times with reference to a clearance having large rectilinear portions. As only a fraction of the incident radiation is reflected, the former kind of clearances provides a reduced transmission of radiation. FIGS. 1 to 5 present abutments featuring an essentially C-shaped transverse section. Other curvilinear sections are equally effective, as long as the totality of curvilinear portions is substantially larger than the totality of the rectilinear ones. FIG. 6 depicts, for example, an S-shaped clearance. Furthermore, referring to FIG. 13, one can observe that the total thickness of the shielding that radiations encounter, when travelling through the shielding, is approximately the thickness of the shielding minus two times the thickness of the gap in the clearance 32c, independently from the direction of the radiations emitted from the target 31. By contrast, referring to FIG. 11 or 12, one can observe that said total thickness value depends somehow on the direction of the radiations. In the latter case, one can also easily realize that some directions are privileged since they make the total thickness value met by radiations much lower than the one according to the case of FIG. 13. The results of these Monte Carlo simulations for the cases depicted in FIGS. 10-13 are presented in FIGS. 14-17. FIG. 14 presents the simulated incident doses for the case of FIG. 10. The graphs on the left hand show the doses along the rectilinear path in the shielding. On the horizontal axis, 0 cm refers to the inner border of the shielding, and 60 cm to the outer border. The dashed vertical line marks the limit between the polyethylene or paraffin compound and the lead or iron. The doses are normalised with reference to the first calculated value. The graphs on the right hand show the doses along an arc (virtual dosimeter) 30, outside the shielding. On the horizontal axis, 0 cm refers to the centre of the arc. The doses are normalised with reference to the first calculated value (leftmost value on the graphs). Likewise, FIGS. 15-17 present simulation results for the cases depicted respectively in FIGS. 11-13. For the case of the rectilinear clearance of FIG. 11, a very large dose is transmitted through the clearance 32a, as shown in FIG. 15. For the case of the stair-cased clearance of FIG. 12, at the arc centre a peak value in relative dose is 50 for neutrons and 20 for photons, as shown in FIG. 16. These peak values are significantly reduced by the use of the C-shaped clearance of FIG. 13, as shown in FIG. 17. These peak values reduce to 2.3 and 2.2 respectively. The location of occurrence of the peaks is also displaced along the arc (not in the centre any more). Comparing the results of FIG. 17 with the results of FIG. 14 it is clear that the values with the C-shaped clearance are of the same order of magnitude as the values for the case of a totally closed shielding. Additional shielding is therefore not necessary. In a preferred embodiment according to the present invention, the shielding 11 comprises a steel shell 113. The total thickness of the shielding is 850 mm around the cyclotron and 600 mm above it. The outer diameter of the shielding is 3.3 m. The gap between cyclotron and shielding in closed state is about 5 cm. Abutments in this preferred embodiment have a transverse section essentially of C or S shape, and abut against each other, each of said abutments having a complementary shape with respect to another. In another preferred embodiment according to the present invention, a part 182, as shown in FIG. 18a, is a container. When the part 181 and the part 182 are in a closed configuration, the C-shape of the abutments 18 and 19 limits the exit of radiations from the radiation source 10 to the outside. Such a container could be used, for example, for transporting and/or shielding a radioactive source, radioactive wastes, or the like. In another preferred embodiment according to the present invention, represented in FIG. 18b, a part 184, having C-shaped abutments 19, has an opening 9 which can be closed with the moveable part 183, also having C-shaped abutments 18. Without any limitation, the part 184 can be a ceiling wall of a chamber, or simply a shielding vault door.
abstract
Problem diagnostics may be obtained from components that log messages using a unique component identifier which is provided within each message so as to enable routing of callbacks to the component that originated the message. Similarly, problem diagnostics may be obtained from components that generate alerts, where the alerts contain the unique identifier of the component that generated the alert. Each component supports a diagnostic provider interface comprising operations which may be dynamically invoked, for example to solicit information from the component such as its configuration data, its state information, to execute self-diagnostic tests, and so forth. In addition or instead, operations may be provided that can be invoked to cause the component to alter its configuration data (such as notifying the component to change its level of message logging) or its behavior.
claims
1. An EUV (Extreme Ultraviolet) collector mirror for an EUV light source, the EUV light source being configured for irradiating a target with laser light from a driver laser to turn the target into plasma, the EUV collector mirror comprising a multilayered reflecting surface with grooves and being configured for collecting EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, wherein the grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser. 2. The EUV collector mirror according to claim 1, wherein a wavelength of light to be diffracted by the grooves is approximately 10.6 μm. 3. The EUV collector mirror according to claim 1, wherein the driver laser is a carbon dioxide (CO2) laser. 4. The EUV collector mirror according to claim 1, wherein the EUV collector mirror has a first focus and a second focus. 5. The EUV collector mirror according to claim 4, wherein the grooves are arranged concentrically with respect to an axis going through the first focus and the second focus. 6. The EUV collector mirror according to claim 1, wherein the EUV collector mirror is one of an ellipsoidal mirror and a paraboloidal mirror. 7. The EUV collector mirror according to claim 6, wherein the grooves are arranged concentrically with respect to an axis of symmetry of the multilayered reflecting surface of the one of the ellipsoidal mirror and the paraboloidal mirror. 8. The EUV collector mirror according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 300 μm to 800 μm. 9. The EUV collector mirror according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 1.54 μm to 400 μm. 10. The EUV collector mirror according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 400 μm to 800 μm. 11. The EUV collector mirror according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 1.54 μm to 800 μm. 12. The EUV collector mirror according to claim 1, wherein the multilayered reflecting coating comprises 100 to 1000 pairs of stacked Mo/Si layers. 13. The EUV collector mirror according to claim 1, whereinthe multilayered reflecting surface comprises pairs of stacked Mo/Si layers, anda nadir of each groove reaches approximately 250 th to 300 th pair of the stacked Mo/Si layers from a surface of the one of the mirrors. 14. The EUV collector mirror according to claim 13, further comprising a substrate with the multilayered reflecting surface, whereinthe multilayered reflecting surface includes approximately 50 pairs of the stacked Mo/Si layers between the nadir and the substrate. 15. The EUV collector mirror according to claim 1, further comprising a surface coating on a surface of the multilayered reflecting surface. 16. The EUV collector mirror according to claim 15, wherein a material of the surface coating includes ruthenium. 17. A method for manufacturing an EUV collector mirror according to claim 1, the method comprising the step of irradiating the multilayered reflecting surface with a particle beam through a mask to form the grooves configured for diffracting light at a wavelength different from that of the EUV light. 18. The method according to claim 17, wherein the particle beam is an ion beam. 19. The method according to claim 17, wherein the irradiating step includes rotating the EUV collector mirror about an axis of symmetry of the EUV collector mirror when irradiating the multilayered reflecting surface with the particle beam. 20. The method according to claim 19, wherein the irradiating step further comprises the steps of:irradiating the multilayered reflecting surface with the particle beam delivered in a first direction to form a first groove;changing an irradiation direction of the particle beam to a second direction from the first direction; andirradiating the multilayered reflecting surface with the particle beam delivered in the second direction to form a second groove. 21. The method according to claim 19, wherein the first and second directions are substantially perpendicular to the multilayered reflecting surface to which the particle beam is delivered. 22. The EUV collector mirror according to claim 1, wherein the grooves are configured for diffracting light to be reflected or scattered by the target. 23. The EUV collector mirror according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target. 24. The EUV collector mirror according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target to focus the reflected or scattered laser light on a position. 25. The EUV collector mirror according to claim 1, wherein the grooves are configured for diffracting the laser light to be reflected or scattered by the target to focus the reflected or scattered laser light on a position where no focal spot on which the EUV light is focused is placed. 26. The EUV collector mirror according to claim 1, wherein pitches of the grooves are varied in accordance with locations on the multilayered reflecting surface. 27. An EUV light source for generating an EUV light for an exposure device, the EUV light source being configured for irradiating a target with laser light from a driver laser to turn the target into plasma from which the EUV light is emitted, the EUV light source comprising:a chamber;a target supply device configured for supplying the target into the chamber; andan EUV collector mirror in the chamber, the EUV collector mirror comprising a multilayered reflecting surface with grooves and being configured for collecting the EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser. 28. The EUV light source according to claim 27, wherein a wavelength of light to be diffracted by the grooves is approximately 10.6 μm. 29. The EUV light source according to claim 27, wherein the driver laser is a carbon dioxide (CO2) laser. 30. The EUV light source according to claim 27, further comprising a magnetic field generator for generating a magnetic field around the plasma. 31. The EUV light source according to claim 30, wherein the magnetic field generator comprises a plurality of coils for generating the magnetic field. 32. The EUV light source according to claim 27, wherein the target supply device is configured for providing the target to the chamber in the form of droplets. 33. The EUV light source according to claim 32, wherein a material for the target is Sn. 34. The EUV light source according to claim 27, wherein the chamber contains at least one of a hydrogen gas, a halogen gas, a hydrogenated halogen gas, and an argon gas. 35. The EUV light source according to claim 34, further comprising a heater for heating the EUV collector mirror. 36. The EUV light source according to claim 34, further comprising a radio wave generator configured for generating a radio wave to excite the at least one of the hydrogen gas, the halogen gas, the hydrogenated halogen gas, and the argon gas in the chamber. 37. The EUV light source according to claim 27, further comprising a light shielding device placed between the EUV collector mirror and the exposure device, and configured for passing light to be collected to the focal spot by the EUV collecting mirror. 38. The EUV light source according to claim 37, wherein the light shielding device is placed between a region where the target is turned into the plasma and the exposure device. 39. The EUV light source according to claim 37, wherein the light shielding device includes a cooling device for cooling the light shielding device. 40. The EUV light source according to claim 37, wherein the light shielding device includes a through-hole through which the light to be collected to the focal spot passes. 41. The EUV light source according to claim 40, wherein the through-hole of the light shielding device has a diameter equal to or less than around 10 mm. 42. The EUV light source according to claim 40, wherein the through-hole of the light shielding device has a diameter in a range of 4 mm to 6 mm. 43. The EUV light source according to claim 27, wherein the EUV collector mirror has a first focus and a second focus. 44. The EUV light source according to claim 43, wherein the grooves are arranged concentrically with respect to an axis going through the first focus and the second focus. 45. The EUV light source according to claim 27, wherein the EUV collector mirror is one of an ellipsoidal mirror and a paraboloidal mirror. 46. The EUV light source according to claim 45, wherein the grooves are arranged concentrically with respect to an axis of symmetry of the multilayered reflecting surface of the one of the ellipsoidal mirror and the paraboloidal mirror. 47. The EUV light source according to claim 27, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 300 μm to 800 μm. 48. The EUV light source according to claim 27, further comprising a surface coating on a surface of the multilayered reflecting surface. 49. The EUV light source according to claim 48, wherein a material of the surface coating includes ruthenium. 50. The EUV light source according to claim 27, wherein the EUV collector mirror is positioned to first reflect the EUV light from the plasma. 51. The EUV light source according to claim 27, wherein the EUV collector mirror is positioned so that the EUV light from the plasma is directly incident on the EUV collector mirror. 52. An EUV (Extreme Ultraviolet) collector mirror for an EUV light source, the EUV light source being configured for irradiating a target with laser light from a driver laser to turn the target into plasma, the EUV collector mirror comprising a multilayered reflecting surface with grooves and being configured for collecting EUV light from the plasma to a focal spot, the grooves being arranged in a concentric fashion, whereinthe EUV collector mirror is an ellipsoidal mirror. 53. The EUV collector mirror according to claim 52, wherein the EUV collector mirror has a first focus and a second focus, and is configured for focusing on around the second focus the EUV light from the plasma to be generated around the first focus. 54. The EUV collector mirror according to claim 53, wherein the grooves are configured for diffracting at least light at a wavelength which is the same as that of the laser light from the driver laser, the diffracted light being focused on a position where no focal spot on which the EUV light is focused is placed. 55. The EUV collector mirror according to claim 52, wherein the multilayered reflecting surface is configured for focusing the EUV light on the focal spot by Bragg reflection.
claims
1. A vapor forming apparatus, comprising:an insulated container configured to enclose a nuclear waste container, the nuclear waste container including radioactive decay material, the insulated container including,an inlet valve configured to receive vapor forming liquid, the radioactive decay material transferring heat to the vapor forming liquid; andan outlet valve configured to output vapor formed by the radioactive decay material heating the vapor forming liquid;a switching valve unit configured to receive the vapor forming liquid from the insulated container; anda control unit configured to control the switching valve unit to output vapor of the vapor forming liquid if at least one property of the vapor forming liquid is above a threshold, wherein the vapor forming liquid includes a mixture consisting essentially of one of (1) water and acetone and (2) water and alcohol. 2. The vapor forming apparatus of claim 1, further comprising:at least one thermocouple configured to monitor the heat transferred to the vapor forming liquid. 3. The vapor forming apparatus of claim 1, wherein the insulated container includes a removable closure to insert the nuclear waste container into the insulated container. 4. A vapor forming system, comprising:a storage unit configured to hold vapor forming liquid;a plurality of vapor forming apparatuses that are connected to each other in series, each of the plurality of vapor forming apparatuses including an insulated container configured to enclose a nuclear waste container, the nuclear waste container including radioactive decay material;a pumping unit configured to pump the vapor forming liquid from the storage unit and transfer the vapor forming liquid through each insulated container of the plurality of vapor forming apparatuses where the radioactive decay material transfers heat to the vapor forming liquid in each stage;a switching valve unit configured to receive the vapor forming liquid from a last vapor forming apparatus of the plurality of vapor forming apparatus; anda control unit configured to control the switching valve unit to output vapor of the vapor forming liquid if at least one property of the vapor forming liquid is above a threshold. 5. The vapor forming system of claim 4, wherein the control unit is configured to control the switching valve unit to output the vapor forming liquid via a bypass line to the storage unit if the at least one property of the vapor forming liquid is equal to or below the threshold. 6. The vapor forming system of claim 4, wherein the vapor forming liquid includes a mixture of one of (1) water and acetone and (2) water and alcohol. 7. The vapor forming system of claim 4, wherein the at least one property of the vapor forming liquid includes temperature and pressure. 8. The vapor forming system of claim 7, further comprising:a pressure monitoring unit configured to monitor the pressure of the vapor forming liquid; anda temperature monitoring unit configured to monitor the temperature of the vapor forming liquid,wherein the control unit is configured to receive temperature information and pressure information from the temperature monitoring unit and the pressure monitoring unit, respectively, and configured to control the switching valve unit based on the temperature information and the pressure information. 9. The vapor forming system of claim 8, wherein the pressure monitoring unit and the temperature monitoring unit are connected between an outlet valve of the plurality of vapor forming apparatuses and the switching valve unit. 10. The vapor forming system of claim 8, whereinthe control unit controls the switching valve unit to output the vapor of the vapor forming liquid if the pressure and temperature are high enough for energy conversion to occur,the control unit controls the switching valve unit to output the vapor forming liquid via a bypass line to the storage unit if the pressure and temperature are not high enough for energy conversion to occur. 11. The vapor forming system of claim 4, wherein the insulated container for each vapor forming apparatus includes a removable closure to insert the nuclear waste container into the insulated container. 12. The vapor forming system of claim 4, further comprising:a power module generator configured to receive the vapor from the switching valve unit and generate electrical energy based on the vapor. 13. A method of producing vapor, the method including:transferring vapor forming liquid through a plurality of vapor forming apparatuses that are connected to each other in series, each of the plurality of vapor forming apparatuses including an insulated container configured to enclose a nuclear waste container, the nuclear waste container including radioactive decay material, the radioactive decay material transferring heat to the vapor forming liquid; andoutputting vapor of the vapor forming liquid from a last vapor forming apparatus of the plurality of vapor forming apparatuses if at least one property of the vapor forming liquid is above a threshold. 14. The method of claim 13, further comprising:outputting the vapor forming liquid via a bypass line to a storage unit if the at least one property of the vapor forming liquid is equal to or below the threshold, the storage unit holding the vapor forming liquid to be supplied to a first vapor forming apparatus of the plurality of vapor forming apparatuses. 15. The method of claim 13, wherein the vapor forming liquid includes a mixture of one of (1) water and acetone and (2) water and alcohol. 16. The method of claim 13, wherein the at least one property of the vapor forming liquid includes temperature and pressure. 17. The method of claim 16, further comprising:monitoring the temperature and pressure of the vapor forming liquid,wherein the outputting step outputs the vapor of the vapor forming liquid if the pressure and temperature are high enough for energy conversion to occur,wherein the outputting step outputs the vapor forming liquid via a bypass line to a storage unit if the pressure and temperature are not high enough for energy conversion to occur. 18. The vapor forming apparatus of claim 1, further comprising:a pressure monitoring unit configured to monitor the pressure of the vapor forming liquid; anda temperature monitoring unit configured to monitor the temperature of the vapor forming liquid,wherein the at least one property includes temperature information and pressure information from the temperature monitoring unit and the pressure monitoring unit, respectively.
description
This application is a continuation of U.S. patent application Ser. No. 11/433,048, filed May 12, 2006, which claims priority from U.S. Prov. Pat. App. 60/680,660 filed May 14, 2005, both of which are hereby incorporated by reference. The present invention relates to charged particle beam systems, such as focused ion beam systems and electron beam systems. Charged particle beams, such as focused ion beam systems and electron beam systems, direct charged particles onto a work piece for processing the work piece or for forming an image of the work piece. Charged particle beam systems are used, for example, in integrated circuit fabrication and other nanotechnology processing. Charged particles beam systems typically include a source of particles, a beam blanker, accelerating lenses, focusing optics, and deflection optics. A charged particle source may be, for example, a liquid metal ion source, a plasma ion source, or a thermal field electron emitter, such as a Schottky emitter. A beam blanker interrupts the beam by directing it away from the work piece and into a solid stopping material. The focusing optics focus the beam into a spot or a predefined shape on the surface of a sample. Focusing optics typically include a combination of condenser lenses and an objective lens. The lens can be electrostatic, magnetic, or various combinations of the two. Charged particle lenses, like light lenses, have aberrations that prevent the particles from being focused to a shape image. The aberration is least for charged particles passing through the center of the lens, and the aberration increases as the distance from the center of the lens increases. It is desirable, therefore, for the charged particle beam to pass very near the center of the lens. One type of aberration, referred to as “beam interaction” occurs because the particles in the beam, all having the same electrical charge, repel each other. The closer the particles are to each other, the greater the repulsive force. Because the particles are typically converging after passing through the objective lens, it is desirable to position the objective lens as close as possible to the work piece, to reduce the time that the particles are focused in a tight beam. The distance between the objective lens and the work piece is referred to as the “working distance.” The deflection optics direct the beam to points, referred to as “dwell points” or “pixels,” on the surface of the work piece. For example, the beam may be directed in a raster pattern, in a serpentine pattern, or toward an arbitrary sequence of individual points. The beam will typically dwell at a point for a specified period, referred to as “dwell period,” to deliver a specified “dose” of charged particles, and then be deflected to the next dwell point. The duration of the dwell period is referred to as the “dwell time” or the “pixel rate.” (While pixel “rate” more properly refers to the number of pixels scanned per second, the term is also used to indicate the time the beam remains at each pixel.) The deflection optics can be magnetic or electrostatic. In focused ion beam systems, the deflection optics are typically electrostatic. Electrostatic deflectors for focused ion beam are typically octupoles, that is, each deflector includes eight plates, distributed around the circumference of a circle. Different voltages are applied to the eight plates to deflect the beam away from the optical axis in different directions. If the deflector is placed below the objective lens, the beam can pass through the center of the objective lens to minimize aberration. Such a configuration is used, for example, in the VisION System sold by FEI Company, the assignee of the present invention. Placing the deflector below the objective lens, however, increases the working distance, thereby increasing the beam aberration. To minimize the working distance, one can place the deflector above the objective lens. With the deflector above the lens, however, when the beam is deflected, it is moved away from the center of the lens, thereby increasing certain aberrations. To solve this problem, many focused ion beam systems use a two stage deflector 100 as shown in FIG. 1 to deflect a beam 102 from an optical axis 104. A first stage 110 deflects the beam 102 to one side of optical axis 104, and the second deflector 114 deflects the beam back to the other side of optical axis 104 so that the beam 102 passes through the center of an objective lens 120, but at an angle such that the beam is deflected to be in the correct position as it impacts a work piece 122. Voltages of the same magnitude are typically applied to both stages of the deflector to achieve the desired deflection. Charged particle beams process or image work pieces by delivering a calculated number of particles to precise locations on the work piece. Each particle causes a change in the work piece and the ejection of secondary particles. To precisely control the processing, one must control the number of particles impacting each point on the surface. As features of the work pieces processed by charged particle beams get ever smaller, charged particle beams must be able to more precisely deliver a controlled number of ions to each small point on the work piece surface. This precise control requires deflectors that can rapidly move a beam from pixel to pixel, while delivering the correct dose of particles to each pixel. An object of the invention is to improve the ability of charged particle beam systems to precisely direct particles to a work piece. As the demands for precision in charged particle beam processing increases, the time required for charged particles to move through the charged particle beam system becomes a significant factor in precisely controlling the beam. For example, when a signal applied to a deflector system is changed to direct the beam from a first dwell point to a second dwell point, charged particles that have already passed through part of the deflection system when the voltage is changed will not receive the correct forces to deflect them to either the first or the second dwell point. As dwell periods become shorter, voltage changes become more frequent, and the number of particles that are traversing the deflection system during voltages change increases, so more particles are misdirected, making it impossible to precisely process a work piece. The invention compensates for the time required for the charged particles to traverse the system by altering one or more of the deflector signals. According to one embodiment of the invention, signals applied to the stages of a multiple stage deflector system are applied independently, for example, at different times, to more closely align the deflection signals with the flight of the particles through the deflection system so fewer particles are misdirected. For example, in a two stage deflection system, a change to the second stage deflector voltage may be delayed with respect to the change to the first stage deflector voltage. The delay provides improved beam control and allows for precise processing even at reduced dwell time. The invention facilitates precise delivery of charged particles in a charged particle beam system having a directable beam, and is particularly useful when the system is changing the beam position rapidly, that is, when using short dwell times. In a typical high performance charged particle beam optical column, a dual stage deflection system is used to control the position of the particle beam on the work piece. Each stage of the deflection system imparts an appropriate deflection to the beam as it passes. The two stages work together to ensure the beam follows the correct path through the column and the particles impact the work piece at the desired point. The amount of deflection in each stage is determined by electrical signals that apply voltages to the deflector plates in that stage. By varying the voltages on the plates, the electrical fields through which the charged particles pass are varied, which changes the force on the particles and therefore their landing positions on the work piece. In a prior art deflection system, the beam is moved to a different pixel by changing the voltages on both deflection stages at the same time. However, because it takes the particles a finite amount of time to pass through the deflection system, there will be particles within the system while the voltage change is occurring. Those particles will receive part of the deflection force appropriate for one pixel and part of the deflection appropriate for the next pixel. Because of the criss-cross design of the two stage deflector, the particles passing through the deflection system during the transition will typically impact not at a point between the two pixels, but at some other point. For example, particles that have already passed through the first deflector stage when the voltages are changed will not be affected by the changed first deflector stage voltage. Rather, they are affected only by the second stage voltage change. These particles will land on the workpiece at an undetermined point that is neither the original point nor the final point. Some points on the work piece will not receive the full particle dose intended, while other points may receive an excess of particles. The effects of this phenomenon become more pronounced as the dwell time becomes closer to the time it takes a particle to pass through the deflection system, because as the dwell time gets shorter, a higher percentage of the charged particles are affected by the transition. When the dwell period is less than the time required for the particles to traverse the deflection system, none of the charged particles will receive the intended deflection force. In accordance with a preferred embodiment of the invention, this misdirection effect of charged particles in the system during the signal change is be mitigated by altering the deflector signals. The deflector signals in any deflector stage can be varied. For example, in a two stage deflector, the alteration can include introducing a delay in the electrical signal going to the second deflector stage. The signal is first changed for the first stage and then, at a later time, the signal is changed for the second stage. This time delay can be varied for different implementations, but the amount of delay is typically related to how long it takes the charged particle to travel through the different parts of the deflector system. To determine the effect of the time of flight and different signal delays on the particle landing position for systems, a focused ion beam system was modeled and various simulations were performed. In one embodiment of an ion beam system, applicants delayed the second stage signal for each dwell point by the time of flight (“TOF”) between the center of each deflector octupole. For example, if the distance between octupole centers is 100 mm and the speed of the ions is 0.25 mm/ns the signal to the lower octupole is delayed 400 ns from the time the signal is applied to the upper octupole. The optimum signal delay depends on the TOF, which depends on the velocity of the particles and therefore on the accelerating voltage, which corresponds to the beam energy. The signal delay is preferably programmable so that it can be adjusted as the beam energy is changed. There is also some transition time required for the system electronics to change the voltage on the plates of the octupoles, and the beam moves in a non-linear fashion during the system electronics transition time. In preferred embodiments, the transition is made as short as possible. The simulations described below show the short time period of non-linear behavior, although the behavior is actually not as bad in a real system. Simulation Model A simplified two dimensional, planar model of a deflection system for an ion beam system was created using the charged particle simulation software Simion 7.0 (Ion Source Software) from Scientific Instrument Services, Inc., Ringoes, N.J. FIG. 2 shows a deflection system 200 that was modeled. Deflection system 200 includes an upper octupole 202 and a lower octupole 204, separated by a spacer 206. FIG. 2 also shows an upper octupole termination plate 210 and a first element 212 of a second ion lens. The first element of the second ion lens 212 serves as a field termination element for the lower octupole 204 to provide a more realistic axial deflection field. (The first ion lens is position above the deflection system 200 and is not shown or modeled). All components are centered on an optical axis 220. FIG. 3 shows a schematic of the ion beam column that was modeled in FIG. 2. Blanking plates 312 are positioned above upper octupole 202. A termination plane 308 is added at a typical working distance 310 of 15 mm below lens 212. This lens configuration allows the comparison of deflected ion positions as a function of time Simulated groups of 400 to 1000 ions were launched on an optical axis 220, each ion separated by a 1 ns delay. This provides a stream of ions similar to a continuous beam. Ions launched at different times are in different parts of the column when the deflection voltages changes. A user program was written in Simion's programming language to modulate the voltages on the octupole plates in real time as the ions are in flight through the model. Simion's recording features were used to record the ion's time and radial position at the ‘sample plane’, as well as more detailed information on the nanosecond-by-nanosecond position and local electrostatic fields of each ion in the model. This data was then imported into Mathematica 4.1, from Wolfram Research, Champaign, Ill., using a notebook designed to import, parse, and plot the data for a more automated analysis. The model simulated 30 keV gallium ions, which traveled at about 0.29 mm/ns. The scale to the right in FIG. 3 shows the column dimensions, and the scale to the left shows the corresponding times for the gallium ion to travel those dimension. FIG. 3 shows that blanking plates 312 have a length 340 of about 10 mm. The distance 342 from the top of blanking plate 312 to the top of upper octupole 202 is 49 mm. The length 344 of upper octupole 202 is 16 mm and the length 346 of lower octupole 204 is 36 mm. The spacing 348 between upper octupole 202 and lower octupole 202 is 15 mm. The distance 350 from the top of upper octupole 202 to the bottom of lower octupole 346 is about 68 mm. For a 30 keV gallium ion beam, the time, tb, required for an ion to travel from the bottom of the blanking plates to the upper octopole is 134 ns. The time, tu, required for a gallium ion to travel through the upper octupole is 56 ns. The time, tg, required for a gallium ion to traverse the distance between the octupoles is 53 ns, and the time, tl, required for an ion to traverse the lower octupole is 125 ns. The time, tf, for an ion to go from the center of the upper octupole to the center of the lower octupole is about 143 ns. The total time for an ion to traverse the deflector assembly is tu+tg+tl, or about 234 ns. In addition to the time of flight delay, one must also consider the system electronics transition time of about 10 ns to change the voltage on the deflectors, and so one can consider the total time required to redirect the beam and have ions land on the desired new spot to be about 245 ns. The octupole dimensions and positions were set so that the beam passes through the center of lens 212, and lower octupole creates the maximum field of view, deflecting the beam, for example, an extra 500 μm to achieve a 1 mm field of view. If a lower energy beam is used, the TOF is increased. For example, a 5 kv beam increases the TOF by 2.45 and the delay between centers of the octupoles would be 318 ns. Simulation Results The simulation results are discussed in three sections below: deflection without delay, deflection with delay (including optimal delay setting and rapid deflection), and blanking. All simulation used Ga+ions with an atomic mass of 69.7AMU and a kinetic energy of 30 keV. Commonly used gallium liquid metal ion sources are a mixture of more than one isotope, and the deflections and the time of flight of the different isotopes will be slightly different. One could use a single isotope gallium source to remove this factor. Deflection voltages on the plates were stepped in 10 V increments with each transition requiring 10 ns to complete. The simulation moved the ion beam between four pixels. The target deflections at four voltages were computed as shown in Table 1: TABLE 1Plate Voltage onoctupoles (V)Net Deflection (mm)+/−1850.855+/−1750.808+/−1650.761+/−1550.715 Voltages of the same magnitudes are applied to both stages of the deflection, and the polarity of the plates are reversed in the first and second stages. To position the ion beam at the first pixel, which is 0.855 mm from the optical axis 220, a voltage of +/−185 V is applied to the upper octupole and the lower octupole. To deflect the beam to the second pixel, 0.808 mm from the optical axis, +/−175 V is applied to the upper and lower octupoles. The upper octupole is oriented such that its deflection is in the negative y direction. The lower octupole is oriented the opposite manner such that its deflection is in the positive y direction. As described earlier, the ions were “flown” as a single group, with each ion in the group has a 1 ns delay relative to the preceding ion. In the graphs of FIGS. 4-7, the x-axis represents the ion's delay time relative to the start time of the first ion. Deflection Without Delay (Prior Art) The simulation shows that operating the column in a deflection mode in which the voltages on the plates of the upper and lower octupole are changed simultaneously provides less precise beam positioning than operating with a delay between voltage changes on the octupole. An ion will arrive at the sample plane with the desired deflection only if it has experienced the full deflection fields in the upper and lower octupoles. Any ion that is inside the deflection system, from the beginning of the upper octupole to the end of the lower octupole, when the deflection voltage changes will be misdirected because it will be subjected to unmatched fields in the upper and lower octupoles. It takes about 245 ns for a 30 keV gallium ion beam to fully transition from one pixel to another, including 235 ns time of flight through the deflectors, as shown in FIG. 3, and 10 ns to change the deflector voltage. For a dwell time of 300 ns, for example, the ions see unmatched transitions voltages during 245 ns and receive the correct voltage during only 55 ns. Even if the system electronics delay were eliminated, the minimum time for a pixel-to-pixel transition on the sample plane is just under 245 ns. FIG. 4 shows a plot of ions simulated in a no-delay deflection system having a pixel time of 300 ns and in which the signals are changed simultaneously in both deflection stages. The x-axis represents the time from the beginning of the simulator that an ion was launched and the y-axis shows the calculated deflection of the ion. The target deflection of the first set of ions, which see the full +/−185 V deflection voltages in both octupoles, is 0.855 mm as shown in Table 1. The target deflection of the second set of ions is 0.808 mm, with +/−175V applied to the octupoles. In the simulation, the ions begin with a deflection voltage of +/−185V applied to the octupoles, and then the deflection voltage is changed to +/−75V for 300 ns. The octupole voltage is changed periodically at the pixel rate. During most of the dwell period, the ions do not land at the first or the second target pixel location. The plot in FIG. 4 can be divided into five regions for analysis. Region A. These ions, being the first launched traveled through the entire assembly before the voltages were changed. These ions are subject to the correct +/−185V through both octupoles and are deflected 0.855 mm as required. Region B. The ions represented in this region of the plot were inside the lower octupole when the voltages were changed. Ions started later in the simulation, that is, those higher up in the lower octupole when the voltage changes, experienced a greater period of reduced deflection potential ( 175 V vs. 185 V) in the lower octupole. Region C. Ions in this group were in the spacing or gap between the upper and lower octupoles when the voltages were changed. While these ions were subject to unbalanced deflection voltages, they all experienced the same deflection in the upper and in the lower octupoles. Region D. These ions were in the upper octupole at the time of the voltage change. Those with later launch times were higher up in the octupole and therefore experienced the correct voltage for a longer time and are, therefore, deflected closer to the target deflection. Region E. These ions were above the upper octupole at the time of the voltage change, and therefore were subjected to only the stable deflection voltages of +/−175 V in the upper and lower octupoles. These ions are properly deflected 0.808 mm from the optical axis. In FIG. 4, the unstable deflection transition period begins with ions launched at about 55 ns from beginning of the simulation and ends with ion launched at about 300 ns. The total time required to reposition the beam from one pixel to the next, therefore, took about 300 ns−55 ns =245 ns, which is the total transit time of the deflection system. Thus, regardless of the speed of the deflection electronics, the fastest pixel-to-pixel transition at 30 keV in the ion column without a lower octupole signal delay is about 245 ns. More generally, the fastest pixel-to-pixel transition that can be achieved in any ion column employing a similar deflection subsystem and lacking a deflection delay is equal to the transition time of the ions through the deflection subsystem. The actual pixel dwell time (Tactual), that is, the time during which the beam is delivering ions to the intended position on the work piece, is equal to the programmed, intended dwell time (Tprogrammed dwell time) minus the pixel transition time (Tpixel transition) of the deflection system.Tactual=Tprogrammed dwell time−Tpixel transition Therefore, if the ion column deflection system described above is driven at a pixel rate of 300 ns with 30 keV Ga+ions, the actual dwell time per pixel is 300 ns−245 ns=55 ns. This difference between the actual dwell time and the programmed dwell time is significant when attempting to calculate the dose per pixel of a scanning ion beam. Each pixel will received less ions than intended during its programmed pixel time, and may received an unknown number of misdirected ions when the beam is intended to be positioned elsewhere. Deflection with Delay By delaying application of the signal to the lower octupole until after the upper octupole signal has changed, the number of misdirected ions can be reduced. In some embodiments, rather than having to wait after a signal change for all ions in the deflection system to clear the deflector before subsequent ions are properly directed, only ions in about half the system need to exit before subsequent ions are properly directed. There are many possible approaches to implementing a deflection delay in the ion column, and two examples are provided below. The preferred method for a specific implementation will depend on how the system user interface and beam scanning software are structured. The invention is not limited to any particular signal alteration, and skilled persons will be able to determine appropriate signals using the information provided herein as examples and guidance. Other aspects of the deflection system, such as the physical dimensions and positions of the components can be optimized for specific implementations. In a first example based on the ion column model of FIG. 3, the voltages in the upper octupole begin to change at t=0 ns and finish changing at t=10 ns. The first ion subjected to the changed upper octupole deflection fields over its entire path through the upper octupole will arrive at the lower octupole at t=10 ns+56 ns+53 ns=119 ns, which represents the time required for the upper octupoles to finish modulating, the time required for an ion to travel through the upper octupole, and the time required for the ion to travel through the octupole gap. This duration is referred to as the “upper octupole transition time.” 119 ns is an approximation because of field leakage into different parts of the ‘field-free’ regions. In the first example, the delay for applying a pixel signal to the lower octupole is equal to the upper octupole transition time to allow the first ions of a new pixel to arrive at the lower octupole before changing its potentials. This allows some, but not all, of the last ions of the previous pixel to be properly deflected by the lower octupole before the voltage is changed. This embodiment, therefore, ensures that the new pixel starts on time, that is, the first ions directed to a new pixel are properly directed, and sacrifices the last ions of the preceding pixel. In the first example, the voltage to the lower octupole is changed about 119 ns after changing voltage on the upper octupole. After calculating a delay time, the delay is set to approximately the delay time, that is, within about +/−30 % of the calculated value, and the delay time can be optimized experimentally. In a second example, the delay on the lower octupole is set to allow all the ions from the previous pixel to exit the lower octupole before modulating its voltages. While allowing the previous pixel to finish, the first ions of the next pixel are mis-deflected. Applying this example to the column model in FIG. 3, the time for ions to travel from the exit of the upper octupole to the exit of the lower octupole is 53 ns+125 ns=178 ns. The time is referred to as the “lower octupole delay time.” The net effect of the two examples is the same—a pixel transition time of about 130 ns as opposed to a transition time of 245 ns for the non-delay case. The difference between the embodiments described above is that the pixel transition occurs when the ions are at different positions within the deflection system, i.e., the transition occurs either at the end of one pixel or at the beginning of the next. The calculated delay can be adjusted to optimize the number of misdirected ions. Applicants found that a delay of about 105 ns between the upper and lower octupole signals provided the fewest misdirected ions. In this model, the transition time was not considered part of the pixel rate, which caused the slight shift in the pixel deflection shown in FIG. 5. The downward trailing transition at each pixel in FIG. 5 and the subsequent upwardly movement toward the target deflection indicates that ions are not sufficiently deflected during part of the transition and then the ions are deflected further until the deflection is correct. The downward trailing region represents ions from the preceding pixel that were still in the lower octupole at the time of the transition, and the upwardly directed region represents ions that were in the partial transition of the upper octupole during its modulation. In the example of in FIG. 5, with a delay of 105 ns in changing the voltage of the lower octupole and programmed pixel duration of 300 ns, about 130 ns are required for the pixel transition, leaving about 170 ns of actual pixel dwell time. The pixel transition time is present regardless of pixel rate. In deciding whether to implement a deflection delay for a specific application, both the pixel rate and the relative percentage of the pixel dose which is misdirected in the pixel transition are considered. The simulation results have implications for operating the ion column with very fast deflection rates. Increasing pixel rates have the effect of reducing the width of the plateaus at each pixel. At a pixel rate equal to the pixel transition time, the current at each pixel is no more than the current during the transition as the beam never stops moving. The ion dose delivered to the pixel relative to the dose during transition decreases, which will decrease the signal-to-noise ratio of a charged particle beam image. Essentially, the image will become less clear as the number of secondary electrons emitted from the target pixel is decreased and secondary electrons emitted from other areas of the work piece increase. Thus, the brightness of a point on the image corresponds less well to properties of a point on the sample. FIG. 6 shows the loss of pixel plateau. The plot in FIG. 6 corresponds to a pixel rate equal of 130 ns, which is also the pixel transition time using a 105 ns delay. As the pixel rate is increased beyond the pixel transition time, the ion deflection pattern becomes more complex, but still regular. This can be seen in FIG. 7, in which the deflection was operated at 90 ns pixel rate and a delay of 105 ns. Blanker The blanking plates 312 in the ion column of FIG. 3 are located about 39 millimeters above the top of the upper octupole. Practically, this means that there is a relatively long line of ions below the blanking plates which will be free to exit the column after the rest of the beam has been blanked. There will be an additional 134 ns of ion current in the column in addition to the 245 ns of current in the octupoles and the 148 ns of current in lens 212 between the octupole and the sample. That makes for a net 528 ns or so from the completion of the blanking modulation to the time that the beam terminates at the sample plane at 30 keV. It is known to compensate for the blanking delay by adding the ions in the pipeline to the desired dose and dwell time, and by allowing extra time at the start of a scan to accommodate the blanking delay. A pre-blanking software routine can be used to compensate for the drift space and to ensure that the beam is blanked at the optimum time so that all dwell points receive close to the specified dose. The determination of the blanking compensation becomes somewhat complicated, however, when operating at a 100 ns dwell time, and the blanking delay is 500 ns. Test Results FIGS. 8-11 shows representations of samples that were subjected to focused ion beam processing using a VisIONary™ Ion Column from FEI Company. The beam was programmed to follow a serpentine pattern on the samples. For this ion column, applicants calculated that a delay of 285 ns was appropriate between changing the first stage deflector signal and changing the second stage deflector signal. As described above, the delay was determined to correspond to the time of flight between the mid points of the deflectors plus the electronics transition time. The patterns in FIGS. 8 and 9 were formed using a pixel rate of 200 ns. The structure in FIG. 8 was formed by the ion beam operating with no column delay, that is, the second stage deflector signal was changed at the same time as the first stage deflector signal. The pattern in FIG. 9 was formed with a delay of 275 ns, that is, the second stage deflector signal was changed 275 ns after the first stage deflector was changed. FIG. 8 shows that the beam deviated significantly from the programmed pattern. FIG. 9 shows that with the delay, the beam path much more closely approximates the desired serpentine path. The structures shown in FIGS. 10 and 11 were formed with a pixel rate of 100 ns. The structure in FIG. 10 was formed by the ion beam operating with no column delay. The structure in FIG. 11 was formed with a delay of 275 ns. Because the pixel rate was much shorter than the transition time, which was about 550 ns, the effect of the transition time is greater. FIG. 10 shows that the deviation of the beam from the squared-edged serpentine pattern is greater than the deviation in FIG. 8. FIG. 11 shows that applying the 275 ns delay greatly improves the beam pattern compared to FIG. 10, but because of the short pixel rate, even with a delay, the beam pattern deviates somewhat from the programmed square-edged serpentine pattern. FIG. 12 shows a preferred procedure for implementing an embodiment of the invention. In step 1202, the distance between the column components, including the blanker and the deflectors, are determined. In step 1204, the speed of the particles in the column is determined. The speed will depend on the mass of the particles and the accelerating voltage, and the speed corresponds to the particle energy. Once the speed of the particles and the distances are determined, the time of flight can be determined in step 1206. From the time of flight, an approximate delay is determined in step 1208 using, for example, one of the methods described above. For example, the delay may be based on the sum of the electronic delay, and the TOF in the upper octupole and the gap between the octupoles. As another example, the delay may be based on the TOF in the gap and the lower octupole. In optional step 1210, the delay is optimized through testing. Once the delay is determined, the work piece is processed in step 1212. Skilled persons will recognize that the charged particles may undergo acceleration between the blanker and the deflector, and the time of flight is determined based upon the speed through the deflectors. Operating the deflection system without a delay between the upper and lower octupoles will result in a ‘pixel transition time’ equal to the transit time of an ion through the entire deflection subsystem. This pixel transition time depends on the speed and type of the charged particles and on the length of the deflection system, so the pixel transition time is constant in a charged particle system for a given particle specie and energy. With the implementation of a deflection delay, that pixel transition time can be reduced to the transit time of an ion through roughly one-half of the deflection system. Since the time of flight depends upon the mass of the charged particle and its energy, a preferred charged particle beam system used to practice the invention provides an adjustable signal delay, so that the signal delay can be varied depending upon the charged particle beam species and energy. For example, in a gallium focused ion beam system, one could use an ion source that contains a mixture of gallium isotopes, or, one could use a source composed primarily of a single gallium isotope. The beam energy used with a single ion source can be varied, with higher energies used for milling and lower energies for imaging. The invention can improve both the accuracy of micromachining and charged particle beam assisted deposition, as well as improving imaging. There are a number of ways to implement such a deflection delay. The preferred implementation will depend on other factors in the column electronics and operating software. The model and embodiments described above provide examples of the invention applied to a gallium focused ion beam system. The invention is applicable to any charged particle beam system. The invention is not limited to a two stage deflector. For example, the blanker delay can be compensated in a single stage deflector. The invention is useful with any components of a charged particle beam system in which the separation of components that control the beam in response to electronic signals affects the beam control because of the time of flight between the components. The invention could also be useful in electron beam systems. Because electrons typically traverse through an electron beam system at speeds much higher than those of ions in a focused ion beam system, deflection based beam control problems are not limiting in current electron beam systems, but as specifications get tighter, particularly for low energy beams, the invention could be useful in electron beam systems. Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.
summary
abstract
An object of the present invention is to provide a sample image forming method and a charged particle beam apparatus which are suitable for realizing suppressing of the view area displacement with high accuracy while the influence of charging due to irradiation of the charged particle beam is being suppressed.
044877110
description
The following example further illustrates this invention. EXAMPLE A 1866 gram mixture was prepared of 30 percent silicon tetraethoxide, 8.5 percent percent boron triethoxide and 61.5 percent percent alcohol. To this mixture was added 2614 grams of water. The mixture was then heated to 148.degree. F. until all of the alcohol had volatilized. A simulated PUREX waste was prepared having the following composition (in percent): __________________________________________________________________________ Na.sub.2 SO.sub.4.10H.sub.2 O 15.8 Al(OH).sub.3 0.007 Fission Products NaNO.sub.3 40.6 AlF.sub.3 0.1 SrO 0.002 NaNO.sub.2 32.9 Na.sub.3 PO.sub.4 -- 12MoO.sub.3 0.8 RuO.sub.2 0.003 NaOH 1.2 MnO.sub.2 0.2 Ba(OH).sub.2.8H.sub.2 O 0.1 NaCl 0.004 Na.sub.2 U.sub.2 O.sub.7 1.0 Ca(OH) 0.006 Fe(OH).sub.3 3.8 ZrO.sub.2 0.002 FePO.sub.4 2.3 Rare Earths Cr(OH).sub.3 0.5 Eu.sub.2 O.sub.3 0.1 Ni(OH).sub.2 0.2 CeO.sub.2 0.006 __________________________________________________________________________ 578 grams of the simulated waste was heated to 212.degree. F. to drive off water and concentrate the waste to 500 grams. To this was added 200 grams of the de-alcoholated alkoxide mixture. The resulting mixture was heated until the temperature reached 600.degree. C. which produced coherent solid cinders about 1/8 to about 1/4 inch in size. These cinders were soaked in 15,000 grams of 25 percent ammonium hydroxide for 16 hours which resulted in the complete disintegration of the cinders. The resulting slurry was then heated at 130.degree. F. until the ammonia was volatilized.
abstract
A protection device for providing protection against ionizing radiation passing through an orifice defined by a wall bushing structure. The device includes a structure for attenuating ionizing radiation passing through the orifice, which structure can cover, or close off, the orifice, and includes a fastener configured to ensure that the structure for attenuating ionizing radiation can be fastened releasably to the wall bushing structure. The structure for attenuating ionizing radiation includes a sleeve that is open at both ends, that is deformable under its own weight, and that is configured to be fastened to the wall bushing structure by the fastener at a first open end of the sleeve.
description
This application claims priority to, and is a continuation of U.S. patent application Ser. No. 11/941,024, filed on Nov. 15, 2007, the specification of which is herein incorporated by reference in its entirety. The invention relates to a system for removing decay heat from a nuclear reactor. In the quest to obtain inexpensive and reliable energy, some nuclear reactors have been designed with the goal of being passively operated. In these passive systems, the laws of physics may be employed to ensure that safe operation of the nuclear reactor is maintained during normal operation or even in an emergency condition without operator intervention or supervision, at least for some predefined period of time. One goal of the passive operating systems is to minimize the number of motors, pumps or other electrical or mechanical devices which have traditionally been relied upon to operate the nuclear reactor. A Multi-Application Small Light Water Reactor project conducted with the assistance of the Idaho National Engineering and Environmental Laboratory, NEXANT and the Nuclear Engineering Department of Oregon State University sought to develop a safe and economical natural light water reactor. Figure illustrates a nuclear reactor design 20 that resulted from this project. The nuclear reactor design 20 includes a reactor core 6 surrounded by a reactor vessel 2. Water 10 in the reactor vessel 2 surrounds the reactor core 6. The reactor core 6 is further located in a shroud 22 which surround the reactor core 6 about its sides. When the water 10 is heated by the reactor core 6 as a result of fission events, the water 10 is directed from the shroud 22 up into an annulus 23 located above the reactor core 6, and out of a riser 24. This results in further water 10 being drawn into the shroud 22 to be heated in turn by the reactor core 6 which draws yet more water 10 into the shroud 22. The water 10 that emerges from the riser 24 is cooled down and directed towards the outside of the reactor vessel 2 and then returns to the bottom of the reactor vessel 2 through natural circulation. Pressurized steam 11 is produced in the reactor vessel 2 as the water 10 is heated. A heat exchanger 35 circulates feedwater and steam in a secondary cooling system 30 in order to generate electricity with a turbine 32 and generator 34. The feedwater passes through the heat exchanger 35 and becomes super heated steam. The secondary cooling system 30 includes a condenser 36 and feedwater pump 38. The steam and feedwater in the secondary cooling system 30 are isolated from the water 10 in the reactor vessel 2, such that they are not allowed to mix or come into direct contact with each other. The reactor vessel 2 is surrounded by a containment vessel 4. The containment vessel 4 is placed in a pool of water 16. The pool of water 16 and the containment vessel 4 are below ground 28 in a reactor bay 26. The containment vessel 4 does not allow any water or steam from the reactor vessel 2 to escape into the pool of water 16 or the surrounding environment. In an emergency situation, steam 11 is vented from the reactor vessel 2 through a steam valve 8 into an upper half 14 of the containment vessel 4, and water 10 flashes as it is released through a submerged blowdown valve 18 which is located in a suppression pool 12. The suppression pool 12 includes sub-cooled water. Over pressurization of the reactor vessel 2 is therefore reduced by releasing both steam 11 through the steam valve 8 and water 10 through the blowdown valve 18 into the containment vessel 4. The rates of release of the steam 11 and water 10 into the containment vessel 4 vary according to the pressure within the reactor vessel 2. Decay heat is removed from the reactor core 6 through a combination of condensation of the steam 11 and energy transfer of the water 10 to the suppression pool water 12. The water in the suppression pool 12 provides pressure suppression and liquid makeup capabilities in the event of a loss of coolant or pipe rupture in the containment vessel 4. However this also means that electrical and mechanical components in the containment vessel 4 are constantly subject to a corrosive environment, which introduces reliability issues. Insulation that surrounds the reactor vessel 2 loses some of its insulating properties when located in a wet or humid environment, and may need to be replaced at regular intervals. Expensive and exotic materials may be used for the reactor vessel insulation. In addition, maintenance, monitoring and inspection of the electrical and mechanical components must be performed to ensure their continued reliability of operation. The present invention addresses these and other problems. A power module assembly is herein disclosed as including a reactor core immersed in a coolant and a reactor vessel housing the coolant and the reactor core. An internal dry containment vessel is submerged in liquid and substantially surrounds the reactor vessel in a gaseous environment. During an over-pressurization event the reactor vessel is configured to release the coolant into the containment vessel and remove a decay heat of the reactor core through condensation of the coolant on an inner surface of the containment vessel. A nuclear reactor module is herein disclosed as including a containment vessel designed to prohibit a release of a liquid and a reactor vessel mounted inside the containment vessel, wherein an outer surface of the reactor vessel is exposed to a below atmospheric pressure condition. The nuclear reactor module further includes a reactor core submerged in the liquid and a steam vent connected to the reactor vessel, wherein when the reactor core becomes over-heated the steam vent is configured to vent steam into the containment vessel. A method of cooling a nuclear reactor is herein disclosed, wherein the method includes scramming the nuclear reactor in the event of a high pressure event indicated in a reactor vessel and releasing coolant into a containment region located between a containment vessel and the reactor vessel. The containment region surrounds the reactor vessel and is substantially dry prior to the high pressure event. The method further includes condensing the coolant on an inner wall of the containment vessel, transferring a decay beat to a liquid medium surrounding the containment vessel, and maintaining the pressure in the containment region within design limits through the condensation of the coolant on the inner wall. The invention will become more readily apparent from the following detailed description of a preferred embodiment of the invention which proceeds with reference to the accompanying drawings. Conventional nuclear facilities are expensive to license and build, with significant upfront investment costs and delayed return of profits. In addition to energy cost considerations, efficiency requirements, and reliability concerns, today's nuclear reactor designs must also take into account issues of nuclear proliferation, terrorist activities, and a heightened awareness of environmental stewardship. Developing countries that could otherwise greatly benefit from nuclear power are frequently left to resort to other energy sources such as coal, gas or hydroelectric power generators that produce significant amounts of pollution or have other detrimental environmental impact. These developing countries may not have the technological or natural resources that enable them to build a nuclear power plant. Countries that have already developed nuclear power may be hesitant to introduce these technologies into the developing countries out of concern of the loss of control of the nuclear materials or technology. Passively safe nuclear power systems help address some of these concerns. Further system improvements and innovative designs are expected to usher in a new era of nuclear power as a globally viable primary energy source. FIG. 2 illustrates a novel power module assembly 50 including an internally dry containment vessel 54. The containment vessel 54 is cylindrical in shape, and has spherical upper and lower ends. The entire power module assembly 50 may be submerged in a pool of water 16 which serves as an effective heat sink. The containment vessel 54 may be welded or otherwise sealed to the environment, such that liquids and gas do not escape from, or enter, the power module assembly 50. The containment vessel 54 may be bottom supported, top supported or supported about its center. Supporting the containment vessel 54 at the top may facilitate maintenance and removal of the power module assembly 50 from the pool of water 16. In one embodiment, the containment vessel 54 is suspended in the pool of water 16 by one or more mounting connections 80. The mounting connections 80 may be attached to the upper portion of the containment vessel 54. The mounting connections 80 may be rigid or flexible members that help locate the containment vessel 54 approximately at the center of the pool of water 16. During seismic activities, such as earthquakes, the pool of water 16 acts as a protective cushion about the containment vessel 54 to avoid damage that may otherwise result if the containment vessel 54 came into contact with the reactor bay 26. Flexible mounting connections such as chains or cables attached to the wall of the reactor bay 26, may reduce an amount of vibration or stress that might otherwise be transferred to the containment vessel 54. In one embodiment, a flexible tie down connector is attached to the bottom of the containment vessel 54 to reduce sway or lateral movement. The power module assembly 50 may be arranged to float in the pool of water 16 to minimize support requirements and provide seismic resistance. A support base may be provided on the bottom of the containment vessel 54 to support the power module assembly 50 in a standing position. A reactor vessel 52 is located or mounted inside the containment vessel 54. An inner surface of the reactor vessel 52 may be exposed to a wet environment including a coolant 100 or liquid, such as water, and an outer surface may be exposed to a dry environment such as air. The reactor vessel 52 may be made of stainless steel or carbon steel, may include cladding, and may be supported within the containment vessel 54. The power module assembly 50 may be sized so that it can be transported on a rail car. For example, the containment vessel 54 may be constructed to be approximately 4.3 meters in diameter and 17.7 meters in height (length). By completely sealing the containment vessel 54, access to the reactor core 6 may be restricted. Any unauthorized access or tampering may be monitored. Furthermore, the subterranean profile of a nuclear power system makes it less visible and easier to conceal. The pool of water 16 may be covered with a protective shield (not shown) to further isolate the power module assembly 50 from outside threats or airborne objects such as planes or missiles. Refueling of the reactor core 6 may be performed by transporting the entire power module assembly 50 by rail car or overseas, for example, and replacing it with a new or refurbished power module assembly which has a fresh supply of fuel rods. Refueling and maintenance activities may be performed by unbolting flanges or cutting the vessels in the cylindrical portion at an elevation above the reactor core 6. Refueling may be accomplished once every 2 to 10 years or even longer, depending on fuel type and system specifications. The containment vessel 54 encapsulates and, in some conditions, cools the reactor core 6. It is relatively small, has a high strength and may be capable of withstanding six or seven times the pressure of conventional containment designs in part due to its smaller overall dimensions. Given a break in the primary cooling system of the power module assembly 50 no fission products are released into the environment. Decay heat may be removed from the power module assembly 50 wider emergency conditions. The reactor core 6 is illustrated as being submerged or immersed in a primary coolant 100, such as water. The reactor vessel 52 houses the coolant 100 and the reactor core 6. A shroud 22 surrounds the reactor core 6 about its sides and serves to direct the coolant 100 up through an annulus 23 and out a riser 24 located in the upper half of the reactor vessel 52 as a result of natural circulation of the coolant 100. In one embodiment, the reactor vessel 52 is approximately 2.7 meters in diameter and includes an overall height (length) of 13.7 meters. The reactor vessel 52 may include a predominately cylindrical shape with spherical upper and lower ends. The reactor vessel 52 is normally at operating pressure and temperature. The containment vessel 54 is internally dry and may operate at atmospheric pressure with wall temperatures at or near the temperature of the pool of water 16. The containment vessel 54 substantially surrounds the reactor vessel 52 in a dry or gaseous environment identified as containment region 44. Containment region 44 may be filled with air. The containment vessel 54 includes an inner surface 55 or inner wall which is adjacent to the containment region 44. The containment region 44 may include a gas or gases instead of or in addition to air. In one embodiment, the containment region 44 is maintained at a below atmospheric pressure condition, for example as a partial vacuum. Gas or gasses in the containment vessel may be removed such that the reactor vessel 52 is located in a complete or partial vacuum in the containment region 44. During normal operation, thermal energy from the fission events the reactor core 6 causes the coolant 100 to heat. As the coolant 100 heats up, it becomes less dense and tends to rise up through the annulus 23 and out of the riser 24. As the coolant 100 cools down, it becomes relatively denser than the heated coolant and is circulated around the outside of the annulus 23, down to the bottom of the reactor vessel 52 and up through the shroud 22 to once again be heated by the reactor core 6. This natural circulation causes the coolant 100 to cycle through the reactor core 6, transferring heat to a secondary coolant system, such as the secondary cooling system 30 of FIG. 1 to generate electricity. The natural circulation may be enhanced by providing a two phase condition of the coolant 100 in the riser 24. In one embodiment, gas is injected into or near the reactor core 6 to create or augment the two phase condition and increase a flow rate of the coolant 100 through the riser 24. Whereas voiding the reactor core 6 produces a negative insertion of reactivity, a steady-state condition followed by a non-voided condition may result in a positive insertion of reactivity, hi one embodiment, the reactivity is further controlled through a combination of managing control rod insertion rates and temperature sensitive control rod trips. FIG. 3 illustrates the power module assembly 50 of FIG. 2 during an emergency operation. The emergency operation may include a response to an overheating of the reactor core 6, or an over-pressurization event of the reactor vessel 52, for example. During the emergency operation, the reactor vessel 6 may be configured to release the coolant 100 into the containment region 44 of the otherwise dry containment vessel 54. A decay heat of the reactor core 6 may be removed through condensation of the coolant 100 on the inner surface 55 of the containment vessel 54. Whereas the containment vessel 54 may be immersed in a pool of water 16, the inner surface 55 of the containment vessel 54 may be completely dry prior to the emergency operation or over-pressurization event. For example, the suppression pool 12 of FIG. 1 does not exist in the containment vessel 54 during normal operation. A flow limiter 58 or steam vent may be mounted on the reactor vessel 52 for venting the coolant 100 into the containment vessel 54 during the emergency operation. The coolant 100 may be released into the containment vessel 54 as a gas or vapor 41, such as steam. The flow limiter 8 may be connected or mounted directly to an outer wall of the reactor vessel 52, without any intervening structures such as piping or connections. In one embodiment, the flow limiter 58 is welded directly to the reactor vessel 52 to minimize the likelihood of any leaking or structural failures. The flow limiter 58 may be a Venturi flow valve sized to release coolant 100 into the containment vessel 54 at a controlled rate. In one embodiment, the coolant 100 is released only in the form of steam or vapor from the reactor vessel 52. The condensation of the vapor 41 may reduce pressure in the containment vessel 54 at approximately the same rate that the vented vapor 41 adds pressure to the containment vessel 54. In one embodiment, the flow limiter 58 is configured to release approximately five megawatts of heat contained in the vapor 41. Coolant 100 that is released as vapor 41 into the containment vessel 54 condenses on the inner surface 55 of the containment vessel 54 as a liquid, such as water. The condensation of the vapor 41 causes the pressure in the containment vessel 54 to decrease, as the vapor 41 is transformed into the liquid coolant 100. A sufficient amount of heat may be removed from the power module assembly 50 through the condensation of the vapor 41 on the inner surface 55 of the containment vessel to manage the removal of decay heat from the reactor core 6. In one embodiment, there is no release of the liquid coolant 100 from the reactor vessel 52 even during an emergency operation. The condensed coolant 100 descends to the bottom of the containment vessel 54 and collects as a pool of liquid. As more vapor 41 condenses on the inner surface 55, the level of the coolant 100 in the bottom of the containment vessel 54 gradually rises. Heat stored in the vapor 41 is transferred through the walls of the containment vessel 54 into the pool of water 16 that acts as an ultimate heat sink. Heat stored in the coolant 100 located at the bottom of the containment vessel 54 is transferred through liquid convection and conduction heat transfer on the inner surface 55. Heat removed from the steam or vapor 41 may be transferred to the relatively cold inner surface 55 through condensation on the inside walls of the cold containment vessel 54 and by natural convection from the hot coolant to the inner surface 55. Heat may be transferred to the pool of water 16 by conduction through the containment vessel walls and through natural convection on an outside surface of the containment vessel 54. The coolant 100 remains confined within the power module assembly 50 after the reactor core 6 becomes over-heated and during the emergency operation. The heat transferred to the pool of water 16 may provide adequate passive decay heat removal for three or more days without any operator intervention. The containment vessel 54 may be designed to withstand the maximum pressure that would result given an instantaneous release of the high-pressure fluid from the reactor vessel 52 into the containment vessel 54. The pressure inside the containment vessel 54 may be designed to equilibrate with the pressure inside the reactor vessel 52, stopping break flow caused by the pressure difference. Over time, the amount of pressure in the containment vessel 54 may be made to equalize with the amount of pressure in the reactor vessel 52, resulting in coolant level 100A in the reactor vessel 52 and coolant level 100B in the containment vessel 54 as shown in FIG. 3. The coolant level 100B is shown elevated with respect to the coolant level 100A due to higher coolant temperatures in the reactor vessel 52 as compared with temperatures in the containment vessel 54. FIG. 3 shows that the coolant levels 100A and 100B may equilibrate such that the coolant level 100A in the reactor vessel 52 remains above the top of the reactor core 6, keeping the reactor core 6 covered with coolant 100 at all times. A flow valve 57 may be provided to allow the coolant 100 to flow from the containment vessel 54 back into the reactor vessel 52 once a steady state condition of the coolant levels 100A, 100B is achieved. Coolant 100 that is allowed to reenter the reactor vessel 52 through the flow valve 57 replenishes the coolant 100 that was vented as vapor 41 through the flow limiter 58. The flow of coolant 100 through the flow valve 57 may be achieved through the natural circulation of the passive system due to the different water densities that result from temperature differences in the vessels 52, 54. No mechanical or electrical pumps or motors are required. In one embodiment, the flow valve 57 restricts the flow of coolant 100 in a single direction, from the containment vessel 54 to the reactor vessel 52. When the reactor core 6 becomes over-heated the flow limiter 58 or steam vent is configured to vent the coolant 100, for example as steam or vapor 41, into the containment vessel 54 at a rate that maintains an approximate constant pressure in the containment vessel 54 during a steady state condition. In one embodiment, the containment vessel 54 undergoes an initial pressure spike prior to reaching the steady state condition. By controlling the rate of pressure increase in the containment vessel 54, the thickness of the containment vessel wall can be designed with less material strength on account of the lower, controlled pressures therein. Decreasing the wall thickness can lessen the transportation weight of the power module assembly 50 and decrease manufacturing and delivery costs. Whereas a complete or perfect vacuum may be commercially or technically impractical to achieve or maintain, a partial vacuum may be created in the containment vessel 54. Any reference to a vacuum herein is therefore understood to be either a partial or complete vacuum. In one embodiment, the containment region 44 is maintained at a vacuum pressure that significantly reduces convective and conductive heat transfer through the containment gases. By substantially removing gases from the containment region 44, for example by maintaining a vacuum within the containment vessel 54, the initial rate of condensation of vapor 41 on the inner surface 55 is increased. Increasing the rate of condensation increases the rate of heat transfer through the containment vessel 54. A vacuum within the containment region 44 acts as a type of thermal insulation during normal operation, thereby retaining heat and energy in the reactor vessel 52 where it can continue to be utilized. As a result, less material insulation may be used in the design of the reactor vessel 52. In one embodiment, a reflective insulation is used instead of or in addition to conventional thermal insulations. Reflective insulation may be included on one or both of the reactor vessel 52 or the containment vessel 54. The reflective insulation may be more resistant to water damage compared to conventional thermal insulation. In addition, reflective insulation does not impede a transfer of heat from the reactor vessel 52 as much as the conventional thermal insulation during an emergency condition. The combination of a vacuum and reflective insulation therefore provides thermal insulation during normal operation and promotes the transfer of heat away from the reactor core 6 during the emergency condition. In the event of a loss of the vacuum in the containment region 44, the introduced gases or liquids provide a further passive safety cooling mechanism to transfer heat between the reactor vessel 52 and the containment vessel 54 through natural convection. For example, by reducing or eliminating conventional thermal insulation, a more effective heat transfer from the reactor vessel 52 can be made during the emergency operation due to the condensed liquid coolant 100 which pools at the bottom of the containment vessel 54. Heat is able to be transferred from the reactor vessel 52 through the liquid coolant 100 to the containment vessel 54. Additionally, the removal of air and other gases from the containment region 44 may reduce or completely eliminate the need for any hydrogen recombiners that are typically used to reduce combustible mixtures of gases that might otherwise develop. During an emergency operation, steam may chemically react with the fuel rods to produce a high level of hydrogen. When hydrogen mixes with air or oxygen, this may create a combustible mixture. By removing a substantial portion of the air or oxygen from the containment vessel 54, the amount of hydrogen and oxygen that is allowed to mix is minimized or eliminated. In one embodiment, any air or other gases that reside in the containment region 44 are removed or voided when an emergency condition is detected. FIG. 4 illustrates an example rate of condensation of released coolant 100 into the containment vessel 54. As previously described, the coolant 100 may be vented as steam or vapor 41 that condenses on the inner surface 55 of the containment vessel 54. The flow limiter 58 controls the rate of release of coolant 100 as vapor 41 into the containment vessel 54, such that the rate of increase of coolant level 100B in the containment vessel 54 may be determined or managed. According to the graph of FIG. 4, approximately 110 inches of coolant 100 may collect at the bottom of the containment vessel 54 after a period of 9500 seconds or about 2 hours and 38 minutes. Of course, this rate of increase in coolant level 100B will depend on the size of the reactor vessel 52 and containment vessel 54 as well as the design of the flow limiter 58. In one embodiment, the rate of increase of the coolant level 100B flattens out at a near constant value once the pressures in the reactor vessel 52 and containment vessel 54 equalize or reach steady state. A flow of coolant 100 through the flow valve 57 of FIG. 3 into the reactor vessel 52 may remove approximately the same amount of coolant 100 that condenses as liquid on the inner surface 55 of the containment vessel 54. The flow limiter 58 connected to the reactor vessel 52 may vent the vapor 41 at a rate that maintains an approximate constant pressure in the containment vessel 54 during a steady state condition. FIG. 5 illustrates an example pressure fluctuation in the containment vessel 54 during an over-pressurization event. In one embodiment, the pressure inside the containment vessel 54 may be at or near atmospheric pressure prior to the over-pressurization event. In another embodiment, the pressure inside the containment vessel is maintained as a vacuum. The containment vessel 54 may then undergo a pressure spike that increases the pressure up to some predetermined upper threshold value. In one embodiment, the upper threshold pressure value is approximately 300 psia. Once the pressure reaches the upper threshold value, the flow limiter 58 may close or otherwise prohibit the further release of coolant 100 as vapor 41 into the containment vessel 54. The pressure within the containment vessel 54 then decreases due to the condensation of the vapor 41 into a liquid. The pressure may be allowed to decrease down to some predetermined lower threshold value. In one embodiment, the lower threshold pressure value is less than 150 psia. Once the pressure reaches the lower threshold value, the flow limiter 58 may open or otherwise allow the release of additional coolant 100 into the containment vessel 54. The pressure within the containment vessel 54 then increases until it once again reaches the upper threshold value, continuing the cycle of pressurization and depressurization while the decay heat is being removed from the reactor core 6. The pressure within the containment 54 may therefore be maintained between the upper and lower threshold values. A steam nozzle flow area of the flow limiter 58 may be calculated according to measurements or estimated values of steam condensation rate in the containment vessel 54, an energy removal rate from the containment vessel 54, and a heat up rate of the pool of water 16 of FIG. 3. A rate of change of liquid level in the containment vessel 54 may be approximately 0.0074 inches per second in one embodiment. According to the principle of conservation of mass, the mass flow rate of steam condensed to liquid may be determined according to the following equation:dML/dt=ρLAC(dL/dt)C=m  (1) The heat transfer rate to the inner surface 55 of the containment vessel 54 may be given by the following equation:q=mhfg  (2) The heat up rate for the pool of water 16 may be determined using the following equation:MCPdT/dt=q  (3) Assuming that the cooling pool mass, the cooling pool water specific heat at constant pressure, and the heat input are constants, allows one to integrate equation (2) to obtain the time required to heat the pool of water 16 according to the following equation:Δt=MCPΔT/q  (4) In one embodiment, the upper temperature of the pool of water 16 is set at below boiling, such as 200 degrees Fahrenheit. Finally, the equation for the choke flow of steam may be given by the following equation:m=CdA[KgcρgP](1/2)  (5)where Cd is a discharge coefficient of approximately 0.95 and whereK=γ[2/(γ+1)](γ+1)/(γ−1). An initial 6% decay heat may be experienced by the primary cooling system during the first 100 seconds of a steam blow-down scenario, however this flattens out to 2% or 3% in the steady state condition. Releasing the pressure into the containment vessel 54 can result in approximately 3% of the decay heat being transferred from the reactor vessel 52, which accommodates the amount of decay heat being released at steady state. This is accomplished through the passive emergency feedwater and decay heat removal system described herein, without the need for a pre-existing source of water or suppression pool being located inside the containment vessel 54. FIG. 6 illustrates an alternate embodiment of a power module assembly 60 including a containment vessel 64 having fins 65 to increase a cooling surface area. The cooling fins 65 may be attached to an outside wall of the containment vessel 64 to remove decay heat of a reactor core during an emergency operation. During normal operation of the power module assembly 60, the inside of the containment vessel 64 remains dry, whereas a reactor vessel 62 contains a coolant as well as a reactor core. In one embodiment, the containment vessel 64 is in a depressurized state or vacuum during no normal operating conditions. The coolant may be a liquid or gas. In the emergency operation, such as over-pressurization of the reactor vessel 62, coolant is released out of flow limiter 68 into the containment vessel 64. The coolant circulates within and releases heat into the wall of the containment vessel 64. The heat is then removed from the containment vessel through convection or conduction into a surrounding heat sink 66. Heat sink 66 may be a fluid such as water or gas. In one embodiment, the heat sink is composed of earth (e.g. rock, soil or other solid material) that completely surrounds the containment vessel 66. Fins 65 may be attached to the containment vessel 64 and provide additional surface area with which to transfer the decay heat to the heat sink 66. The fins 65 may encircle the containment vessel 64. In one embodiment, the fins 65 are orientated in horizontal planes. The heat sink 66 may be contained in a containment structure 61 such as concrete. A cover 63, which may also be made of concrete, may completely enclose the power module assembly 60 and heat sink 66. The containment structure 61 and cover 63 may serve to protect against an impact from foreign projectiles and also operate as a biological shield. FIG. 7 illustrates an embodiment of a power module assembly 70 including multiple containment regions 71, 72. The containment region may be compartmentalized into a first containment region 71 and a second containment region 72. The first containment region 71 may be located in an upper portion of the containment vessel 74 and the second containment region 72 may be located in a lower portion of the containment vessel 74. The first containment region 71 may be maintained at atmospheric pressure, whereas the second containment region 72 may be maintained at a below atmospheric pressure. One or more valves 75 may be provided between the first and second containment regions 71, 72. Valve 75 may operate in the event of an emergency condition to release pressure. In one embodiment, valve 75 operates to transfer liquid coolant that condenses in the first containment region 71 such that it collects in the second containment region 72. In one embodiment, conventional thermal insulation 76 is included in the first containment region 71 and reflective insulation 78 is included in the second containment region 72. Any number of containment regions may be provided for, some or all of which may be maintained as a vacuum. FIG. 8 illustrates a novel method of cooling a power system, such as the power module assembly 50 of FIG. 3. At operation 810, the power module assembly 50 is scrammed in the event of a high pressure event indicated in a reactor vessel, such as the reactor vessel 52 of FIG. 3. At operation 820, coolant is released into a containment region, such as containment region 44 of FIG. 3, located between a containment vessel, such as containment vessel 54 of FIG. 3 and the reactor vessel 52. The containment region 54 surrounds the reactor vessel 52 and may be substantially dry prior to the high pressure event. The coolant, such as coolant 100, may be released as a vapor 41 or steam into the containment vessel 54. In one embodiment, steam that is released from the secondary coolant system 30 of FIG. 1 as a result of failure or loss of pressure integrity may also be vented into the containment vessel 54. At operation 830 the vapor 41 condenses on an inner wall, such as inner wall 55 of the containment vessel 54. The vapor 41 may be condensed into a liquid, such as water. At operation 840, a decay heat is transferred to a liquid medium surrounding the containment vessel 54. The decay heat may be transferred via condensation of the vapor 41, as well as convection and conduction of the condensed liquid. At operation 850, the pressure in the containment region 44 is limited or maintained within design limits through the condensation of the coolant on the inner wall. A steam flow limiter such as flow limiter 58 of FIG. 3 may be sized to limit a rate of pressure increase in the containment vessel 54. The rate of pressure increase may be substantially offset by the condensation of the vapor 41 into liquid. The steam flow limiter 58 may be selectively or intermittently opened such that a pressure in the containment vessel 54 is limited to a maximum value and allowed to depressurize when the flow limiter 58 is closed. The condensation of the vapor 41 may reduce pressure within the containment region 44 by approximately to same amount as the released coolant increases pressure in the containment region 44. The coolant 100 may be released into the containment region 44 as vapor 41 or steam, and a decay heat of the reactor core 6 may be removed from the power module assembly 50 by condensing the vapor 41 on the inner wall 55 of the containment vessel 54. Although the embodiments provided herein have primarily described a pressurized water reactor, it should be apparent to one skilled in the art that the embodiments may be applied to other types of nuclear power systems as described or with some obvious modification. For example, the embodiments or variations thereof may also be made operable with a boiling water reactor. A boiling water reactor may require larger vessels to produce the same energy output. The rate of release of the coolant into the containment vessel, the rate of condensation of the coolant into a liquid, and the rate of increase of pressure in the containment vessel, as well as other rates and values described herein are provided by way of example only. Other rates and values may be determined through experimentation such as construction of full scale or scaled models of the nuclear reactor. Having described and illustrated the principles of the invention in a preferred embodiment thereof, it should be apparent that the invention may be modified in arrangement and detail without departing from such principles. We claim all modifications and variation coming within the spirit and scope of the following claims.
abstract
A monochromator to be used in an X-ray device having an X-ray source is formed by a crystal for spectral restriction of X-rays produced by the X-ray source. The monochromator includes a positioning device that can move the crystal so that it changes the spectral composition of the X-radiation. The crystal can be moved so that it changes the angle between an X-ray path and the crystal, or so that the crystal is removed out of X-ray path or returned into it.
046630937
description
DETAILED DESCRIPTION OF THE INVENTION All parts, percentages ratios and proportions are on a weight basis unless otherwise stated herein or obvious herefrom to one ordinarily skilled in the art. FIG. 1 illustrates apparatus 100 for forming the invention aged gel spheres of nuclear fuel. According to the invention, apparatus 100 includes trough-shaped vessel 102, which is connected on its exit end to horizontal ager column 104. The entrance end of troughshaped vessel 102 contains two horizontally-positioned pipes, namely, entrances pipe 106 and overflow pipe 108. Hot perchloroethylene, which is normally at about 85.degree. C., enters into vessel 102 via entrance pipe 106 below the top surface of the perchloroethylene flowing in vessel 102. Near the entrance of pipe 16 into vessel 102 is located vertical baffle 100, which extends above the top surface of the perchlorethylene flowing in vessel 102. Baffle 110 does not extend all the way down to the bottom of vessel 102, so as to provide a passageway thereunder for the entering hot perchloroethylene from entrance pipe 106. A short distance down stream from vertical baffle 110 is located vertical baffle 112. Vertical baffle 112 extends all the way down to the bottom of vessel 102 and has a height which is slightly higher than the top surface of the perchloroethylene down stream portion of the flow in trough-shaped vessel 102. In this manner, there are two top surfaces of the perchloroethylene flow in vessel 102, that is, main flow portion 114 and entrance portion 116. The hot perchloroethylene entering into vessel 102 flows under baffle 110 and then seeps outwardly onto curved top portion 118 of second baffle 112. The use of baffles 110 and 112 helps to provide a smooth down stream flow of perchloroethylene without any turbulence caused by the entering of hot perchlorethylene via pipe 106. Exit pipe 108 is positioned such that its bottom rim is slightly above the normal height of upper perchloroethylene portion 116, so as to provide an overflow means when too much hot perchloroethylene enters via pipe 106. Device 120 injects metal solution droplets into the hot perchloroethylene flow 114 in vessel 102, which includes vertical tube 122, which is attached to short back nozzle 124 of cross pipe 126. As shown in FIG. 1, a U-Pu gelation broth is fed into pipes 122 and subsequently dispersed across the entire length of cross pipe 126. At that point, six streams 128 of the feed broth exit from six orifices 130, which are positioned on the float side of crossed pipe 126. The six short streams 130 are set at an angle of approximately 45.degree. C. to the horizontal. The angle of pipes 130 plus the use of slightly pressurized feed broth in pipes 122 and cross pipe 126 cause droplets 128, which form from the exiting short jets 120, to be forced outwardly at a slight angle and then into perchloroethylene flow 114. Droplets 128 rise back to the surface of perchloroethylene flow 114 because the droplets of nuclear feed float on perchlorethylene. The slight flow of hot perchloroethylene in vessel 112 carries the floating droplets toward its exit end. As the droplets are carried along, the drops solidify into gelled spheres. The holding time from the entrance of the droplets into the perchloroethylene flow until the exit from the vessel of the gelled spheres is approximately 20 minutes. This time span allows the spheres to age. Also the baffle arrangement helps prevent the backward movement of the droplets of gelled spheres toward overflow pipe 108. At this point, droplets 128 are designated in FIG. 1 as aged gelled spheres 132. Vertical column 104 interfaces with vessel 102 to form vertical wall 134. The top rim of vertical wall 134 is complete and establishes the maximum height of perchloroethylene flow 114 in vessel 102. The amount of perchloroethylene flow in vessel 102 is adjusted by the amount of perchloroethylene entering pipe 106 entrance as modified by exit pipe 108. Aged gelled spheres 132 approach the wall over the top edge of vertical pipe sections 136 and 138. Vertical column 104 contains perchloroethylene to a height below the top rim of vertical wall 134. The aged gelled spheres 132, which have fallen into vertical chamber 104 float on the top of the perchloroethylene in column 104 and exit via pipe 139 in the bottom of column 104. The fully aged gelled spheres are indicated in FIG. 1 by the numeral 140. Some perchloroethylene also falls over the top of vertical wall 134 to constantly replenish the perchloroethylene in vertical chamber 104. Spheres 140 in the bottom of chamber 104 are also entrained in perchloroethylene. Referring to FIG. 2, gelled spheres 140 in order to be drained of perchloroethylene, are deposited on moving conveyor 142 where the perchloroethylene is separated from aged gelled spheres 140. Moving conveyor 142 is a flexible screen which in effect allows the perchloroethylene to flow therethrough to funnel 160. The separated perchloroethylene passes down pipe 162 to holding tank 144 and then by means of pump 146 to heater 148 where it is heated to about 85.degree. C.; and subsequently the heated perchloroethylene is recycled into gellation trough 102 via entrance pipe 106. Gelled spheres 140 which were separated from the perchloroethylene are deposited in aqueous wash column 150. After being washed and drained for approximately 5 minutes on the moving conveyor 142, gelled spehres 140 and chamber 150 are subjected to an ammonium hydroxide solution wash. The aqueous wash utilizes wash water from wash tank 152 is deposited in chamber 150 by means of pump 154. The wash water can also be used by intermixing with ammonium solution and the injected into chamber 150. After the aqueous solution and ammonium hydroxide-treated gel spheres 140 are removed, they are sent through belt dryer 150. The wash water is first drained off and recycled to wash tank 152. Drained gelled spheres 140 are subjected to moist air and belt drier 156. The result is dried gelled spheres 158. The simpified apparatus provided by this invention comprises trough 102 and column 104--See FIG. 1. Gelation is accomplished by directing a stream of U-Pu broth droplets 128 from conventional nozzle 126 into a moving volume of hot (about 85.degree. C.) perchloroethylene in trough-shaped vessel 12. The velocity of droplets 128 carries them into the perchloroethylene momentarily, after which, they rise to float on the surface until gelation is complete. Gelled spheres 132 are transported by the perchloroethylene stream into connecting aging column 104 in which a 20 minute holdup allows the spheres to age in the perchloroethylene. From ager 104 the spheres are transported onto moving screen 142 (See FIG. 2) where the perchloroethylene is removed from them, and they are deposited in an aqueous wash column 150. The invention continuous gelation system using perchloroethylene (FIG. 2) is greatly simplified as compared to the prior art system using silicone oil (FIG. 3). A single flow of perchloroethylene replaces nine flows of silicone oil and trichloroethylene. The complex equipment and procedures for a trichloroethylene wash and for the organic recovery have been eliminated. Two difficult solid-liquid detection and control systems are replaced by a simple floating bed of spheres in the ager without any need for detection and control of a solids level. The perchloroethylene provides a nearly ideal combination of gelation temperature, easy removal from the gel, and nonflammability for the preparation of (UPu)O.sub.2. The drops easily enter the PERC and the controlled jet-catcher stream used for silicone oil is not needed. EXAMPLE In this typical microsphere forming experiment, 31.5 ml of a metal feed solution, that was 0.64 M in Pu(NO.sub.3).sub.4 and 2.00 M in A.D. UO.sub.2 (NO.sub.3).sub.2 [(Pu/(U+Pu)=0.236)], was added to a feed pot and cooled to -3.degree. C. A.D. UO.sub.2 (NO.sub.3).sub.2 means acid deficient uranyl nitrate equivalent to UO.sub.2 (OH).sub.x (NO.sub.3).sub.2-x, where x may be between 0 and 0.6. The uranyl is partly hydrolyzed, but remains in solution. [During preparation, the metal feed solution was made acid deficient by the addition of NH.sub.4 OH to provide an unneutralized nitrate/metal mol ratio of 1.90.] A solution, that was 3.2 M in hexamethylenetetramine and 3.2 M in urea, was then slowly added to the feed pot (42.2 ml). The temperature was not allowed to increase above 0.degree. C. during this addition. This solution was dispensed by air pressurization of the feed pot to a vibrated nozzle that introduced 1 mm diameter droplets into the sphere forming trough that contained a flowing stream of perchlorethylene maintained at 85.degree. C. The droplets gelled in about 10 seconds. The residence time in the trough was about 30 seconds. The gelled spheres flowed into a screen collector and were aged for 20 minutes in perchlorethylene at 85.degree. C. After aging, the spheres were allowed to drain for 5 minutes and were then batch washed four times in 3 M NH.sub.4 OH. The spheres were then dried at 110.degree. C. in moist air. Good quality microspheres were obtained in high yield (greater than 98%), and the tap density was 1.27 gm/cc which is in excellent agreement with the density obtained for spheres formed at 90.degree. C. in silicone oil (1.25.+-.0.02 gm/cc). By way of summary, the invention involves a process for preparing gel spheres of (UPu)O.sub.x and other nuclear fuels. The process includes releasing droplets of U-Pu solution into a moving stream of hot perchoroethylene. The droplets form gel spheres which float on the surface of the moving perchloroethylene stream. The spheres are then dropped through a vertical column of perchloroethylene and are allowed to age. Finally, the spheres are subjected to an aqueous wash step and are allowed to dry. The invention also involves an apparatus in which hot, sphere-carrying perchloroethylene is transported, and a vertical column through which the gel spheres descend during the aging process. The foregoing description of preferred embodiments of the invention has been presented for purposes of illustrated and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications and variations are possible in light of the above teachings. The embodiments were chosen and described in order to best explain the principles of the invention and its practical application to thereby enable one skilled in the art to best utilize the invention in various embodiments and with various mdofications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto.
046506357
description
DETAILED DESCRIPTION FIG. 1 shows a loop of a primary circuit of a pressurized water nuclear reactor. The nuclear reactor comprises a vessel 1 enclosing the reactor core 2 and connected by pipework 3 and 4 to a steam generator 6 and a primary pump 7 enabling the pressurized water to be circulated inside the pipework 3 and 4 in the direction of the arrows 8. The pipework 3 receiving the high temperature pressurized water leaving the core forms the hot branch of the reactor, while the conduit 4, which ensures the return of the pressurized water to the vessel 1, after its passage through the steam generator 6, forms the cold branch of the primary circuit. The steam generator 6 comprises a nest of tubes 9 inside which pressurized water circulates to heat the steam generator feed water which is heated and then vaporized before being conveyed to the turbine of the nuclear power station. Shown in FIG. 1 is the loop of the primary circuit which includes the pressurizer 10 which provides the pressure control in the primary circuit. For this purpose, electric heating elements 11 enter the lower part of the pressurizer. An auxiliary spray 12 and a device 13 for relieving the pressurizer permit the water to be returned to its nominal pressure in the event of an overshoot. FIG. 2 shows the circuit for volumetric and chemical monitoring (VCM) of the nuclear reactor connected as a branch of one of the loops of this reactor which is identical to the branch shown in FIG. 1. A part of the circuit for volumetric and chemical monitoring is outside the reactor safety housing 14, the part arranged inside the safety housing 14 comprising a heat exchanger 15 for cooling and depressurizing the primary fluid before it leaves the housing 14. The VCM circuit comprises, outside the housing 14, heat exchange coolers 16, a replenishment stocktank 17 and demineralization units 18. A feed pump 19 enables the fluid to be circulated inside the VCM circuit. A set of valves permits the VCM circuit to be isolated from the primary circuit when it is not in service. To make use of the process according to the invention it is necessary to determine, for the entire internal volume of the primary circuit excepting the stocktanks present in it, a sectioning into volume elements chosen so that within these volume elements the temperature and the pressure are sufficiently uniform for the subsequent calculations of masses of water to be meaningful. In practice, the volume elements are chosen so that the temperature and the pressure are equal at any point of the volume element, taking into account a predetermined tolerable margin of error which makes it possible to carry out the calculations of the mass of water with a tolerable maximum error. The knowledge of the distribution of temperature and pressure in the primary circuit of a pressurized water reactor, for example a nuclear reactor with three loops, having an electric power of 900 MW has enabled nineteen volume elements to be determined inside the primary circuit, volumes inside which the temperature and the pressure remain sufficiently homogeneous and constant during the operation of the reactor. Account is also taken of the three stocktanks communicating with the primary circuit, namely the pressurizer relief stocktank 20 (FIG. 1), the stocktank for collection of the localized leaks from the primary circuit and the storage stocktank for the primary effluent treatment. So far as the auxiliary VCM circuit, shown in FIG. 2, is concerned, its internal volume is sectioned into three volume elements and account is taken of the stocktank 17 permitting the volumetric monitoring of the primary circuit. For each of these volume elements or stocktanks the temperature and the pressure of the water in the volume element or stocktank in question are measured at some regular intervals during the operation of the reactor. Where the stocktanks are concerned, the water level in this stocktank is measured in addition at the same regular intervals. Finally, signals representing measurements of pressure and temperature levels, and signals describing the state of opening or closing of the valves in the primary circuit and in the auxiliary circuit are collected, to provide knowledge of the possible flows of water being removed or added to the mass of water in the primary circuit at the time of the measurement. The measurement of the parameters considered above make it possible to calculate the masses of water in the stocktanks and in the volume elements of the primary circuit and of the auxiliary circuit at the time of the measurement. In practice, pressure and temperature sensors are arranged in the region of each of the volume elements and stocktanks, together with level sensors in each of the stocktanks. These sensors transmit the results of the measurement in the form of signals to a central computer unit which carries out the determination of the mass of water using water tables stored in a memory. The calculation must take into account water in a liquid form and water in the form of steam inside each of the determined volumes. For example, in the case of the pressurizer, a single volume is considered inside which water and steam under pressure are in equilibrium. The water volume comprises the bottom of the pressurizer and the cylindrical volume of the water column above it. The volume of steam in the pressurizer comprises the total cylindrical volume of the pressurizer minus the volume corresponding to the column of water and the volume of the upper dished part of the pressurizer. The level in the pressurizer is therefore measured, as in the case of the stocktanks, for calculating the mass of water. The internal primary volume of the steam generators consists of the sum of the inner volumes of the nest of tubes. The volume of each cold branch of the reactor consists of the volume of the water box on the side of the water delivery into the steam generator, the volume of the primary pump and the volume of the pipework connecting the primary pump to the steam generator and to the vessel, the volume of the bypass pipework and the volume of the spray pipework of the pressurizer. So far as the circuit for volumetric and chemical monitoring of the reactor is concerned, its volume is small relative to the volume of the primary circuit, with the result that the disparities of temperature in this circuit have little effect on the final calculation of the mass of water and of the rate of leakage. Consequently, a sectioning of this VCM circuit into only three volume elements is chosen. The principle of determination of the mass of water is based on the calculation of the volume occupied by this water as a function of the measured pressure and temperature. The volume element at the reactor operating pressure P and temperature T is obtained using the formula: EQU Vi (Piti)=Vi cold (1+.alpha.i Ti+xi Pi) where Vi (PiTi)=volume element at the reactor operating pressure PA1 P and temperature T PA1 Vi cold=volume element when cold PA1 .alpha.i=expansion coefficient of the volume element i PA1 xi=compressibility coefficient for the volume element i. The water tables stored in a memory in the computer make it possible to obtain the density of the water at a pressure and temperature corresponding to the temperature and the pressure of the volume element i. The mass of water enclosed in each volume element is therefore calculated by multiplying the volume element Vi (PiTi) by the density of water at the temperature T and pressure P. In the more complex parts such as the reactor core and the steam generators, a modelling of the temperature has been carried out so as to approach the integral referring to the volume element i .intg..intg.Vi.rho.(Ti Pi) dvi using an expression of the type Vi (T av.i, Pi) .rho.(T av.i, Pi). Where the stocktanks and the pressurizer are concerned, account is taken of the measured level in the stocktank to determine the volume of water in the latter. The relationship between the level and the volume enclosed by the stocktank has been memorized in the computer to enable this calculation to be made. The frequency with which the various parameters of pressure, temperature and level are acquired can be slightly different and the calculation will be carried out at a frequency, or step, equal to or slightly above the maximum frequency of acquisition of the measured parameters. In the case of a nuclear reactor with three loops considered above, the step is of twenty seconds and the computer makes it possible to obtain an accurate value of the masses of water in each of the volume elements and stocktanks every twenty seconds. The computer carries out the summation of these element values of the mass of water so that the total mass of water present at any instant in the primary circuit is known. Where the reactor vessel is concerned, the following volume elements are discerned: the volume of the vessel on the cold side consisting of the entering volume of the water from the cold branches and the dead volume occupied by the water before it passes into the core, the volume of the core which is available for the passage of water, the volume of the upper part of the vessel or plenum, finally, the three volumes corresponding to the hot branches, determined as shown above. FIG. 3 shows the principle of calculation of the masses of water in the primary circuit on a time basis. The time scale is shown on the bottom line of the diagram, with a graduation in steps of twenty seconds. Each of the graduations 1, 2, . . . m, m+1, . . . denotes the moment of acquisition of a value for the mass of water in the primary circuit. The upper lines in the diagram represent periods of time X1, X2, . . . , representing a whole number of 20-second steps. A time period which is equal to thirty minutes, that is to say to ninety steps of twenty seconds, has been selected for the determination of the mass of water and of the rate of leakage from the reactor in the example referred to above. Each of the periods X1, X2, . . . therefore represents thirty minutes or ninety steps. The total mean mass of water in the primary circuit during any period X of ninety steps is equal to the sum of the ninety values of the total mass determined at each of the steps, divided by ninety. This calculation of the mean value is carried out not only for the successive thirty-minute periods X1, X2, . . . but also for periods of the same length which are offset by one step (X1.sub.1, X1.sub.2, . . . ) or by any number of steps (Xn.sub.1, Xn.sub.2, . . . ) which is less than ninety. Pairs of mean values are thus determined over successive periods X1 X2, X1.sub.1 X1.sub.2, Xn.sub.1 Xn.sub.2, of a fixed duration of thirty minutes. The computer determines the difference between these mean values in the course of two successive periods of thirty minutes, which gives a value of the leakage flow at a given moment corresponding to some number of steps. The value of the leakage flow at the following step will be determined by the difference of the mean values calculated over periods which are offset by one step relative to the preceding periods (X1.sub.1 and X1.sub.2). At each step therefore a calculation will be carried out of a value of the leakage flow equal to the difference between the mean values of the masses of water in the primary circuit calculated over two successive periods of ninety steps. FIG. 4 shows, for the case of an abrupt change shown theoretically by the curve A, the curve C corresponding to the values of the leakage flow obtained by the process according to the invention. It is seen that the calculated value of the leakage flow increases progressively until the time when it comes to equilibrium at a value which fluctuates about the new theoretical value of the leakage flow. In fact, the results of the calculation take account of the values of the mass over periods preceding the abrupt change in the leakage flow. When the leakages are stabilized at their new value, the level of the leakage flow is nevertheless known with a high degree of accuracy, which is of the order of a few liters per hour in the case of the application considered above, to a nuclear reactor with three loops which operates continuously with an average leakage flow of the order of 100 l/h. In fact, the actual variation in the leaks never follows a theoretical curve such as shown by A in FIG. 4 but rather a variation such as shown by B, which progresses with time. It is therefore apparent that the process according to the invention enables the total rate of leakage of the primary circuit of the reactor to be calculated with a very high degree of accuracy without recourse to measurements other than measurements of level, temperature and pressure in this circuit. The value of unmonitored leaks can be obtained by subtracting from the value of the total leaks the mean value of the monitored leaks over the period which corresponds to the calculation of the total leaks. It is obvious that the invention is not limited to the embodiment which has just been described but that, on the contrary, it comprises all the alternative forms. Thus the sectioning of the primary circuit and the refinement of the modelling can be produced in a different manner from that which has been desribed, as a function of the characteristics of this primary circuit and of the variation in the temperature and the pressure of the water in its various parts. Similarly, given a regularity of acquisition of data which are required for the calculation of the mass of water, it is possible to establish periods for the calculation of the mean of this mass of water which correspond to any number of data acquisition steps. Nevertheless, the period for calculating the mean value must not drop below a certain value so that the assessment of the leakage flow can be carried out with adequate accuracy. In the case of a nuclear reactor with three loops and of a sectioning and calculating procedure such as those described above, it is necessary to choose a period of time M which is longer than ten minutes. The invention applies to any type of primary circuit of a pressurized water nuclear reactor which has association with it one or more auxiliary circuits such as a circuit for volumetric and chemical monitoring.
047045396
summary
BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to a loading and/or unloading device for containers of irradiated fuel of a nuclear reactor or of a reprocessing unit of irradiated fuel, and it is more particularly concerned with the container transportation device, its positioning and sealing system underneath an unloading and/or loading pit, and to the traversing of the bottom of the pit of such a nuclear installation. In order to make clear the problems solved by the device according to this invention, reference is first made to FIGS. 1A through 1H which illustrate schematically the various steps of a container loading operation. In these Figures, one sees at 10 a cart carrying the container 12 provided with a tight plug 14, said cart being moved outside the installation by a locomotor 16. Inside the installation, it is self-propelled, which allows bringing it in succession underneath a system 18 providing the removal of plug 14 and underneath a penetration shaft 20 acting as a lock with a pit or cell 22. A loading operation includes the following steps: 1--bringing the container carrying cart to the removal station of plug 14 (FIG. 1B), after having previously passed through a preparation station; then PA0 2--moving the cart underneath penetration shaft 20 (FIG. 1C), in order to provide a path between pit 22 containing the irradiated fuel 24 and container 12, previously filled with water (FIG. 1D); PA0 3--the loading of container 12 with irradiated fuel 24 (FIG. 1E) is then carried out, then the container 12 is separated from pit 22, followed by the emptying of penetration shaft 20 (FIG. 1F); and finally PA0 4--the cart is transferred underneath the fitting and removal station of plug 14 (FIG. 1G), for resetting the sealing plug in position, followed by the carrying away of the loaded container (FIG. 1H). The invention proposes a number of improvements to the various means used in this installation. OBJECT AND SUMMARY OF THE INVENTION Therefore, the object of the invention is to provide an apparatus for transportation, positioning and sealing a container used for receiving irradiated fuel underneath a loading and/or unloading pit of a nuclear installation, of the type in which a container is disposed on a cart which is successively brought underneath a loading preparation station, underneath a removal station for removal of the plug from the container, and underneath a penetration shaft providing the connection between said loading and/or unloading pit and the hall beneath said pit where said cart travels, said apparatus being characterized in that said cart is made of a framework placed on a rolling platform via a sliding and/or rolling support, and guided by side rails between the cart and the hall, and in that said container is placed on said framework via a plate provided with means for adjusting the height and levelness of the upper face of said container, the positioning of the latter with respect to transverse and longitudinal axes taken in a plane passing through the framework and the container, being obtained with the assistance of a jig fixed on the container frame and cooperating with reference axes carried by the top of the cart, and in that armored protection plates are provided for reducing the radiations, during the container loading operations, and in that the fluid tightness between said loading and/or unloading pit and the container is maintained by a metallic bellows providing the continuity of the fluid tightness at the level of the bearing surface of the container on which the plug is received.
abstract
A nuclear component transfer device that incorporates a shielded canister into the mast design of a conventional nuclear refueling machine. A moveable mast telescopes within a stationary mast which is attached to a bridge for lateral positioning. The canister allows for the addition of shielding that is positioned with the movement of the moveable mast without additional motorized components to deploy the shielding during nuclear component movement. The nuclear component is drawn up into the shielded canister as the moveable mast lifts the nuclear component. The nuclear component is then placed into a transfer cart that is also fitted with a shielded canister. The transfer is made without exposing the nuclear components resulting in completely shield movement.
claims
1. An x-ray/gamma-ray detection apparatus, the apparatus including:a plurality of x-ray/gamma-ray detectors arranged in at least one linear array,a conveyor providing a position for a material under test that moves in relation to the at least one linear array,an x-ray/gamma-ray source, andat least three adjacent structures arranged side by side in the same plane, wherein the plane of the at least three adjacent structures lies parallel with the at least one linear array, each structure configured to perturb an x-ray/gamma-ray energy spectrum differently from each other, the x-ray/gamma-ray source, the at least one linear array, the position for a material under test, and the at least three adjacent structures being aligned,wherein the x-ray/gamma-ray source is arranged to direct an x-ray/gamma-ray energy spectrum to impinge upon, in order, each of: a positioned material under test; the at least three adjacent structures configured to perturb the x-ray/gamma-ray energy spectrum; and the at least one linear array, wherein said structures lie between the position for material under test and the at least one linear array. 2. An x-ray/gamma-ray detection apparatus according to claim 1, wherein each x-ray/gamma-ray detector is a single pixel detector. 3. An x-ray/gamma-ray detection apparatus according to claim 1, comprising a repeating array of the at least three adjacent structures. 4. An x-ray/gamma-ray detection apparatus according to claim 1, wherein each x-ray/gamma-ray detector includes a scintillator. 5. An x-ray/gamma-ray detection apparatus according to claim 1, comprising at least two linear arrays of x-ray/gamma-ray detectors aligned with each other and the x-ray/gamma-ray source, wherein the at least two linear arrays are aligned with each other in a selected one of two arrangements: side by side, and one above the other. 6. An x-ray/gamma-ray detection apparatus according to claim 5, wherein each x-ray/gamma-ray detector of one of the two linear arrays is associated with one of the at least three structures. 7. An x-ray/gamma-ray detection apparatus according to claim 5, comprising at least three linear arrays of x-ray/gamma-ray detectors aligned side by side, wherein the detectors of each respective linear array are aligned with a common one of the at least three adjacent structures. 8. An x-ray/gamma-ray detection apparatus according to claim 5, comprising two linear arrays of x-ray/gamma-ray detectors aligned with each other one above the other and the x-ray/gamma-ray source, wherein the at least three structures are situated between the two detectors. 9. An x-ray/gamma-ray detection apparatus according to claim 8, further comprising another at least three adjacent structures situated above the upper linear array of x-ray/gamma-ray detectors. 10. An x-ray/gamma-ray detection apparatus according to claim 1, comprising at least two linear arrays of x-ray/gamma-ray detectors, and wherein the linear arrays lie in different planes. 11. A method of generating an x-ray/gamma-ray image using x-ray/gamma-ray detection apparatus according to claim 1, comprising the steps of:a) Positioning a material in the apparatus;b) Causing the x-ray/gamma-ray source to direct an x-ray/gamma-ray energy spectrum to impinge upon the detectors of the at least one linear array of x-ray/gamma-ray detectors, the structure configured to perturb the x-ray/gamma-ray energy spectrum, and positioned material under test; andc) Analysing the signal of each detector of the or each linear array. 12. A method according to claim 11, wherein the method comprises the further step of recording the signals for each detector in the or each linear array of detectors and comparing the recorded signals with the recorded signals for adjacent detectors or groups of pixels. 13. A method according to claim 12, comprising the further step of performing the step of claim 12 without any object present after having performed the step of claim 11. 14. A method according to claim 13, comprising the further step of comparing the current differences between recorded signals between adjacent detectors. 15. A method according to claim 14, comprising the further step of following the method steps of claim 12 for at least one known material and storing the differences in a database, and comparing the differences between recorded signals for an object under test with the differences between recorded signals in the database. 16. A method according to claim 15 comprising the further step of producing at least one output representative of the at least one material property. 17. A method according to claim 16, comprising the further step of displaying the at least one output on a display means. 18. An x-ray/gamma-ray detection apparatus according to claim 5, wherein both of the at least two linear arrays are associated with one of the at least three structures. 19. An x-ray/gamma-ray detection apparatus according to claim 5, wherein the detectors of each of the at least two linear arrays have a capture frame rate, and wherein the movement of the conveyor is synchronized with the capture frame rate of the detectors of the at least two linear arrays. 20. An x-ray/gamma-ray detection apparatus according to claim 1, wherein the detectors of the at least one linear array have a capture frame rate, and wherein the movement of the conveyor is synchronized with the capture frame rate of the detectors of the at least one linear array.
abstract
A neutron reactor includes a neutron shield which is disposed outside a nuclear reactor core and adapted to absorb neutrons leaking from the core. The neutron shield includes a plurality of containers each of which contains a powdered neutron absorbing material and which are stacked with one another in a vertical direction, and a cladding tube which houses the containers. The neutron absorbing material is composed of B4C powder.
047479949
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates in general to the control of switchgear mechanisms which supply power to process control apparatuses, and more specifically to the control of reactor trips in a pressurized light water nuclear reactor, including sensing the condition of power supplied to devices which position control rods in the reactor. 2. Description of the Related Art The most important step in the shutting down of a nuclear reactor is the insertion of control rods into the reator core. Conventionally, the control rods in a pressurized light water nuclear reactor are positioned by spring-loaded mechanical grippers which hold the control rods only while supplied with electrical power. When the power is cut off, the mechanical grippers release the control rods which fall into the reactor under the force of gravity. A switchgear mechanism is connected to the power supply line for the rod positioning grippers to interrupt the supply of power when an unsafe condition is sensed by the reactor control and protection systems. Typically, the rod positioners are supplied with alternating current (AC) power at 480 volts. Conventional switchgear mechanisms utilize low resistance, direct current (DC) shunt trip coils which respond quickly but require that the power supplied thereto be cut off shortly after activation of the switchgear to prevent overheating and resultant burnout of the shunt trip coils. Conventionally the trip coil is powered by a DC battery which supplies, e.g., 125 volts under the control of a manually or automatically activated switch. Auxiliary contacts on the switchgear are connected in series with the battery and trip coil to break the circuit between the battery and trip coil after the shunt trip coil is activated. Thus, de-energization of the shunt trip coil conventionally depends upon the mechanical operation of the switchgear, which can be tested only by activation of the switchgear. SUMMARY OF THE INVENTION An object of the present invention is to eliminate the use of an externally supplied DC power to activate a trip coil in switchgear to control reactor trips in a pressurized light water nuclear reactor. Another object of the present invention is to ensure deactivation of a trip coil in switchgear controlling the supply of power to a process control device. A further object of the present invention is to provide electrical isolation of a power condition signal generated by a control system which controls the supply of power to a process control device. Yet another object of the present invention is to provide a control system which controls and monitors the condition of power supplied to a process control device. The above-mentioned objects are obtained by providing a control system for a trip coil in switchgear receiving power at a first level from a power source and supplying the power at the first level to a process control device. The control system includes a transformer, supplied with power by the switchgear, for reducing the power from the first level to a second level and a trip device for supplying the power at the second level to the trip coil of the switchgear only when interruption of the power supplied to the process control device is desired. A control system according to the present invention may include a voltage/optical converter for converting the power at the second level to a light signal and an optical transmitter for transmitting the light signal to a monitoring device. These objects, together with other objects and advantages which will be subsequently apparent, reside in the details of construction and operation as more fully hererinafter described and claimed, reference being had to the accompanying drawings forming a part hereof, wherein like reference numerals refer to like parts throughout.
047913037
summary
The present invention is directed to methods and apparatus for laminating polymeric sheet material in the absence of application of a separate adhesive and/or application of heat, and in particular is directed to methods and apparatus for effectuating the lamination of at least two polymeric sheets utilizing application of gaseous cold plasma, preferably comprising cold argon plasma, to effectuate such adhesiveless bonding through the generation of free radicals, and also in the substantial avoidance of any melting or heat degradation of such polymeric properties thereof in strength, stability, etc. It has been desirable in the packaging arts, and particularly in the packaging of comestibles to utilize clear polymeric materials for visual observation of the particular food product being displayed, in order to enhance the marketability thereof and to provide the consumer with a full opportunity to observe the condition of the food product. Unfortunately, certain polymeric materials have had properties rendering such materials desirable for some necessary aspects of packaging, but have had properties which were less than optimal in other areas. Specifically, for example, some polymeric sheet materials have permitted excellent transparency, but have been lacking in barrier protection against the infusion of oxygen and/or moisture from the atmosphere, etc. Thus, it has been desirable to utilize two or more polymeric sheet materials bonded together in the form of a laminate in order to achieve the hybridized or synthesized properties of several different polymeric sheet materials. As a further example, some polymeric sheet materials have been excellent in their performance as barrier materials, but have lacked printability on the surface thereof, which has been detrimental to their use as packaging material. In formulating such laminated materials, two basic prior art techniques have been principally utilized. In one technique, adhesive has been used as a lamination material between two separate sheets of different polymeric materials. A number of disadvantages and/or deficiencies have been associated with the use of adhesives for this purpose. Such adhesives have resulted in a relatively high scrap rate, comprising between in some instances 5% and 25%. Also, such prior art adhesive lamination has rendered necessary in most instances the presence of solvents or unreacted monomers, which has introduced another area of uncertainty, pursuant inter alia to Food and Drug Administration rules and regulations regarding toxicity, into packaging which has been proposed to be particularly utilizable for the packaging of comestibles. In addition, the machinery which has been developed for use in adhesively bonding together polymeric sheets to form such laminates has been relatively costly, and has involved high depreciation costs and excessive maintenance attention. Another form of laminate formation has been the hot co-extrusion of two different webs of polymeric materials, and/or application of a layer of heat melt adhesive, such that two or more webs are joined by such heat lamination. Such heat utilization techniques have resulted in relatively high scrap levels for relatively short runs of laminate material. The capital outlays have been relatively large for such equipment, and the depreciation costs involved have also been relatively high. In addition, the different types of polymeric material which have been utilizable in such hot co-extrusion and related techniques have been relatively limited. Moreover, in some polymeric materials the utilization of heat has tended to degrade the desirable physical properties of such polymeric materials. In the method and apparatus techniques of the present invention involving cold plasma lamination of two or more polymeric sheets, a number of advantages have been realizable over prior art techniques. One such advantage is that many different types of polymeric materials can be readily combined to form laminate materials having a wide variety of hybrid characteristics, as compared with the prior art. In addition, the energy utilization required in such systems of such cold plasma lamination techniques has been relatively low as compared with prior art systems, such as adhesive lamination and/or hot melt or hot co-extrusion techniques. Also, the cold plasma lamination methods and apparatus of the present invention are readily adaptable to more economical short production runs, and which have further involved relatively low scrap rates. Moreover, the use of solvents or monomers which would complicate bonding techniques and thereby reduce predictability and uniformity in the finished product, and which would also result in additional regulatory difficulties because of the utilization of such solvents or monomers, has been beneficially eliminated by means of the present invention. Accordingly, and in view of the above deficiencies and difficulties with prior art techniques, methods, handling and application apparatus, it is an object of the cold plasma lamination methods and apparatus of the present invention to reduce materially such difficulties and deficiencies associated with such prior art techniques. Additionally, it is an object of the cold plasma methods of the present invention to utilize cold plasma in exposing polymeric films thereto to effect adhesion between two such polymeric films. It is an additional object of the cold plasma methods of the present invention to utilize very high voltage sources across the anode and cathode of the cold plasma reactor apparatus hereof, and in particular to utilize voltages in the approximate range of 180,000 to 500,000 volts. It is also a further object of the cold plasma methods of the present invention to utilize pulses of very high frequency discharge, and in the radio wave frequencies, to activate such cold plasma, and in particular in preferred embodiments frequency pulses on the order of 12.56 megaHertz (12,560,000 cycles per second). It is a yet further object of the cold plasma methods of the present invention to maintain such gaseous cold plasma in the reactive zone for treating such polymeric sheet material under pressures of approximately 1.1 Torr or greater in such reaction zone. It is also a yet further object of the cold plasma methods of the present invention to utilize an approximately 1% to 2% noble gas in air mixture as the plasma medium, and to utilize argon gas in such concentrations in some preferred embodiments due to its superior plasma formation characteristics and relatively lower cost. It is yet another object of the cold plasma methods of the present invention to automatically control the power to the electrode and the pressure in the reactor relative to one another, and in particular to do so by means of an adiabatic pressure valve to function to minimize pressure changes and automatically to vary power in response to voltage variation at the anode, which variation results from changes in pressure. In addition to the above, it is a material object of the cold plasma reactor apparatus of the present invention to provide a pressurized plasma chamber substantially and/or relatively sealed on one side and defining one side of such chamber by means of the moving polymeric film which is simultaneously being treated by the plasma, and especially by means of the use of a rolling anode comprising a conductive roller to force the polymeric film down against the chamber end walls to form such plasma chamber. Moreover, it is a material object of the cold plasma reactor apparatus of the present invention to utilize insulating ingress and egress rollers on either side of the plasma chamber forming walls and also on either side of such conductive roller to facilitate movement of the film over the chamber forming walls and into and away from such conductive roller. It is also a material object of the cold plasma reactor apparatus of the present invention to utilize very sharp edged, thin cathodes, such as for example razor blade-like cathodes, to serve to generate such gaseous cold plasma. It is a yet further additional material object of the cold plasma reactor apparatus of the present invention to utilize two spaced and elongated rows of such razor thin cathodes on either side of gas inlets for the plasma generating gaseous medium to insure an evenly pressurized zone of plasma between the two rows of such razor thin cathodes. It is a yet further additional supplemental material object of the cold plasma reactor apparatus of the present invention to utilize selectedly and preferably uniformly gaseous medium inlets in the cathode frame to feed the plasma generating gaseous medium, preferably comprising an argon in air mixture, to the plasma treatment chamber. Further additional material objects of the cold plasma methods and apparatus of the present invention will become known to those of ordinary skill in the art upon the review of the following summary of the invention, brief description of the drawing, detailed description of preferred embodiments, appended claims and accompanying drawings. SUMMARY OF THE INVENTION The present invention is directed in one aspect thereof to various methods for laminating at least two polymeric sheets into a laminate composite having improved properties. A broad embodiment of such inventive method comprises a first step of providing a first sheet of polymeric material, and thereafter exposing a surface thereof to gaseous cold plasma to activate the surface thereof. Such activation is effectuated by the formation of free radicals on the surface thereof. Next, the activated surface of such first sheet is disposed into intimate proximity with a second sheet of polymeric material, and then the two sheets are pressed together, thereby to form a laminated composite, and to do so in the absence of any substantial heating of either sheet, and without the application of a separate adhesive to either of such sheets. In addition to the above methods, the present invention is also directed to a reactor apparatus for activating the surface of a first sheet of polymeric film material to form free radicals thereon, essentially utilizing the methods as described above. Such reactor apparatus includes a cold plasma generating cathode and anode disposed in respective spaced proximity with respect to the surface of the first sheet of polymeric material to be treated. Means for flowing a stream of cold plasma generatable gaseous medium past the cold plasma generating cathode are provided. Means are further provided for effectuating relative movement between such cathode and the surface of the first sheet of polymeric material for exposing a substantial portion of the surface of such first sheet to such cold plasma. In some of its more specific embodiments, the above cold plasma reactor apparatus includes a preferably pressurized cold plasma chamber which is defined by and substantially relatively sealed on one side thereof by the moving polymeric film which is simultaneously being treated by the plasma. In such preferred embodiments, a rolling anode in the form of a conductive roller is utilized to urge the treated film downwardly against the non-conductive chamber forming walls. In such preferred embodiments non-conductive ingress and egress rollers are disposed on opposite sides of such conductive roller to urge the film to be treated over such treatment chamber for treatment thereof by such cold plasma contained with such chamber. Further aspects of the cold plasma methods and apparatus are described in greater detail, infra.
description
The present disclosure relates to complex shape structures. In particular, it relates to complex shape structures for liquid lithium (Li) first walls of fusion power reactor environments. The present disclosure relates to an apparatus, system, and method for a complex shape structure for liquid lithium first walls of fusion power reactor environments. In one or more embodiments, the disclosed method for maintaining liquid lithium on a surface area of internal walls of a reactor chamber involves installing at least one tile on the surface area of the internal walls of the reactor chamber. At least one tile is manufactured from a high-temperature resistant, porous open-cell material. The disclosed method further involves flowing liquid lithium into at least one tile. In addition, the method involves circulating the liquid lithium throughout an interior network of the tile(s) to allow for the liquid lithium to reach the external surface of the tile(s) that faces the interior of the reactor chamber. The method also involves outputting the circulated liquid lithium from the tile(s). In one or more embodiments of the present disclosure, the reactor chamber is employed in a fusion reactor. The tile may be manufactured to be of various shapes including, but not limited to, irregular shapes and regular shapes. In addition, the tile may be manufactured from various high-temperature, porous materials including, but not limited to, various types of ceramic materials and metallic foams. In at least one embodiment, at least one tile contains at least one open cell in the interior of the tile(s). Liquid lithium is circulated throughout the interior of the tile(s) via the open cell(s). In one or more embodiments, at least one tile has a constant porosity. In some embodiments, at least one tile has a varied porosity. In one or more embodiments, at least one tile includes an input plenum, where liquid lithium is inputted into the tile(s) via the input plenum. In at least one embodiment, at least one tile includes an output plenum, where liquid lithium is outputted from the tile(s) via the output plenum. In some embodiments, the input plenum and/or the output plenum are each a hollow piece of metal. In one or more embodiments, the flow rate of the circulation of the liquid lithium within the interior network of at least one tile is varied over time. In addition, in some embodiments, the flow rate of the circulation of the liquid lithium differs from tile to tile. In at least one embodiment, the system for maintaining liquid lithium on a surface area of internal walls of a reactor chamber includes at least one tile and a reactor chamber. In one or more embodiments, at least one tile is manufactured from a high-temperature resistant, porous open-cell material. In some embodiments, at least one tile is installed on the surface area of the internal walls of the reactor chamber. In at least one embodiment, at least one tile allows for liquid lithium to be flowed into the tile(s). In addition, the tile(s) further allows for the liquid lithium to be circulated throughout the interior network of the tile(s) to allow for the liquid lithium to reach the external surface of the tile(s) that faces the interior of the reactor chamber. Additionally, the tile(s) further allows for the circulated liquid lithium to be outputted from the tile(s). In one or more embodiments, a tile for maintaining liquid lithium on a surface area of internal walls of a reactor chamber is disclosed. In at least one embodiment, the tile is manufactured from a high-temperature resistant, porous open-cell material. In some embodiments, the tile includes at least one open cell in an interior of the tile for circulating the liquid lithium within the interior of the tile. The features, functions, and advantages can be achieved independently in various embodiments of the present inventions or may be combined in yet other embodiments. The methods and apparatus disclosed herein provide an operative system for complex shape structures. Specifically, this system relates to complex shape structures for liquid lithium first walls of fusion power reactor environments. In particular, the disclosed system uses a high-temperature, high-porosity open-cell material to maintain liquid lithium in a fusion energy reactor, thereby reducing damage to the internal reactor surfaces that have complex shapes. For example, the Boeing Rigid Insulation (BRI) material, which is a porous open-cell ceramic material with a high temperature tolerance and a good material compatibility, may be employed by the disclosed system. In addition, the disclosed system also provides for high-neutron flux exposure for the lithium for purposes of tritium breeding. BRI material is a porous, ceramic, fiber insulating material that comprises a unique combination of ceramic fibers, which are sintered together to form a low density, highly porous material with very low thermal conductivity. In addition, BRI material exhibits a high tensile strength and an outstanding dimensional stability. In particular, BRI material is manufactured from a combination of silica (SiO2) and alumina (Al2O3) fibers, and boron-containing powders, which help to aid in the fusion and sintering of the silica and alumina fibers. The preponderance of the insulative capability of the BRI material is provided by the silica fiber and the alumina fiber orientation. The BRI material exhibits very low thermal conductivity, particularly in the through-the-thickness direction. Further details discussing the composition of BRI and the method of producing BRI are disclosed in U.S. Pat. No. 6,716,782, which is expressly incorporated herein by reference. There are known difficulties in maintaining plasma control in fusion energy reactors. Among them, plasmas can be unstable at high power densities. Liquid lithium is known to help stabilize plasmas in reactor vessels. The plasma consists mostly of positive ions and negative electrons, and its outer sheath, near the reactor walls, is cooler than its core. In the sheath, the ions have a higher probability of acquiring electrons from the plasma and, thus, becoming neutral atoms than do ions in the core. Neutral atoms cannot be confined by magnetic fields, thus neutral atoms have a high probability of crossing the magnetic field that confines the plasma, and hitting the reactor vessel walls. In this process, the neutral atoms carry some energy from the plasma to the walls, thus causing a slight further cooling of the plasma sheath and a slight heating of the walls. In a fusion plasma, most of these neutral atoms are hydrogen, but other materials can be present, such as helium made by the fusion reactions and heavy elements (contaminants) that can be spalled off the reactor structure by accidental plasma impingement on the structure. If the walls are made of high temperature-tolerant ceramics or metals, the neutral atoms will stick to the walls for a short time, then drift back into the plasma sheath. However, the atoms reentering the plasma sheath from the walls are now quite cold in comparison to the plasma sheath, thus they cause considerable cooling of the plasma in the sheath. Normally, the plasma sheath is cooler than the plasma core, but if the sheath is cooled too much, the differential in temperature between the plasma core and the sheath increases the instability of the plasma. Lithium on the inside wall of the reactor tends to absorb and not release neutral atoms that drift into it. By absorbing and holding the neutral atoms that contact the walls, the lithium prevents the atoms from getting back into the plasma sheath as cold atoms, which helps the sheath to stay warmer and makes the overall plasma more stable. Currently, in experiments, liquid lithium is drizzled down the inside of the side walls of the reactor vessel from channels that lie just above where the side walls are nearly vertical (i.e. the channels lie just above the “equator” or midsection of the torus reactor vessel). Because of gravity, the liquid lithium does not stay in place, but rather runs down the side walls of the vessel from the channels, and is collected by other channels and drains that lie farther down in the vessel that remove the lithium. This particular method is able to coat the side walls of the vessel from the equator of the reactor to most of the way down to its bottom because gravity causes the lithium to flow down from the channels to the bottom of the reactor. But, this method clearly is not able to coat the side walls that are above the equator of the vessel. In the lowest parts of reactors, liquid lithium has also been used in pools and on coarse horizontal screens, neither of which method can be effectively applied to the upper walls. The disclosed system allows for liquid lithium to be maintained on the surface of all the inner walls of the reactor vessel. An additional advantage of the use of lithium on the reactor walls is that it is a low atomic number (low-Z) material. If high atomic number (high-Z) materials, such as iron from steel in reactor walls, enter into the plasma, their atoms can become electronically excited by absorbing kinetic energy from ions in the plasma. Typically, the excited high-Z materials lose their extra energy by radiating it as electromagnetic energy (photons). The plasma is transparent to most wavelengths of electromagnetic energy; thus, most photons emitted by excited high-Z materials escape from the plasma and are absorbed by the reactor walls. The net effect is an overall energy loss from the plasma and is called radiative cooling. The plasma gets colder and the reactor walls get hotter. That is the opposite of what is needed to maintain the fusion power reactions. Low-Z materials, such as lithium, have so few electrons that they have very few ways in which they can radiate energy, therefore, low-Z materials cause relatively little radiative cooling of the plasma. A further advantage to the use of lithium on the insides of fusion reactor walls is that one of the two elements of reactor fuel, tritium, is very rare naturally, but can be made efficiently by exposing lithium to the flux of high energy neutrons produced by the fusion reactor. Thus, if lithium can be placed in regions of the reactor close to the plasma where the neutron flux is most intense, the production of tritium from the lithium can be efficient. Because of liquid lithium's tendency to hold on to atoms of other materials in it, cycling the lithium through the reactor provides an effective way to introduce pure lithium into the reactor, produce tritium in the lithium, and remove the tritium from the reactor by pumping the tritiated lithium back out of the reactor and passing it through a chemical processing system that extracts the tritium from the lithium, thus providing tritium to fuel the reactor and clean lithium ready to be cycled once more through the reactor. The system of the present disclosure utilizes a porous, open-cell material that is capable of retaining liquid lithium in place on reactor vessel walls against gravity and electromagnetic forces. In addition, this material allows for the liquid lithium to be slowly pumped throughout the system in order to absorb contaminants from the plasma. During operation of the disclosed system, clean lithium is first pumped into the system to the inner surfaces of the reactor walls, where the lithium is exposed to the plasma. In that location, the clean lithium absorbs contaminants from the plasma. The contaminated lithium is then removed from the reactor, and is processed to remove the plasma contaminants from the lithium. After the contaminants are removed from the lithium, the cleaned lithium is re-circulated back into the system. Liquid lithium surfaces exposed to the plasma inside experimental tokamaks and other types of fusion energy experimental devices have been shown to help stabilize the plasma and to help the plasma maintain its high internal temperature. However, it should be noted that these reactor vessels typically are constructed to have very complex shapes as well as having many discontinuities and openings for various items, such as for instruments, vacuum pumping ports, and magnetic coils. Currently, no effective methods have been proposed for retaining liquid lithium on the inside of the reactor vessel walls that accommodates all the discontinuities and openings, and which retains the lithium against the effects of gravity and electromagnetic forces. The present disclosure teaches a method which can accommodate discontinuities, and which keeps slowly flowing liquid lithium in place on reactor walls regardless of the orientation of the reactor wall surface, and the effects of gravity and electromagnetic forces. To date, experiments with liquid lithium adjoining fusion plasmas have been more focused on the effect of lithium on the plasma than on how to build a liquid lithium wall. Therefore, four types of ad hoc approaches have been used to facilitate liquid lithium-hydrogen plasma interaction experiments. These four approaches are: (1) pools of liquid lithium placed in trays at the bottom of the toroidal reactor vessel, (2) metal screens wetted with liquid lithium that are placed horizontally at the bottom of the vessel, (3) a band placed about the mid-plane of the reactor vessel has liquid lithium flowing down its inner surface from the top of the band to the bottom of the band, and (4) confining the plasma in spherical and cylindrical reactor vessels that are physically rotated so as to cause the liquid lithium to continually recoat the inner surface walls of the vessel from a pool at the bottom of the vessel. The first two listed approaches have limitations of only producing lithium surfaces for a small area in the bottom of the reactor. The third approach only coats a band about the middle of the reactor, and requires high flow rates to keep the surface of the band coated. High flow rates increases the pumping power required to operate the reactor, which subtracts from any energy the reactor might produce. The fourth approach is not being easily being employed by a toroidal vessel, which has the most effectively shaped magnetic fields for containing plasmas. Continually rotating the walls of a toroidal vessel is impossible because of the rigid materials used for the construction of these vessels. In addition, the fourth approach requires portions of the inside of the reactor vessel to constantly move, which interferes with the placement and the use of other devices that must be present within the vessel wall, such as vacuum pumping ports, sensors, and magnetic coils. The present disclosure employs tiles manufactured from high-temperature, open-cell sponge-like material (e.g., the Boeing Rigid Insulation (BRI) material) to retain liquid lithium in place against gravity and electromagnetic forces, and to allow for the liquid lithium to be slowly pumped throughout the system in order to remove contaminants from the plasma. There are multiple advantages to this approach. A first advantage is that the tiles can be manufactured to be small in size so that the inside of the toroidal vessel can be tiled with a mosaic of liquid lithium filled tiles despite the complex shape of the inside of the reactor vessel. A second advantage is that the material of the tiles (e.g., a porous ceramic material with open cells) is resistant to the high temperatures to which the tiles will be exposed to when the plasma is present inside of the reactor vessel. A third advantage is that the material of the tiles (e.g., porous a ceramic material with open cells) is resistant to the corrosive effects of lithium. A fourth advantage is that the construction of the tiles can be tailored to produce pore sizes and/or open channels that are optimal to the retention and flow of liquid lithium. In addition, a fifth advantage is that, if plasma disruptions cause the plasma to impact the tiles so intensely that the outer surface of lithium boils away, the high permeability of the tiles will allow more lithium to wick to the surface of the tile. A sixth advantage is that, in the event that some of the tile itself is removed by a plasma impact, the depth of the tile will allow for the tile to continue to function and, thus, several plasma impacts on a tile can be tolerated before the tile would need to be replaced. A seventh advantage is that, in the event that part of a tile is ablated by the plasma, the materials that the tile is manufactured from are mostly of low nuclear weight elements, which will have a less adverse effect on the plasma than materials of high weight metals. An eighth advantage is that, in the event that a portion of a tile is ablated, the portion of the tile that is ablated will simply be an empty space filled with liquid lithium. As such, it is evident that the use by the disclosed system of tiles, which are manufactured from a high-temperature, porous material, to retain liquid lithium on the reactor vessel walls has many beneficial advantages. In the following description, numerous details are set forth in order to provide a more thorough description of the system. It will be apparent, however, to one skilled in the art, that the disclosed system may be practiced without these specific details. In the other instances, well known features have not been described in detail so as not to unnecessarily obscure the system. FIG. 1 is an illustration of the interior of a fusion power reactor 100, in accordance with at least one embodiment of the present disclosure. In this figure, it can be seen that the fusion power reactor 100 is of a torus shape. It should be noted that the system of the present disclosure can be used with various different types and shapes of fusion power reactors. The first wall of the fusion power reactor 100 is lined with small tiles 110 that are each manufactured from a high temperature-tolerant, porous material. These small tiles 110 allow for liquid lithium to coat the surface of the walls of the reactor vessel 100. The liquid lithium helps to stabilize the plasma in the reactor vessel 100, and helps the plasma maintain its high internal temperature. FIG. 2 shows a top view of a single tile 200 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber, in accordance with at least one embodiment of the present disclosure. The tile 200, which is manufactured from a high-temperature-resistant, porous material with open cells, is installed onto the reactor vessel wall 240. In this figure, the tile 200 is shown to include an input plenum 260 and an output plenum 280. Both the input plenum 260 and the output plenum 280 are a single hollow piece of non-porous material (e.g., a metal). During operation of the system, clean liquid lithium is inputted into the tile 200 through the input plenum 260. The liquid lithium is flowed into the input plenum 260 of the tile 200 via pressure being applied at the input plenum 260 and/or a vacuum being present at the output plenum 280. Various types of pumps may be employed by the system for applying pressure at the input plenum 260 of the tile 200 including, but not limited to, a propeller pump, a centrifugal pump, and a piston pump. The clean liquid lithium circulates within the interior network of open cells or channels throughout the body 250 of the tile 200. The clean liquid lithium seeps through the open cells of tile 200 to reach the porous external surface 220 of the tile 200 that faces the interior cavity of the reactor vessel, which contains the hot, tenuous plasma 230. The direction of the flow of the liquid lithium within the body 250 of the tile 200 is denoted by arrow 270. The clean liquid lithium that lies on the porous external surface 220 of the tile 200 absorbs contaminants from the plasma 230. This newly contaminated liquid lithium is then removed from the tile 200 via the output plenum 280. After the contaminated liquid lithium is removed from the tile 200, the liquid lithium is processed to remove the contaminants from the liquid lithium. The resulting cleaned liquid lithium is then re-circulated back into the system. It should be noted that in alternative embodiments, the tile 200 may not specifically include an input plenum 260 and/or an output plenum 280 as is depicted in FIG. 2, but rather may have at least one open cell or channel in its interior for the liquid lithium to be inputted into the tile 200 and/or to be outputted from the tile 200. FIG. 3 illustrates a top view of a configuration 300 of four of the tiles 310 of FIG. 2 that are installed next to one another, in accordance with at least one embodiment of the present disclosure. In this figure, it is shown that the tiles 310 are able to be installed adjacent to one another along the curved surface of the reactor vessel wall 330. When the tiles 310 are installed in this configuration, the porous external surface 340 of the tiles 310 that faces the interior cavity of the reactor vessel containing the plasma 320 is shown to form a curved surface area. FIG. 4 depicts a cross-sectional side view of a tile 410 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber that has a uniform porosity, in accordance with at least one embodiment of the present disclosure. In this figure, the tile 410 is shown to have an input plenum 430 and an output plenum 440. The tile 410 is also depicted to be manufactured to have a uniform porosity 420. In addition, the direction of the flow of the liquid lithium within the body of the tile 410 is denoted by arrow 450 in this figure. FIG. 5 illustrates a cross-sectional top view of the tile 410 of FIG. 4, in accordance with at least one embodiment of the present disclosure. This figure simply shows another cross-sectional view of the tile 410, which has a uniform porosity 420. In addition, it should be noted that, in some embodiments, the side areas 510, 520 of the tile 410 are manufactured from the same non-porous material that is used to manufacture the input plenum 430 and the output plenum 440. FIG. 6 shows a cross-sectional top view of a tile 610 for maintaining liquid lithium on the surface area of the internal walls of a reactor chamber that has a non-uniform porosity, in accordance with at least one embodiment of the present disclosure. In this figure, the tile 610 is shown to have an input plenum 630 and an output plenum 640. The tile 610 is illustrated to be manufactured to have a non-uniform porosity 620. In this figure, the porosity of the body of the tile 610 is shown to gradually lessen from the external surface 660 of the tile 610 that faces the plasma 670 to the input and output plenums 630, 640. Also in this figure, arrow 650 illustrates the direction of the flow of the liquid lithium within the body of the tile 610. Although certain illustrative embodiments and methods have been disclosed herein, it can be apparent from the foregoing disclosure to those skilled in the art that variations and modifications of such embodiments and methods can be made without departing from the true spirit and scope of the art disclosed. Many other examples of the art disclosed exist, each differing from others in matters of detail only. Accordingly, it is intended that the art disclosed shall be limited only to the extent required by the appended claims and the rules and principles of applicable law.
044302584
abstract
Method of producing liquid equivalent solid gamma ray calibration standards comprising the steps of adding a first organic solvent to a calibrated aqueous solution of radioactive material, either in the form of a single isotope or mixed isotopes, to form a first solution; mixing the first solution with a polymerizible resin dissolved in a second organic solvent together with a hardening catalyst to form a second solution; and curing the second solution. With isotope standards requiring highly acidic conditions, a stabilizing agent is added to the first solvent to complex with metal and hydrogen ions so as to prevent plate-out.
039731316
abstract
Disclosed is a system for nuclear well logging in which neutron pulse time duration for a high energy neutron generator and the frequency of pulses are selected downhole in the tool by use of a coded signal transmitted by the cable from the earth's surface. Separate channel systems are provided in the downhole tool for desired neutron pulse width and repetition frequency and are operated by a decoder system. Additionally, the accelerator voltage on a high energy neutron generator is controlled by a downhole switching system to selectively obtain different neutron output in response to coded signal transmissions. Moreover, provisions for obtaining different timing pulses to control gates for sampling signals generated in the tool are provided.
claims
1. An electromagnetic pump compensation power supply apparatus that is electrically connected to an AC power line on a downstream side of a power supply line breaker of a power supply unit of an electromagnetic pump, improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump, the electromagnetic pump compensation power supply apparatus comprising:a rotor shaft that rotates around an axis;a flywheel that stores rotation energy and is fixed to the rotor shaft;an exciter rotor winding that is fixed to the rotor shaft;a rotating rectifier that is fixed to the rotor shaft, and converts alternate current generated on the exciter rotor winding into direct current;a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier;a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy;an exciter stator permanent magnet apparatus that includesan exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state, andan elastic body configured to impart a restoring force to move the exciter stator permanent magnet in a predetermined direction; anda synchronous machine side power supply line that connects the winding type synchronous machine stator winding and the AC power line on a downstream side of the power supply line breaker; anda DC power supply unit that uses, as power source, the same AC power line that is a power source for the electromagnetic pump power supply unit, and is configured to convert alternate current into direct current and configured to supply direct current to a drive mechanism and cut off a supply of the direct current, whereinthe drive mechanism is configured to generate an opposing force against the restoring force of the elastic body and to lose the opposing force as the supply of the direct current is cut off. 2. The electromagnetic pump compensation power supply apparatus according to claim 1, whereinthe elastic body is fixed in a stationary manner, and forces the exciter stator permanent magnet, which is a to-be-driven object, toward a facing position; andthe drive mechanism is fixed in a stationary manner, and generates the opposing force against the restoring force of the elastic body in such a way as to move the exciter stator permanent magnet to a non-facing position. 3. The electromagnetic pump compensation power supply apparatus according claim 2, whereinthe drive mechanism includes:an electromagnetic solenoid having an electromagnetic solenoid support plate which is fixed in a stationary manner, and electromagnetic solenoid coil which is fixed to the electromagnetic solenoid support plate and through which direct current flows as power is supplied from the DC power supply unit;a moving portion which is connected to the elastic body, and can move in a rotation axis direction of the rotor shaft depending on a conduction state and non-conduction state of the electromagnetic solenoid coils; anda connecting portion that connects the moving portions and the to-be-driven object to allow each of the to-be-driven object and the moving portions of the electromagnetic solenoids to operate integrally. 4. The electromagnetic pump compensation power supply apparatus according to claim 3, wherein:the drive mechanism further includes:a fulcrum stage that is fixed in a stationary manner, anda lever rod that is so supported as to be able to rotate around a fulcrum fixed to the fulcrum stage, with a length of a portion thereof between the fulcrum and the moving portion of the electromagnetic solenoid sufficiently longer than a length of a portion thereof between the fulcrum and other end; andthe moving portion can move with a greater force in a direction of rotation axis of the rotor shaft because of operating integrally through the lever rod depending on a conduction state and non-conduction state of the electromagnetic solenoid coil. 5. The electromagnetic pump compensation power supply apparatus according to claim 3, whereinthe DC power supply unit includes:a DC supply line rectifier that converts AC power from the AC power line into DC power; anda DC supply line breaker that is electrically connected in series to the DC supply line rectifier, and is placed on a side of the electromagnetic solenoid coil, and cuts off supply of DC power to the electromagnetic solenoid coil. 6. The electromagnetic pump compensation power supply apparatus according to claim 3, whereinthe DC power supply unit includes:a DC supply line rectifier that is disposed on a path that branches from the synchronous machine side power supply line and extends to the electromagnetic solenoid coil, and converts AC power from the synchronous machine side power supply line into DC power; anda breaker that is connected to an output side of the DC supply line rectifier, and cuts off supply of DC power to the electromagnetic solenoid coil. 7. The electromagnetic pump compensation power supply apparatus according to claim 1, whereinthe DC power supply unit includes:a step-down transformer that lowers voltage of AC power from the AC power line;a DC power supply apparatus that converts AC power whose voltage is lowered by the step-down transformer into DC power;a DC supply line breaker that cuts off direct current from the DC power supply apparatus;a current transformer that is placed on a power supply line of the power supply unit of the electromagnetic pump, and detects current of the power supply unit;a potential transformer that is placed on a power supply line of the power supply unit of the electromagnetic pump, and detects voltage of the power supply unit; anda power factor control unit that receives a current signal as input from the current transformer and a voltage signal from the potential transformer during normal operation, and outputs a control signal to the DC power supply apparatus. 8. An electromagnetic pump compensation power supply apparatus that is electrically connected to an AC power line on a downstream side of a power supply line breaker of a power supply unit of an electromagnetic pump, improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump, the electromagnetic pump compensation power supply apparatus comprising:a rotor shaft that rotates around an axis;a flywheel that stores rotation energy and is fixed to the rotor shaft;an exciter rotor winding that is fixed to the rotor shaft;a rotating rectifier that is fixed to the rotor shaft, and converts alternate current generated on the exciter rotor winding into direct current;a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier;a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy;an exciter stator permanent magnet apparatus that includesan exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state,a magnetic shield unit that can move between two positions, which are an intervening position between the exciter rotor winding and the exciter stator permanent magnet and a non-intervening position that is away from the intervening position, andan elastic body configured to impart a restoring force to move the magnetic shield unit in a predetermined direction; anda synchronous machine side power supply line that connects the winding type synchronous machine stator winding and the AC power line on a downstream side of the power supply line breaker; anda DC power supply unit that uses, as power source, the same AC power line that is a power source for the electromagnetic pump power supply unit, and is configured to convert alternate current into direct current and configured to supply direct current to a drive mechanism and cut off a supply of the direct current, whereinthe drive mechanism is configured to generate an opposing force against the restoring force of the elastic body and to lose the opposing force as the supply of the direct current is cut off,the exciter stator permanent magnet is fixed in a stationary manner so as to face the exciter rotor winding,the elastic body is fixed in a stationary manner, and forces the magnetic shield unit, which is a to-be-driven object, toward the middle position, andthe drive mechanism is fixed in a stationary manner, and generates the opposing force against the restoring force of the elastic body in such a way as to move the magnetic shield unit to the non-intervening position. 9. An electromagnetic pump system comprising:an electromagnetic pump;a power supply unit of the electromagnetic pump, the power supply unit including: an inverter apparatus which converts power from an AC system bus into AC power having predetermined frequency and voltage to supply to the electromagnetic pump, and a power supply line breaker which is connected to an output side of the inverter apparatus and cuts off AC power;an electromagnetic pump compensation power supply apparatus that is electrically connected to the electromagnetic pump on an electromagnetic pump's side of the power supply line breaker, and improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump;whereinthe electromagnetic pump compensation power supply apparatus includes:a rotor shaft that rotates around an axis,a flywheel that stores rotation energy and is fixed to the rotor shaft,an exciter rotor winding that is fixed to the rotor shaft,a rotating rectifier that is fixed to the rotor shaft, and converts alternate current generated on the exciter rotor winding into direct current,a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier,a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy,an exciter stator permanent magnet apparatus that includesan exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state, andan elastic body configured to impart a restoring force to move the exciter stator permanent magnet in a predetermined direction, anda synchronous machine side power supply line that connects the winding type synchronous machine stator winding and an AC power line on a downstream side of the power supply line breaker, anda DC power supply unit that uses, as power source, the same AC system bus that is a power source for the electromagnetic pump power supply unit, and is configured to convert alternate current into direct current and configured to supply direct current to a drive mechanism and cut off a supply of the direct current,the drive mechanism is configured to generate an opposing force against the restoring force of the elastic body and to lose the opposing force as the supply of the direct current is cut off. 10. The electromagnetic pump system according to claim 9, whereinthe elastic body is fixed in a stationary manner, and forces the exciter stator permanent magnet, which is a to-be-driven object, toward a facing position; andthe drive mechanism is fixed in a stationary manner, and generates the opposing force against the restoring force of the elastic body in such a way as to move the exciter stator permanent magnet to a non-facing position. 11. An electromagnetic pump system comprising:an electromagnetic pump;a power supply unit of the electromagnetic pump, the power supply unit including: an inverter apparatus which converts power from an AC system bus into AC power having predetermined frequency and voltage to supply to the electromagnetic pump, and a power supply line breaker which is connected to an output side of the inverter apparatus and cuts off AC power;an electromagnetic pump compensation power supply apparatus that is electrically connected to the electromagnetic pump on an electromagnetic pump's side of the power supply line breaker, and improves a power factor during normal operation, and supplies power to the electromagnetic pump at a time of loss of AC power supply to the electromagnetic pump, whereinthe electromagnetic pump compensation power supply apparatus includes:a rotor shaft that rotates around an axis,a flywheel that stores rotation energy and is fixed to the rotor shaft,an exciter rotor winding that is fixed to the rotor shaft,a rotating rectifier that is fixed to the rotor shaft, and converts alternate current generated on the exciter rotor winding into direct current,a winding type synchronous machine rotor winding that is fixed to the rotor shaft, and receives direct current supplied from the rotating rectifier,a winding type synchronous machine stator winding that is fixed in a stationary manner so as to face the winding type synchronous machine rotor winding, constitutes a winding type synchronous machine along with the winding type synchronous machine rotor winding, and converts rotational energy into electrical energy and electrical energy into rotational energy,an exciter stator permanent magnet apparatus that includesan exciter stator permanent magnet, which constitutes an exciter along with the exciter rotor winding, and can switch between two states, which are non-excited state and excited state,a magnetic shield unit that can move between two positions, which are an radial-direction middle position between the exciter rotor winding and the exciter stator permanent magnet and a non-middle position that is away from the middle position, andan elastic body configured to impart a restoring force to move the magnetic shield unit in a predetermined direction, anda synchronous machine side power supply line that connects the winding type synchronous machine stator winding and an AC power line on a downstream side of the power supply line breaker, anda DC power supply unit that uses, as power source, the same AC system bus that is a power source for the electromagnetic pump power supply unit, and is configured to convert alternate current into direct current and configured to supply direct current to a drive mechanism and cut off a supply of the direct current,the drive mechanism is configured to generate an opposing force against the restoring force of the elastic body and to lose the opposing force as the supply of the direct current is cut off,the exciter stator permanent magnet is fixed in a stationary manner so as to face the exciter rotor winding,the elastic body is fixed in a stationary manner, and forces the magnetic shield unit, which is a to-be-driven object, toward the middle position, andthe drive mechanism is fixed in a stationary manner, and generates the opposing force against the restoring force restoring force of the elastic body in such a way as to move the magnetic shield unit to the non-middle position.
description
Reference is now made to FIGS. 1-5 which illustrate a collimator system 10 constructed and operative in accordance with a preferred embodiment of tie present invention. Collimator system 10 preferably includes a plurality of revolvable plates 12A-12H stacked one above another. It is appreciated that this is just one example of the collimator system and that any other number of plates can be employed to carry out the present invention. Plates 12A-12H are preferably formed of a material substantially impervious to passage therethrough of radiation in a predetermined range of wavelengths, such as tungsten which is generally impervious to radiation used in most types of radiotherapy. As seen best in FIG. 2, one or more collimator apertures are preferably formed in each of the plates. (For the sake of simplicity, FIG. 2 is an exploded illustration of plates 12A, 12B and 12C only.) For example, plate 12A is formed with collimator apertures 14A-14G, plate 12B is formed with collimator apertures 16A-16G, and plate 12C is formed with collimator apertures 18A-18H. The plates 12A-12H are arranged relative to each other such that the collimator apertures formed in neighboring plates are alignable with each other to form a collimation path adapted for a radiation beam to pass therethrough. Preferably plates 12A-12H are arranged to revolve about a common axis 20. Alternatively the plates can revolve about different axes. It is noted that there is not necessarily the same amount of collimator apertures on each plate. In the example illustrated in FIG. 2, plates 12A and 12B have 7 collimator apertures each while plate 12C has 8 collimator apertures. It is appreciated that any number of collimator apertures may be formed in the plates. It is also noted that the collimator apertures of one plate may be differently sized than the collimator apertures on another of the plates. For example, collimator aperture 14G of plate 12A is smaller in diameter than collimator aperture 16A of plate 12B. Moreover, the same plate may have differently sized collimator apertures. For example, collimator aperture 18H of plate 12C is greater in diameter than collimator aperture 18A. Alternatively, one of the plates can have generally equally sized collimator apertures, such as apertures 14A-14G of plate 12A. The collimator apertures of the plates may span any diameter range, such as, but not necessarily, 5-35 mm. As seen in FIG. 2, the plates may have different thicknesses. For example, plate 12A is thicker than plates 12B and 12C. The illustration in FIG. 2 is an exploded view of the plates. As seen in FIGS. 3 and 5, in reality the plates are closely spaced to each other. Preferably a gap between neighboring plates is sufficiently small such that a radiation beam of a desired wavelength is substantially prevented from passing through the gap. In accordance with a preferred embodiment of the present invention, a controller 22 is connected to plates 12A-12H which selectively revolves the plates, as is now described with particular reference to FIGS. 3-5. It is noted that the following description is only one example of mounting and revolving the plates, and it is appreciated by persons skilled in the art that other arrangements are possible within the scope of the invention. Plates 12A, 12C, 12E and 12G are preferably securely mounted to a central axle 24 such as by means of keys 26 (FIG. 5). Plates 12B, 12D, 12F and 12H are preferably mounted on bearings 28 about the common axle 24 and are each fastened to a drum 30, such as by means of pins 32. Drum 30 is mounted to a support base 34 by means of another bearing 36. In this manner, plates 12B, 12D, 12F and 12H can rotate together with drum 30 about a longitudinal axis 38 of axle 24. Support base 34 remains stationary and can be fastened to a gantry arm 40 (FIG. 3) of a radiotherapy system. Axle 24 is preferably mounted to base 34 by means of yet another bearing 42. In this manner, plates 12A, 12C, 12E and 12G can rotate together with axle 24 about longitudinal axis 38. Plates 12A, 12C, 12E and 12G thus rotate about axis 33 independently of plates 12B, 12D, 12F and 12H. Controller 22 preferably includes a servomotor 44 linked by means of wearing, timing belt or any other suitable linkage, to plate 12A and another servomotor 46 linked similarly to drum 30 or one of plates 12B, 12D, 12F and 12H. Servomotor 44 controls rotation of plates 12A, 12C, 12E and 12G, and servomotor 46 controls rotation of plates 12B, 12D, 12F and 12H. (In FIG. 5 the servomotors are not shown for the sake of clarity.) The plates can be rotated so as to align any selected plurality of collimator apertures with a source of radiation 48 (FIG. 3). A radiation beam 50 (FIG. 3) thus passes through and is collimated by the aligned collimator apertures. Reference is now additionally made to FIG. 6 which illustrates a stop mechanism 52 which selectively arrests rotational movement of the plates. A ring 54 is preferably attached to plate 12A by means of fasteners 56. Ring 54 has a plurality of pegs 58 radially protruding therefrom. A pawl 60 is pivotally mounted to a bracket 62 and is actuated by a motor or actuator 64. Pawl 60 selectively catches one of the pegs 58 and thereby arrests rotational movement of ring 54, as well as plates 12A, 12C, 12E and 12G which are mechanically linked with ring 54. In a similar fashion, pegs 58 may be mounted on one of plates 12B, 12D, 12F and 12K, such as plate 12D, and another pawl may be used to arrest rotational movement thereof. (In FIG. 5 the stop mechanisms are not shown for the sake of clarity.) It is appreciated that although the best mode of carrying out the present invention employs revolvable plates, nevertheless the plates can alternatively be movable linearly with respect to each other. It will be appreciated by persons skilled in the art that the present invention is not limited by what has been particularly shown and described hereinabove. Rather the scope of the present invention includes both combinations and subcombinations of the features described hereinabove as well as modifications and variations thereof which would occur to a person of skill in the art upon reading the foregoing description and which are not in the prior art.
047117591
abstract
Removable cruciforms are selectively installed at predetermined, spaced elevations within ice baskets associated with a nuclear power system, each ice basket having a generally cylindrical sidewall with plural, annular retaining rings secured therein at predetermined, spaced elevations, each cruciform being installed at predetermined, axially displaced elevations, engaging a corresponding annular retaining ring. Each cruciform comprises a pair of brackets joined together between parallel base portions by respective support plate assemblies and slide plates in telescoping, sliding relationshp, defining with the base portions, a spring housing of generally square configuration within which a generally C-shaped spring is received. A pair of legs extends integrally from the longitudinal edges of the base portion of each bracket, angularly disposed so as to extend radially within the ice basket. A cruciform is compressible to permit axial translation of the cruciform, while horizontally oriented, through the ice basket. When in position at a desired elevation for installation, the cruciform is released from compression to permit the C-shaped spring to expand and engage the legs of the brackets with the associated retaining ring.
040627250
description
DESCRIPTION OF THE PREFERRED EMBODIMENT The part length rod design described herein is illustrated in the FIGURE. The nuclear reactor 10 generally includes nuclear reactor core 12 with length L, pressure vessel 14, control rod drive mechanisms 16 attached to nozzles 18 which penetrate the reactor pressure vessel 14 and part length rods 22 and 22 ' of length L connected to the control rod drive mechainisms 16 by means of connecting elements 20. The part length control rods 22, 22 ' of the invention have two neutron absorbing regions 24 and 26. Normal, full length control rods (an example of which shown at 23) constitute a first group of rods insertable into the core for normal control of reactor power, and the part length rods 22, 22 ' constitute a second group of control rods for control of power oscillations. Part length control rod 22 is shown in its normal control position approximately centrally positioned in the reactor core. Part length control rod 22 ' is shown in its scrammed or fully inserted position in which both poison sections 24 and 26 are positioned within the reactor core 12 at opposite ends of the core 12. Each part length control rod 22, 22 ' is translatable from a full out position to a full in position. As can be seen in the FIGURE, poison portions 24 and 26 are connected by an intermediate connecting portion 28. This intermediate connecting portion 28 acts as a poison section "follower". In the preferred embodiment the "follower" section 28 is a water filled Inconel tube designed to achieve the minimum reactivity control worth obtainable. Hence, by use of a water filled follower, the maximum effective control worth of the lower control portion 26 is obtained. On either part length control rod 22, 22 ' the first portion 24 appears at a first end of the part length rod 22, 22 ' and consists of a first neutron absorbing material. A second portion 26 appears at the second end of the part length rod 22, 22 ' and consists of a second neutron absorbing material. The second neutron absorbing material 26 preferably has a smaller macroscopic absorption cross-section than the first neutron absorbing material 24. For the purposes of this disclosure the terminology "macroscopic absorption cross-section" is defined to be the product of the number density of the particular element in question and the microscopic neutron absorption cross-section of the element in question. Accordingly, it is a desirable feature of the invention to provide the second portion 26 of the part length control rod 22, 22 ' with a macroscopic absorption cross-section that is smaller than the macroscopic absorption cross-section of the first portion 24 by providing a material with a high number density but with a low microscopic neutron absorption cross-section. This combination is desirable since it resists depletion of the neutron poison more readily than would a neutron poison resulting from the combination of a smaller number density but a larger microscopic neutron absorption cross-section. A well-known material in the science of nuclear reactor design which meets these requirements is the alloy Inconel 600. Inconel 600 is defined by the Standard Handbook For Mechanical Engineers by Baumeister and Marks, 7th Edition as having the following composition: (76Ni 0.04C - 0.2Mn - 07.20Fe - 0.2Si - 0.1Cu - 15.8Cr). An alternative and equally as acceptable material is Inconel 625 (61Ni - 21Cr - 9Mo). In the preferred embodiment of this disclosure the second neutron absorbing material located at the second end of the part length rod is preferably between 25 and 55 percent of the length of the active region of the nuclear core. Such a part length rod made from Inconel 600 has a longer and a weaker neutron absorbing section than has previously been known in the prior art. This longer and weaker neutron absorbing section has many advantages. One positive advantage is that the longer weaker neutron absorbing section reduces the possibility of incurring nuclear fuel failure. Although the mechanism for nuclear fuel pin clad failure through fuel interaction has not been completely established, it is generally agreed that the magnitude and rate of change of local power density in a fuel pellet are important components of the failure mechanism. Since fuel pellets in the vicinity of control rods experience severe changes in local power density as the tip of the poison section moves past them, those pins are prime candiates for interaction induced clad failure. In modern larger nuclear cores the instabilitites with respect to axial xenon oscillations are expected very early in the fuel life cycle. Although this presents no operational difficulties, it does require the presence, and continued motion of, the part length rods which have been provided to control axial power distribution. This control is accomplished by positioning the longer weaker portion of the part length control rod substantially in the center of the reactor core. When a neutron flux imbalane arises at either end of the core, the part length control rod is moved in the direction of higher neutron flux to reduce the neutron flux imbalance. Interaction as a result of part length rod motion is of concern under two separate conditions of operation. The first of these is motion of the part length rod out of a region in which they were formerly inserted, such as would occur during load follow maneuvering control or removal of the part length rod from the core. The second is the smaller motion of the part length rod required to control neutron flux imbalance or axial xenon oscillations. One benefit expected to be derived from the part length rod of the present invention is that the increase in the local power density as the part length rod is removed from the center of the core, is significantly less for the part length rod of the present invention as compared to the prior art part length rods. Removal of a previous prior art boron carbide part length rod bank is characterized by an increase in power of over 200 percent relative to the original power at the center of the rod. Removal of the part length rods of the invention results in a relative increase of in local power of only about 50 percent. For the smaller part length rod motion necessary to control axial xenon oscillation, the relative power increase at the rod tip is larger for the stronger prior art rods (150 percent for the B.sub.4 C rods versus 40 percent for the control rods of the present invention for a 5 percent motion of the part length rod). As a result of the significant differences between the reactivity worth of the prior art part length rods and the present part length rod, an accidental drop of the new part length rod 22, 22' becomes an acceptable event as opposed to the accidental drop of a prior art part length rod which was an unacceptably event. As a result of these differences, the prior art part length rods had to be suspended from and controlled by control rod drive mechanisms which were of the non-scrammable type. This required each reactor to be outfitted with two different types of control rod drive mechanisms, one scrammable type for the regular control rods and one non-scrammable type for the part length control rods. As mentioned previously, the new part length control rods permit the use of a single type of control rod drive mechanism which is scrammable. In addition to the reduced cost necessary for outfitting the reactor with only one type of control rod drive mechanism, another advantage is to be gained from a scrammable part length rod. This second advantage is that the new part length rods are readily interchangable with regular control rods so that the positions of the part length control rods may readily be varied according to the requirements established by the management of the fuel cycle. This avoids the extreme difficulty of performing the difficult task of transposition of the drive mechanisms. A further advantage that may be derived from the use of the new part length rod is that, due to the lower effective worth of the new part length rod the effect on power peaking from either removing the part length rod from a core that has been depleted with the part length rods in place of inserting them into a core which has not had part length rods is reduced. This reduces the impact on thermal margin so that smaller thermal margins need be maintained for the purpose of accommodating these two types of part length rod movement. The upper portion 24 of the part length rod preferably consists of pellets of a strong poison, such as boron carbide (B.sub.4 C), contained within a clad or tube of Inconel. In addition the upper portion 24 preferably has a length up to 20 percent of the length of the active region of the core. By limiting the upper portion 24 to 20 percent of the active length of the core, the ability is retained to insert the part length rod 22, 22' up to 80 percent of its length for xenon power oscillation control without adversely effecting the power of the upper end of the core 12 by the insertion of the high worth poison 24. The provision of the upper portion 24 results in a part length control rod which may be scrammed upon the requirement for a rapid shutdown of the reactor. The net effect of scramming such a part length control rod is a contribution is shutdown reactivity rather than an effect which causes a decrease in net shutdown reactivity such as may have occurred upon the dropping of a prior art part length control rod. Accordingly, the available shutdown margin for the entire reactor is increased by the utilization of the part length rods of the present invention.
description
This application is a national stage filing under 35 U.S.C. 371 of PCT/US2014/027162, filed Mar. 14, 2014, which claims priority to U.S. Provisional Application No. 61/789,284, filed Mar. 15, 2013, the disclosure of which is incorporated by reference in its entirety herein. Generally, in nuclear power generation, thermal energy is generated by the chain fission of a fissionable material (e.g., thorium, uranium, and plutonium), and power necessary for electric power generation is derived from the thermal energy. The fissionable material is typically prepared in the form of a sintered body and contained in a nuclear fuel rod. Nuclear fuel rods are arranged in a bundle to form a nuclear fuel assembly. In a nuclear reactor, a control rod and a moderator are generally used to control the number and speed of extra neutrons and prevent a chain reaction (reactivity:>1) of fissionable materials. The moderator can include heavy water (D2O), light water (H2O), graphite, and beryllium, for example. Nuclear reactors may be classified into types depending on the nature of the moderator. For example, light-water nuclear reactors (LWR) include pressurized water reactors (PWR) and boiling water reactors (BWR). Other types of nuclear reactors include heavy-water nuclear reactor (HWR), which include a heavy water moderator, and high-temperature gas-cooled reactors (HTGR). Emergency shutdown procedures are critical for safety in nuclear power plants. To stop a nuclear reactor, control rods formed of solid neutron poison materials (e.g., zirconium hydride) are generally inserted into a core. Emergency core cooling systems are also required for nuclear reactors. The emergency core cooling system functions to stop operations of the nuclear reactor and to prevent an increase in temperature of the nuclear reactor. An emergency core cooling system typically includes a supply of an aqueous solution including soluble boron. Typically, the emergency pool typically contains a boric acid solution or, in some cases, a sodium pentaborate solution that is pumped into the reactor to quench the fission reaction. The use of boric acid in emergency shutdown procedures for nuclear reactors poses some problems. The solubility of boric acid in water is typically reported to be about 4.7 grams per 100 grams of solution at 20° C. The low concentration of soluble boron in a typical emergency shutdown system can require large amounts of water to be introduced to the reactor system during quench, and such large amounts of water can rupture safety seals. Furthermore, boric acid deposits can cause corrosion, and as a result, introduction of boric acid during an emergency event will typically result in the reactor core being unrecoverable. The corrosiveness of boric acid can also limit its utility in reactors during normal operation to control neutron flux. An aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions is provided in the method and nuclear reactors according to the present disclosure. The polyhedral boron hydride anions or carborane anions, which in some embodiments comprise at least one of B10H102−, B11H14−, CB11H12−, or B12H122−, have a larger weight percent of boron than boric acid, and at least some of the salts from which they dissociate are more soluble in water than boric acid. As a result, typically, the aqueous solutions comprising at least one of polyhedral boron hydride anions or carborane anions have greater boron availability than boric acid solutions, which can lead to faster quenching of a nuclear reactor core in an emergency situation with less water required. The aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions is also expected to lead to less system corrosion than boric acid solutions. In one aspect, the present disclosure provides a method of cooling a nuclear reactor core. The method includes contacting the nuclear reactor core with an aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions. In another aspect, the present disclosure provides a nuclear reactor. The nuclear reactor includes an aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions. The aqueous solution may be at least one of a neutron moderator in the nuclear reactor or present in an emergency core cooling system. In some embodiments, the nuclear reactor has an emergency core cooling system. The emergency core cooling system includes a vessel containing a volume of the aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions and a conduit leading from the vessel to an applicator in a position to deliver the aqueous solution to the nuclear reactor core. In this application: Terms such as “a”, “an” and “the” are not intended to refer to only a singular entity, but include the general class of which a specific example may be used for illustration. The terms “a”, “an”, and “the” are used interchangeably with the term “at least one”. The phrase “comprises at least one of” followed by a list refers to comprising any one of the items in the list and any combination of two or more items in the list. The phrase “at least one of” followed by a list refers to any one of the items in the list or any combination of two or more items in the list. The term “contacting” includes applying the aqueous solution to the core using any suitable manner known in the art (e.g., pumping, injecting, pouring, releasing, displacing, spotting, or spraying aqueous solution). The term “aqueous” refers to including water. The water may be H2O or D2O. All numerical ranges are inclusive of their endpoints and non-integral values between the endpoints unless otherwise stated. Aqueous solutions useful for practicing the present disclosure include at least one of polyhedral boron hydride anions or carborane anions. Polyhedral boron hydride anions comprise only boron and hydrogen atoms. Carborane anions comprise only carbon, boron, and hydrogen atoms. In some embodiments, the anions are polyhedral boron hydride anions. In some embodiments, the polyhedral boron hydride anions comprise at least one of B10H102−, B11H14−, or B12H122−. In some embodiments, the polyhedral boron hydride anions comprise at least one of B10H102− or B12H122−. In some embodiments, the polyhedral boron hydride anions comprise B10H102−. In some embodiments, the polyhedral boron hydride anions comprise B11H14−. In some embodiments, the polyhedral boron hydride anions comprise B12H122−. The polyhedral boron hydride anions are typically provided in the aqueous solution by dissolution of Group I, Group II, ammonium salts, or alkyl ammonium salts, wherein alkyl is ethyl or methyl. The alkyl ammonium salts may be monoalkyl-, dialkyl-, trialkyl-, or tetraalkylammonium salts. In some embodiments, the polyhedral boron hydride anions are provided in the aqueous solution by dissolution of Group I, ammonium salts, or tetraalkyl ammonium salts, in some embodiments, Group I salts. Examples of suitable salts include Li2B10H10, Na2B10H10, K2B10H10, (NH4)2B10H10, [(C2H5)3NH]2B10H10, LiB11H14, NaB11H14, KB11H14, NH4B11H14, Li2B12H12, Na2B12H12, K2B12H12, and (NH4)2B12H12. In some embodiments, the anions are carborane anions. In some embodiments, the carborane anions comprise CB11H12. The carborane anions are typically provided in the aqueous solution by dissolution of Group I, Group II, ammonium salts, or alkyl ammonium salts, wherein alkyl is ethyl or methyl. The alkyl ammonium salts may be monoalkyl-, dialkyl-, trialkyl-, or tetraalkylammonium salts. In some embodiments, the carborane anions are provided in the aqueous solution by dissolution of Group I, ammonium salts, or tetraalkyl ammonium salts, in some embodiments, Group I salts. Examples of suitable salts include LiCB11H12, NaCB11H12, KCB11H12, NH4CB11H12. The aqueous solutions useful for practicing the present disclosure can include combinations of any of the anions or salts described above in any of their embodiments. Also, the aqueous solutions useful for practicing the present disclosure typically are free of organic polymers. Polyhedral boron hydride salts can be prepared by known methods. For example, methods of preparing MB11H14 salts from metal borohydride or MB3H8 starting materials can be found in U.S. Pat. Nos. 4,115,520; 4,115,521; and 4,153,672 each to Dunks et al. Pyrolysis of tetraalkyl ammonium borohydride salts under a variety of conditions has been reported to provide salts of the B10H102− anion. See, for example, (1) W. E. Hill et al, “Boron Chemistry 4.” Pergamon Press, Oxford 1979, p 33; (2) Mongeot et al Bull. Soc. Chim. Fr. 385, 1986; and (3) U.S. Pat. Nos. 4,150,057 and 4,391,993, both issued to Sayles. The tetraalkylammonium borohydride starting materials (R4NBH4) can be prepared by contacting sodium borohydride with one or more molar equivalents of a tetralkylammonium salt (e.g., tetralkylammonium hydrogensulfate) in an aqueous or alcohol solution. By regulating the temperature (e.g., through the use of precise internal temperature readings, methods for cooling the reaction mixture, and particular ramp and isothermal profiles), pyrolysis of tetraalkylammonium borohydride salts can provide salts of the B10H102− and/or B12H122− anion in good yield as reported in U.S. Pat. No. 7,524,477 (Spielvogel et al.). For example, in some methods of preparing B10H102−, B9H9−, B11H14−, and/or B12H122−, the R4NBH4 is dissolved, suspended, or mixed with a solvent having a boiling point of at least about 100° C. and heated. Examples of useful solvents include C8-C18 alkanes or mixtures of C8-C18 alkanes, including n-dodecane and mixtures of n-decane and n-dodecane. In other methods of preparing B10H102−, B9H9−, B11H14−, and/or B12H122−, a mixture of R4NBH4 and a trialkylamine borane adduct is pyrolyzed. A ratio of the borohydride to the trialkylamine borane is typically between about 1:3 to about 3:1, and this ratio can be 1:1. In these methods, pyrolysis at a temperature of about 185° C. typically provides a mixture of tetraalkylammonium B10H102− and tetraalkylammonium B12H122− salts in a ratio of about 1.4:1. Various cations for the polyhedral boron hydride salts can be provided, for example, by ion exchange methods. Further methods for preparing B12H122− salts are reported, for example, in U.S. Pat. No. 7,718,154 (Ivanov et al.), which describes reaction of a metal hydride with an alkyl borate in the presence of a Lewis base to produce a Lewis base-borane complex that is thermally decomposed to form the B12H122− salt, and U.S. Pat. No. 7,563,934 (Banavali et al.), which describes reaction of a metal borohydride with XBH3, where X is a substituted amine, a substituted phosphine, or tetrahydrofuran. Syntheses of CB11H12 salts are also known. See, e.g., Knoth, W. H., Journal of the American Chemical Society, 1967, vol. 89, page 1274; Jelinek, T. et al., Collection of Czechoslovak Chemical Communications, 1986, vol. 51, page 819; and Franken, A., et al., Collection of Czechoslovak Chemical Communications, 2001, vol. 66, pages 1238-1249. Of the two naturally occurring isotopes of boron (11B and 10B), 10B is a better neutron absorber with a thermal neutron absorption cross section of approximately 3800 barns (3.8×10−24 m2). Accordingly, in some embodiments, the polyhedral boron hydride anions, including in any of the aforementioned salts, are enriched in 10B. A variety of procedures are available for the synthesis of 10B enriched polyhedral boron hydride salts. In general, the syntheses begin with 10B enriched boric acid, which can be converted to borohydride salts. Enriched borohydrides can be used with any of the methods described above, for example, to provide salts enriched in 10B. In some embodiments, at least one of the tetraalkylammonium borohydride salts or the trialkylamine borane adduct included in a pyrolysis mixture described above is enriched in 10B. Isotopically enriched B11H14− salts from isotopically enriched boric acid are described in U.S. Pat. No. 7,641,879 (Spielvogel). At least some of the salts (e.g., Li2B10H10, Na2B10H10, K2B10H10, (NH4)2B10H10, LiB11H14, NaB11H14, KB11H14, NH4B11H14, Li2B12H12, Na2B12H12, K2B12H12, and (NH4)2B12H12) including 10B enriched salts are commercially available from Boron Specialties LLC, Valencia, Pa. In some embodiments, the polyhedral boron hydride anions or carborane anions are provided in the aqueous solution by dissolution of Li2B10H10, LiB11H14, LiCB11H12, or Li2B12H12. In some embodiments, the polyhedral boron hydride salt is Li2B10H10. In some embodiments, the polyhedral boron hydride salt is Li2B12H12. In some embodiments, the polyhedral boron hydride salt is LiB11H14. In some embodiments, the carborane salt is LiCB11H12. Because of the low atomic mass of lithium, such salts may have the highest weight percentage of boron in comparison to other polyhedral boron hydride salts or carborane salts. Furthermore, as discussed in greater detail below, the lithium salts may have some of the highest water solubilities of the polyhedral boron hydride salts. 7Li is the most common lithium isotope accounting for 92.5 percent of the atoms. However, 7Li is neutron transparent, and it may be useful in some embodiments for any one of Li2B10H10, LiB11H14, LiCB11H12, or Li2B12H12 to be enriched in 7Li. The enrichment in 7Li can be carried out by treating (Et4N)2B10H10, Et4NB11H14, (Et4N)2B12H12, or a carborane salt prepared according to the methods described above, with commercially available 7LiOH in water. Polyhedral boron hydride and carborane salts are useful in the methods and nuclear reactors disclosed herein, for example, because of their generally high boron content. While boric acid is only 17.5% by weight boron, typically, polyhedral boron hydride and carborane salts useful for practicing the present disclosure have at least 25 percent by weight boron, based on the total molecular weight of the salt. For example, Cs2B10H10 is 28% by weight boron. In other examples, Li2B10H10, Na2B10H10, and (NH4)2B10H10 are 81.9%, 65.9%, and 70.1% by weight boron, respectively. In further examples, Li2B12H12, Na2B12H12, and (NH4)2B12H12 are 83.3%, 69.1%, and 72.9% by weight boron, respectively. In yet other examples, LiCB11H12, NaCB11H12, and KCB11H12 are 79.3%, 71.6%, and 65.3% by weight boron, respectively. In some embodiments, the polyhedral boron hydride salts or carborane salts have at least 30, 35, 40, 45, 50, 55, 60, or 65 percent by weight boron, based on the total molecular weight of the salt. Polyhedral boron hydride salts are also useful in the methods and nuclear reactors disclosed herein, for example, because of their high solubilities in water. While boric acid is typically reported to have a solubility in water of only about 4.7 grams per 100 grams of solution at 20° C., typically, polyhedral boron hydride salts useful for practicing the present disclosure have solubilities of at least 15 grams per 100 grams of solution at 20° C. or at least three times the water solubility of boric acid. In some embodiments, the polyhedral boron hydride salts useful for practicing the present disclosure have water solubilities of at least 20, 25, 30, 35, 40, 45, or at least 50 grams per 100 grams of solution at 20° C. Certain carborane salts are also expected to have useful water solubilities. CB11H12−, for example, is a very weakly coordinating anion. The water solubilities for many different salts and the method for determining these solubilities are reported in the Examples, below. The method according to the present disclosure can be practiced on any type of nuclear reactor, and similarly, the nuclear reactor according to the present disclosure includes a variety of types. In some embodiments, the nuclear reactor is a light water reactor, a boiling water reactor, a pressurized water reactor, a small modular reactor, or a heavy water reactor. In some embodiments, the nuclear reactor is a light water reactor, which may be a boiling water reactor or a pressurized water reactor. In some embodiments, the nuclear reactor according to the present disclosure and/or cooled according to the method of the present disclosure is a light water reactor. In a light water reactor, the primary coolant is H2O, which flows through the reactor core to extract heat to generate steam or for some other useful purpose. For electrical power generation, the steam is used to drive a generator turbine. In thermal nuclear reactors, the primary coolant water also serves as a neutron moderator that thermalizes neutrons, which enhances reactivity of the fissionable material. Various reactivity control mechanisms, such as mechanically operated control rods and chemical treatment of the primary coolant with a soluble neutron poison are employed to regulate the reactivity and resultant heat generation. In some embodiments, the nuclear reactor according to the present disclosure and/or cooled according to the method of the present disclosure is a boiling water reactor (BWR). A BWR is a type of light water reactor, described above, in which the primary coolant water boils to generate the steam. The primary coolant water is typically maintained in a reactor pressure vessel that also contains the reactor core. In some embodiments of the method and nuclear reactor according to the present disclosure, the aqueous solution disclosed herein is contained in the reactor pressure vessel. In some embodiments, the nuclear reactor according to the present disclosure and/or cooled according to the method of the present disclosure is a pressurized water reactor (PWR). A PWR is a type of light water reactor, described above, with the primary coolant water maintained in a superheated state in a sealed pressure vessel that also contains the reactor core. This hot water, which does not boil, then exchanges heat with a secondary, lower pressure water system, which turns to steam and drives the turbine. In the PWR, both pressure and temperature of the primary coolant water are controlled. In some embodiments of the method and nuclear reactor according to the present disclosure, the aqueous solution disclosed herein is contained in the reactor pressure vessel. In some embodiments, the nuclear reactor according to the present disclosure and/or cooled according to the method of the present disclosure is a heavy water reactor (HWR). A HWR operates like a PWR, described above, but the primary cooling water is D2O instead of H2O. In some embodiments, the nuclear reactor is a small modular reactor. Such reactors typically have an electricity output of less than 500 megawatts (MW). Modular reactors are designed to be manufactured and assembled at a central factory location and then sent to their new location for installation. The small modular reactors may be light water cooled or heavy water cooled and may be boiling water reactors or pressurized water reactors. The method according to the present disclosure can be useful, in some embodiments, as an emergency shutdown procedure for a nuclear reactor. The nuclear reactor to be shut down may be any of those described above. In some embodiments, including embodiments of the method and nuclear reactor according to the present disclosure, an emergency core cooling system is useful for the emergency shutdown procedure. In some emergency core cooling systems, spray nozzles are positioned to spray coolant directly onto the fuel rods, suppressing the generation of steam. The emergency core cooling system can include a vessel containing coolant and a conduit leading from the vessel to an applicator in a position to deliver the coolant to the nuclear reactor core. The applicator may use any method of placing the coolant in contact with the nuclear reactor core (e.g., pumping, injecting, pouring, releasing, displacing, spotting, or spraying). In some embodiments, the applicator is an injector or a sprayer (e.g., shower head or spray nozzle). An aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions in any of the embodiments described above can be useful as the coolant in the emergency core cooling system. The polyhedral boron hydride anions or carborane anions come into contact with the nuclear reactor core and can act as neutron poisons so that the nuclear fission reaction is stopped. Examples of useful emergency shutdown systems are shown in U.S. Pat. Appl. Pub. Nos. 2010/0239062 (Yeon et al.) and 2012/0243651 (Malloy). Most nuclear reactors, including those of the BWR and PWR types, are required to have an available emergency pool with soluble boron in case emergency shutdown becomes necessary. Typically the emergency pool contains either a saturated boric acid or sodium pentaborate solution which is pumped into the reactor to quench the fission reaction. The aqueous solutions disclosed herein that include at least one of polyhedral boron hydride anions or carborane anions provide several advantages over boric acid and sodium pentaborate solutions in emergency shutdown situations. First, in some embodiments, the greater solubility of polyhedral boron hydride and carborane salts in comparison to boric acid and sodium pentaborate can allow for more rapid introduction of the neutron poison leading to faster quenching of the fission reaction. A lower volume of water may be used with the polyhedral boron hydride salts and some polyhedral carborane salts because of the increased solubility, which may preserve the safety seals of the reactor system. In boiling water reactors, introduction of traditional soluble boron during an emergency event will result in the reactor core being unrecoverable due to the corrosion caused by the soluble boron systems in such an event. Boric acid and sodium pentaborate deposits are known to cause severe corrosion in reactor systems through several mechanisms (e.g., galvanic corrosion and corrosion due to decreased pH). It is believed that polyhedral boron hydride and carborane salts disclosed herein will be considerably less corrosive since they are more soluble and pH neutral. The method according to the present disclosure can also be useful, in some embodiments, as a neutron moderator during normal operation of the nuclear reactor. For 235U, for example, slower neutrons are more likely to cause a fission reaction. In order to slow down the neutrons in a reactor core, a moderator is used. The moderator can include light or heavy water as described above and the polyhedral boron hydride anions or carborane anions disclosed herein. The nuclear reactor including the neutron moderator may be any of those described above although in some cases, small modular reactors are operated without a neutron moderator. The presence of the polyhedral boron hydride anions or carborane anions disclosed herein can facilitate power leveling by serving as “chemical shims”. Throughout the lifetime of a fuel load, the energy released from fuel rods will continually decrease, which leads to decreasing energy output from the reactor. This is not desirable. In order to balance the reactivity, a portion of the fuel itself is covered with neutron poisons, which evens the neutron flux, and, therefore the fission reaction. Further power leveling is achieved through the use of “chemical shims” in the moderator. Certain characteristics of boric acid limit its utility as a chemical shim. For example, boric acid corrosion due to deposits can compromise the integrity of the pressure vessel and related systems. Due to increased solubility the polyhedral boron hydride salts and certain polyhedral carborane salts will not be as prone to deposition, and if deposits do occur they are not expected to have the same corrosiveness. Also, the use of boric acid as a chemical shim results in a slightly acidic pH, which can lead to corrosion of the fuel rod cladding. To bring the pH to acceptable levels, 7LiOH is added to the water. However, the presence of too much LiOH can also lead to corrosion of the cladding. To further protect the cladding from corrosion, depleted zinc oxide, which interacts with the fuel cladding material, is typically added. The polyhedral boron hydride and carborane salts are pH neutral, which may reduce or eliminate the expensive LiOH and depleted zinc oxide used in these reactors. Furthermore, the use of polyhedral boron hydride salts in aqueous solutions in the method and nuclear reactors according to the present disclosure will not introduce any additional atoms to the reactor core that are currently not present. The polyhedral boron hydride and carborane anions are typically thermally stable and non-toxic. The cage structure of polyhedral boron hydride and carborane anions renders them highly chemically stable, which allows for long term storage. The aqueous solutions containing these salts are then ready to use when needed. In a first embodiment, the present disclosure provides a method of cooling a nuclear reactor core, the method comprising: contacting the nuclear reactor core with an aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions. In a second embodiment, the present disclosure provides the method of the first embodiment, wherein the aqueous solution is used as a neutron moderator during normal operation of the nuclear reactor. In a third embodiment, the present disclosure provides the method of the first embodiment, wherein contacting the nuclear reactor core with the aqueous solution is carried out during an emergency shutdown of the nuclear reactor. In a fourth embodiment, the present disclosure provides a nuclear reactor comprising an aqueous solution comprising at least one of polyhedral boron hydride anions or carborane anions as a neutron moderator, in an emergency core cooling system, or both as a neutron moderator and in an emergency core cooling system. In a fifth embodiment, the present disclosure provides the nuclear reactor of the fourth embodiment, wherein the nuclear reactor comprises the aqueous solution comprising the at least one of polyhedral boron hydride anions or carborane anions in the emergency core cooling system, the emergency core cooling system comprising: a vessel containing a volume of an aqueous solution comprising the at least one of polyhedral boron hydride anions or carborane anions; and a conduit leading from the vessel to an applicator in a position to deliver the aqueous solution to the nuclear reactor core. In a sixth embodiment, the present disclosure provides the nuclear reactor of the fifth embodiment, wherein the applicator is an injector or a sprayer. In a seventh embodiment, the present disclosure provides the method or nuclear reactor of any one of the first to sixth embodiments, wherein the at least one of polyhedral boron hydride anions or carborane anions are enriched in 10B. In an eighth embodiment, the present disclosure provides the method or nuclear reactor of any one of the first to seventh embodiments, wherein the at least one of polyhedral boron hydride anions or carborane anions are provided by dissolved Group I or ammonium salts. In a ninth embodiment, the present disclosure provides the method or nuclear reactor of the eighth embodiment, wherein the Group I or ammonium salts have at least 25 percent by weight boron. In a tenth embodiment, the present disclosure provides the method or nuclear reactor of the eighth or ninth embodiment, wherein the Group I or ammonium salts have a water solubility of at least 15 grams per 100 grams of solution at 20° C. In an eleventh embodiment, the present disclosure provides the method or nuclear reactor of any one of the first to tenth embodiments, wherein the anions are polyhedral boron hydride anions comprising at least one of B10H102−, B11H14−, or B12H122−. In a twelfth embodiment, the present disclosure provides the method or nuclear reactor of the eleventh embodiment, wherein the polyhedral boron hydride anions comprise at least one of B10H102− or B12H122−. In a thirteenth embodiment, the present disclosure provides the method or nuclear reactor of the twelfth embodiment, wherein the polyhedral boron hydride anions are from a dissolved salt selected from the group consisting of Li2B10H10, Na2B10H10, K2B10H10, (NH4)2B10H10, Li2B12H12, Na2B12H12, K2B12H12, (NH4)2B12H12, and combinations thereof. In a fourteenth embodiment, the present disclosure provides the method or nuclear reactor of the eleventh embodiment, wherein the Li2B10H10 or Li2B12H12 is enriched in 7Li. In a fifteenth embodiment, the present disclosure provides the method or nuclear reactor of the eleventh embodiment, wherein the polyhedral boron hydride anions comprise B11H14−, wherein the polyhedral boron hydride anions are from a dissolved salt selected from the group consisting of LiB11H14, NaB11H14, KB11H14, and (NH4)B11H14, and optionally wherein the LiB11H14 is enriched in 7Li. In a sixteenth embodiment, the present disclosure provides the method or nuclear reactor of any one of the first to tenth embodiments, wherein the anions are carborane anions, wherein the carborane anions comprise CB11H12−, wherein the carborane anions are from a dissolved salt selected from the group consisting of LiCB11H12, NaCB11H12, KCB11H12, NH4CB11H12, and optionally wherein the LiCB11H12 is enriched in 7Li. In a seventeenth embodiment, the present disclosure provides the method or nuclear reactor of any one of the first to fifteenth embodiments, wherein the nuclear reactor is a light water reactor, a boiling water reactor, a pressure water reactor, a small modular reactor, or a heavy water reactor. The following specific, but non-limiting, examples will serve to illustrate the present disclosure. The salts in the Table, below, can be useful in the method and nuclear reactor according to the present disclosure in any of the above embodiments. Salt solubilities indicated in the Table, below, were determined by the following procedure. A known quantity of water (either 25 grams or 50 grams) was added to a 2-necked round bottomed flask with thermometer and stir bar on a magnetic stir plate. The solute (salt) was analytically weighed and added to the solvent in approximately 0.1-g increments while measuring the temperature of the solution. Solute was added until turbidity was observed in the solution after addition and agitation. Solubility in grams per 100 grams solution was then calculated and provided the Table, below. The temperature range measured was 18° C. to 21° C. TABLESalt solubilities in grams per 100 grams of solutionLiNaKCs(C2H5)3NHB12H122− salts54.750.440.72.31.6B10H102− salts58.456.938.04.215.7 Various modifications and alterations of this disclosure may be made by those skilled the art without departing from the scope and spirit of the disclosure, and it should be understood that this invention is not to be unduly limited to the illustrative embodiments set forth herein.
048287920
abstract
A nuclear fuel assembly comprises a bundle of elongated fuel rods distributed at nodes of a regular lattice and a structure for retaining said fuel rods. The structure has a lower end piece, and upper end pieces, tie rods connecting said end pieces and grids distributed along the tie rods for maintaining the fuel rods at the nodes. The structure consists of two substructures slidable with respect to each other in the direction of the fuel rods. Springs located between the two substructures spread apart the two end pieces for respective abutment against a lower core plate and an upper core plate.
048291897
claims
1. An apparatus for low-temperature plasma treatment of a sheet material, comprising: an enclosed reaction chamber having an inner pressure reducible by driving of a vacuum pump, said reaction chamber being provided with openings respectively having a seal mechanism on both sides thereof so as to enable taking in and out the sheet material therethrough without leakage; a plurality of walled reaction zones provided in said reaction chamber through which the sheet material is transportable, said reaction zones being provided respectively with an electrode connected to a high frequency generating device and a plurality of jet nozzles for supplying a gas for generating low-temperature plasma gas, said reaction chamber and the walls of said reaction zones being earthed; and an electric energy feeding device for the electrodes in the reaction zones, the device including a shielded wire provided so as to lead out of the high frequency generating device, and a shielded wire jointer with cooling mechanism provided so as to electrically connect the electrode to the high frequency generating device said cooling mechanism being provided so as to cool said jointer and said shielded wire. an enclosed reaction chamber in which low-temperature plasma is generatable under reduced pressure; a plurality of guide rolls for transporting the sheet material therethrough; a plurality of electrode plate pairs arranged on both sides of the sheet material being transported; a liquid medium passage passing through said guide rolls and said electrode plate pairs for heating and cooling them; means for controlling the temperature of said guide rolls and said electrode plate pairs to a prescribed temperature by passing a liquid medium through said passage; and an electric energy feeding device for the electrode plate pairs, including a shielded wire provided so as to lead out of a high frequency generating device, and a shielded wire jointer provided so as to electrically connect the electrode plate pairs to the high frequency generating device, said means for controlling the temperature of said electrode plate pairs being connected with said shielded wire jointer so as to cool said jointer and said shielded wire. 2. An apparatus for low-temperature plasma treatment of a sheet material, comprising:
045340528
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to a block for partially limiting a radiation beam, providing this limitation with a reduced penumbra; it also relates to a space-saving collimator equipped with such blocks. Collimators are widely used in the radiotherapy field for example, where they allow the geometry of a useful beam to be defined with respect to a desired treatment area. 2. Description of the Prior Art These collimators are generally formed by a first and second assembly for limiting the radiation beam, superimposed in the path of this latter and acting on two axes orthogonal and transversal to a reference direction of this beam, so as to delimit the useful beam. This first and second limiting assembly each comprise a first and a second movable partial limiting block, one end of which is intended to cut off a part of the beam; the spacing apart between these ends forming a slit into which passes the radiation beam. This beam is thus partially limited by passing through a first slit formed by the first limiting assembly and totally limited by passing through a second slit, formed by the second limiting assembly, at the output of which it forms the useful beam. The position occupied by these first and second limiting devices, with respect to a reference direction of the radiation beam, defines a useful beam centered or off-centered with respect to this reference direction. An important quality of the useful beam resides in its delimitation with a minimum penumbra; to this end, the ends intended to cut off the beam have a not inconsiderable thickness, which forms a flat surface called active surface in the description which follows. The orientation of this active surface, with respect to a source emitting the beam, is of great importance for obtaining the useful beam with a minimum penumbra. This means that the orientation of the active surfaces may be modified for maintaining this orientation for each modification of the useful beam. In the prior art, this condition is fulfilled by means of mechanical means and drive means whose construction is difficult and complicated. Thus, for example, a desired useful beam is obtained by a movement of the first and second limiting blocks such that their movement towards or away from each other is accompanied by a rocking movement of their longitudinal axis, so as to obtain correct orientation of the active surface which they comprise. Taking into account a not inconsiderable length of these first and second limiting devices, the space required for allowing this rocking is large. A collimator thus formed has a volume which adversely affects the use thereof; furthermore, its assembly which is time-consuming and difficult requires costly machining operations, because of the complication of the mechanical and drive means used. The present invention relates to a block for partially limiting a radiation beam, simple to mount and limiting a beam with a minimum penumbra because of its design which does not require the orientation of the active surface for each new position occupied by this block. It also relates to a collimator comprising such blocks in accordance with the invention, for determining the useful beam; a collimator in accordance with the invention takes up less space and is more simply assembled with respect to collimators of the prior art, its mechanical and drive means being simplified with respect to these latter. SUMMARY OF THE INVENTION The invention provides then a block for partially limiting a radiation beam emitted by a radiation source in a given reference direction, for limiting a first part of the beam corresponding to a maximum half angle of opening, wherein said limiting block is capable of a rectilinear movement parallel to a first axis transversal to the reference direction, comprising moving means and a cylindrical active surface, by which it defines for the beam a new limit depending on a position which it occupies along this first axis, so as to limit said beam with a minimum of penumbra, without modifying the orientation of the active surface as a function of the position which it occupies.
description
This application is the US national phase of PCT application PCT/DE2004/000037 filed 15 Jan. 2004 with a claim to the priority of German patent application 10306648.9 itself filed 18 Feb. 2003. The invention relates to a measurement method for fuel elements, especially a measurement method with the aid of which the burnout of the fuel elements in a pebble-bed high-temperature reactor can be determined. In the operation of a pebble-bed HTR with multiple passes (like AVR or THTR), a certain proportion of the recirculated fuel elements (FE) must be removed from circulation to provide room for the addition of fresh fuel elements. It is thus naturally in the interest of good fissionable material economy to remove the fuel elements which have burned out to the greatest extent where possible. For this purpose each individual circulated fuel element is subjected to a measurement. What is measured is a physical parameter which constitutes a measurement of the degree of burn-out. It is important in such a system, in the interest of good measurement precision, not necessarily that there be a proportionality between this parameter and the degree of burn-out, but rather a greater measurement effectiveness and good reproducibility of the parameter which is measured. Based upon the measured parameter, a determination is made as to whether the fuel element is to be fed back to the reactor core and optionally to which zone of the reactor core it is to be fed, or whether it is to be removed. Within the reactor core a fission process is carried out as a result of which fission products are produced by the fissionable material within the fuel elements. The individual fuel elements during the circulation are located outside the reactor core in the ball or pebble removal tube so that further fission processes are suppressed. The fission products within the fuel elements are however radioactive and emit on their part γ radiation (gamma radiation). For different fuel elements, the measured total γ radiation emitted from a fuel element under substantially identical conditions, for example the same duration after emergence of the fuel element from the reactor core, is correlated with its burn-out. Up to now various measuring processes have been used to determine the degree of burn-out of ball-shaped fuel elements. With the AVR (Working Group Test Reactor), because of the relatively small circulation velocity of the fuel elements of about 500 per day, a γ spectrometric measurement of the Cs137 present in the fuel element is possible with a liquid-nitrogen-cooled semiconductor detector. These measurements are only somewhat expensive and supply over acceptable measurement times of 20 to 40 seconds a measurement precision in the range of +2% for highly burned-out fuel elements. With modern modular pebble-bed power reactors, like the HTR module of Siemens or the South African PBMR, the circulation speed is much higher by comparison with the AVR (about 4000 fuel elements per day) and the decay time of the fuel elements in the ball withdrawal tube is relatively short (about 2 days) so a direct translation of the measuring process from the AVR to the higher speed circulation of such reactors is not possible if only because of the short measuring time which is available. A shorter measurement time invariably gives rise to greater measurement error. Of greater significance is the fact that because of the very short decay time of the fuel elements, evaluation of the Cs137 line can be very imprecise. The high activity of the short-lived fission products is particularly detrimental as far as the γ measurement of the Cs137 is concerned since the evaluation of the typical 662 keV of the Cs137 is significantly influenced by the neighboring lines. Among these are the very strong 658 keV line of Nb97 (effective half life=16.8 hours), the weaker 661 keV line of Ba140 (half life 12.8 days) and the strong 668 keV line of the I132 (effective half life 76.3 hours). A corresponding correction of the measured Cs137 signal as a rule would require very expensive measurement technology to carry out. The fast circulation in combination with a short ball discharge tube and thus a short residence time in the ball discharge tube can thus give rise to a significant influence on the reproducibility of the Cs measurement. Concrete tests of an actual reactor are not however available as yet. Those skilled in the art have treated the attainable precision very differently. Generally however it has been believed that with highly burned-out fuel elements, it is not possible to do better than a mean measurement error of +10%. In corresponding expert circles, alternatives have been proposed for the simple measurement of total γ activity of fuel elements for modern modular pebble-bed power reactors. The γ activity of an irradiated fuel element is dominated in the reactor core and even after its emergence from the core in the case of a not too great decay time by the short-lived fission products. The contribution of the longer-life fission product to the intensity of the radiation is practically negligible. Fuel elements which have been burned out to a lesser extent have, in the reactor core and thus also shortly before their emergence from the core, a greater power production or power productivity than more burned-out fuel elements and thus also a higher (short lived) γ activity. The measurement effect in terms of the difference in γ radiation between a fuel element which has been burned out to a lesser extent and a fuel element which is highly burned out or burned out to a greater extent is very high. (In the case of the AVR with its comparatively long decay time of the fuel elements of an average of say one month, the γ activity of the fuel elements burned out to a lesser extent is always about 3 to 4 times higher than the γ activity of the highly burned-out fuel elements. These methods are indeed not very accurate although they are very easily carried out and unusually fast (measurement time about 1 second). As state of the art, today the combination of measurements of the total γ activity and of the Cs137 radiation can be recognized. All of the fuel elements are thus subjected to a simple γ measurement (for example 1 second). Only with fuel elements which have been recognized as highly burned-out fuel elements is the γ activity value undertaken below the above-given limits as a parallel Cs137 measurement (about 10 seconds). Only after the evaluation of the Cs137 measurement is a determination made as to whether the fuel element is recirculated or withdrawn. However, even with this combination method which permits the longer measurement duration for the Cs measurement as a rule, large mean errors have to be reckoned with which fuel elements which are burned out to a high extent. The experts in the field have indicated that the precision attainable is from +4% to +20%. The object of the invention is to provide a measurement method for ball-shaped fuel elements which with a shorter decay time of the fuel element and in a brief measurement time or duration during the circulating operation of a pebble-bed reactor can determine the degree of burn-out of a field element. It is another object of the invention further to provide a corresponding device for carrying out the above-mentioned measurement method. The objects of the invention are achieved by a method for determining the degree of burnout of a ball-shaped fuel element wherein a fuel element is removed from the reactor and transferred to a measuring position, and then the fuel element is subjected to a neutron flux 2. A detector then determines a relative magnitude for the high energy γ radiation above 1 MeV as emitted from the fuel element. The invention is thus not a measurement process for determining the absolute value of the burnout (for example in % FIMA=Fission per initial metal atom) of a ball-shaped fuel element. The invention is also not provided to determine the burnout for fuel elements which have only a low degree of burnout. For these fuel elements, it is possible to determine the burnout based upon their significantly higher γ activity by a simple γ measurement. The new and inventive method is especially provided to determine the degree of burnout for such fuel elements which have a higher degree of burnout than that which can be determined by a simple γ measurement, especially in conjunction with the possible removal thereof as will be more specifically defined below. The subject of the invention therefore is a method of measuring the degree of burnout of a ball-shaped fuel element which is similar to the aforedescribed process which has been determined as the combination method. The fuel elements gated out of the reactor core are subjected to a brief sample γ measurement. Based upon a previously established first limiting value or threshold of the γ activity, the fuel elements which are subjected to measurement are subdivided into lower burnout or higher burnout fuel elements. The fuel elements which are recognized as having a higher degree of burnout are subjected to a further measurement. This second measurement is based upon the presumption that in a fuel element which is excited or activated with thermal neutrons more fission events occur as the degree of burnout is less, i.e. the greater the number of fission events, the smaller the degree of burn out. During a fission process, hard γ radiation is spontaneously emitted. The intensity of the hard γ radiation, especially above 2 MeV, can thus form a measurement of the degree of burnout of the fuel element. The measurement method is carried out as follows: The fuel element balls are gated out of the reactor core, for example in the course of circulation, and transferred to a measurement position. There they are subjected to a thermal neutron flux which gives rise to nuclear fission within the fuel element. Apart from the γ activity of the fission product already present, this leads to the emission of the so-called spontaneous radiation which results from the nuclear fission and is in addition to that γ activity and in the form of a hard γ radiation. On average this hard γ radiation is more energy rich, i.e. of a higher energy, than the γ radiation of the fission products. In a first measurement step, the total γ activity of the fuel element is measured with a first defector. This measurement is carried out typically very quickly (about 1 second) which however is not very precise. This measurement serves only as a first estimation of the degree of burnout of the fuel element investigated. For a given reactor, the probability that a fuel element will have a certain overall γ activity complies with a statistical probability distribution. This is dependent, among other things, upon the point in time at which the fuel element is measured after discharge from the reactor core. Fuel elements with a high degree of burnout have only few fission products so that the activity of the γ radiation emitted by these products is small. If one has a fixed upper limit for the γ radiation at which, upon a γ radiation measurement above this limit, the fuel element will be returned to the reactor core, one can make a preselection of fuel elements for which a further measurement may be anticipated. The threshold can be determined based upon the probability distribution. For example the threshold can be selected at the point at which a maximum of 20% of all of the measured fuel elements have their measured activity lying below the threshold. Only for these 20% will the second measurement be anticipated and this second measurement can advantageously be carried out in parallel with the first. The second measurement step of the method according to the invention resides in the provision of an appropriate second detector which enables only a hard γ radiation of a fuel element to be detected. The method according to the invention thus utilizes advantageously the reactor which is present and from which the fuel elements are removed as the neutron source to produce in the fuel element, nuclear fission. The detector suitable for this purpose must especially be capable of detecting the energy-rich radiation which preferably lies above 2 MeV. For this energy selective measurement, the energy revolution of a NaI scintillation counter is for example sufficient. The second detector should operate with a γ total pulse rate of greater than 107/second, especially greater than 108/s %, Because of the short decay time of the fission products within the fuel elements, the γ activity of the fission products dominates the γ activity of the fission clearly. Many solutions can be used individually or in combination since the useful signals of the hard γ radiation is not nearly as significant as the greater activity of the not so energy-rich γ activity of the fission products of the fuel element on which it is superimposed. 1. It is advantageous to use a detector for the second measurement step which operates at a very high pulse rate, that is which has a very good time resolution and thus in a brief measurement interval will only show minor errors. 2. Further, the ratio of energy-rich (hard) radiation to the not so rich γ radiation, favors the hard radiation if between the detector and fuel element a shield is provided which operates effectively with a high pass energy filter and thus weakens the lower energetic γ radiation impinging on the second detector. Such a shield can be formed, for example, by a lead filter. 3. Since the second measurement is carried out with especially high precision, the second detector can advantageously be so arranged that its optimum working range lies at radiation values which are exactly those which are emitted by the fuel elements of interest, namely, those which are more highly burned out. This means that the radiation values for the lower degree of burnout fuel elements are significantly higher than the optimal working range of the second detector. To prevent possible damage to the second detector, however, several steps can be taken. Especially additional appropriate shielding can be provided so that during the measurement of fuel elements of a lesser degree of burnout, the second detector will not be overloaded. Alternatively, however, the second detector can be deactivated during measurements of fuel elements with a lesser degree of burnout, something which can be done especially simply in the case of successive measurements 1 and 2. 4. The number of induced nuclear fissions within the fuel element increases with increasing neutron flux (measurement flux). For producing the highest possible thermal neutron flux at the measurement position of the fuel element, it is suitable especially to use the reactor core itself (from which the fuel element derives) as a neutron source. In principle, however, also other neutron sources are suitable. 5. The measurement position is advantageously surrounded by water. In this manner the reactivity of the subcritical measurement arrangement is increased and fission-liberated neutrons utilize as much as possible for the further fission events. The fuel elements subjected to measurement themselves, with their fissionable material, influence the reactivity of the arrangement. This results in an amplification of the measurement effect. 6. To increase the precision of the second measurement, alternatively a plurality of the second detectors can also be provided and can have parallel counts which can be added. 7. Further, a plurality of fuel elements can be measured at several measurement positions simultaneously and in parallel. So as not to change the recycling rate of the circulation, in this manner a greater measurement duration is made available for each measurement with as a rule a positive effect on the precision of the measurements. 8. Basically one can also increase the time between the discharge of a fuel element from the reactor core and its measurement (intermediate duration) advantageously, since the γ radiation of the fission products decreases with time in accordance with their decays although the γ radiation from the induced fission remains unaffected thereby. This however requires disadvantageously expensive structural changes or unsatisfactory reactor operations. The method of the invention allows in a simple manner a highly precise indication (error rates of only about 1 to 2%) as to the degree of burnout of a fuel element to be obtained. This method is especially suitable for distinguishing whether a fuel element circulated in a high-temperature reactor (HTR) should be gated out or returned to the reactor core. The method supports this determination advantageously as follows: a) a fuel element is removed from the reactor and transferred to a measurement position, b) the fuel element is subjected to a thermal neutron flux, c) a first detector detects the γ radiation emitted from the fuel element, d) upon the measurement exceeding a predetermined first threshold value, the fuel element is directly recycled again to the reactor and upon the measurement falling below the limiting value or threshold, the fuel element is processed by the steps e to f, e) a second detector determines the high energy γ radiation above 1 MeV emitted from the fuel element, f) upon exceeding a predetermined second threshold or limiting value by the measurement, the fuel element is recycled to the reactor and upon the measured value lying below this threshold, the fuel element is gated out of the fuel element circulation. The FIGURE shows in horizontal section an embodiment of a device for carrying out the method of the invention. In that FIGURE the reference characters have the following significance: 1 Reactor, outer side of the biological shield 2 Thermal column (graphite) with thermal neutron flux 3 Ball tube 4 Water tank 5 Biological shield 6 Fuel element in measurement position 7 Plug for detector replacement 8 Energy selective second γ detector with higher time resolution 9 Connecting cable to pulse processing 10 Detector shielding and energy filter, for example of lead 11 First γ detector 12 Circulating apparatus (shown schematically) with fixing of a fuel element in the measurement position The method of the invention is then carried out as follows in the device: The fuel element 6 to be measured which has been gated out of the reactor core, is brought into a defined measurement position 12 in which it is subjected to a thermal neutron flux 2. Depending upon the degree of burnout or the fissionable material still contained in the fuel element 6, nuclear fission events occur in the interior of the fuel element with an intensity which is determined by measurement. The measured value thus represents the hard, high-energy γ radiation which is produced from the fission products thermally after the fission events and is emitted by the fuel element (spontaneous radiation). The measurement uses the fact that the energy of this hard γ radiation on energy is higher than the γ radiation emitted or by the fission products previously present in the fuel element. As a consequence, the harder energy-rich γ radiation is detected by an energy selective γ measuring device. A suitable detection system is for example a high-resolution scintillation counter 8 with high time resolution whose energy resolution is sufficient for the purpose. The smaller portion of the higher energy γ activity from the fission products in the fuel element which fall in the range of the hard γ radiation to be measured can be measured therewith without a significant effect on the measurement precision since the total γ radiation of the fuel element to be measured is also dependent upon the degree of burnout and, indeed, in the same way. The higher the burnout the less the fission product content and thus the fission effect on the measurement and the smaller the level of the hard γ radiation and the smaller also the total fuel element activity (as to the possibility of a simple measurement of the total γ radiation of a fuel element as an effective measurement process, reference is made to the foregoing discussion on the point). The two features which have been described, therefore, characterize the principle of the new method. The main difficulty is that because of the only short decay time of the FE (typically 2 days) the γ activity is very high (noise signal) and compared to it the hard γ radiation as a useful signal is completely in the background. If in spite of this the above-described precision is to be achieved, that is that in a short measurement interval a sufficiently large number of useful pulses are to be accumulated, the following further features of the method take on great importance. The γ measurement device 8 (second detector) is used which can operate with a very high pulse rate and this has very good time resolution. The shielding 10 between the measurement device 8 and the fuel element 6 to be measured is so configured that the measurement device in the case of a fuel element burned out to a greater extent, that is a fuel element which is comparatively weakly emitting radiation already operates in the region of its maximum possible count rate. Highly radiating fuel elements which have been insufficiently burned out can no longer be detected with this second detector. These however in the sense of the already described combination method will be recognized with the aid of the simple γ measurement using the first detector 11. The requisite shielding 10 between the fuel element 6 and the measuring device 8 (second detector) is made from lead so as to have the greatest possible energy filtration effect (preferably allowing only the hard γ radiation to pass). The consumption of fissionable material for the measurement is completely negligible as a result of the short measurement duration even with very high neutron flux (measurement flux). In the interest of good measurement precision, the method can operate with the highest possible measurement flux. For that no external neutron source is applied but rather the reactor core itself is advantageously used as the neutron supplier. For that purpose the reactor contains, like with research reactors such as, for example, the Dido reactor of Forschungszentrum Julich GmbH, a “thermal column” 2 that is a throughgoing graphite connection between the side reflector and the outer side of the biological shield extending radially and interrupting the reactor vessel, if possible, at the level of the center of the reactor core. Directly ahead of the outer end surface of the graphite, the measurement position 12 is located. This measurement position 12 is in addition advantageously surrounded by water. As a result the reactivity of the subcritical measuring device is increased and the fission-liberated neutrons are used to the greatest extent possible for further fission events. The fuel elements to be measured themselves influence with their fission-material content the reactivity of the device. This leads to an amplification of the measurement effect. In a practical embodiment the measurement position 12 is effectively provided in a part of the ball-charging apparatus 3 in which the balls can be retained without additional means. For this purpose, a ball-feeding deflector or switch s provided which can control the target with respect to the measured ball, i.e. whether the ball is returned or fed to the pebble bed or the ball is discharged. The arrangement of the feed deflector at the level of the reactor core middle (ahead of the “thermal column”) affords the advantage that the long path of the ball from the region of the lower end of the ball withdrawal tube to its upper point of direction reversal of the feed tube or feed tubes to the pebble bed is subdivided into two partial stretches and thus the individual pneumatic ball conveyor path can operate with less gas displacement pressure and volume. The entire measuring arrangement is also provided with a biological shield 5 which surrounds the measuring arrangement and a further γ detector 11. This detector is so arranged that it operates at a higher count rate when a fuel element with a smaller degree of burnout (for example after one pass through the core) is found in the measuring position 12. With this detector 11, all of the fuel elements gated into the measurement position (and thus the balls) are measured in the sense of the above-described combination method with respect to their γ activities. If the measurement results of this detector level exceeds a certain predetermined limiting value or threshold, a measured fuel element is not yet sufficiently burned out and is recycled without waiting for the second measurement to the reactor core. If the measurement by the detector 11 lies below the limiting value or threshold, the fuel element is subjected to a measurement also by the detector 8 and a determination is then made with respect to the ball target, namely the withdrawal or recycle. This choice is made again by comparison of the measurement result with a further limiting value or threshold. When the measurement lies beneath the limiting or threshold, the fuel element is withdrawn. The two limiting values can be determined from the probability distribution of the measurement results of a large number of previously measured fuel elements, for example 300. This number is equal to the area beneath the distribution curve. For the limiting value or threshold determination, such values are selected on the measurement value scale which divide the area under the curve into certain predetermined count ratios. If for example 20% of all measured fuel elements also are intended to be measured with the second detector, the distribution curve area will break up the measurement results from the first detector 11 in a ratio of 2:8. In that case 20% of all of the measurement results will lie below the first threshold or limiting value. If it is assumed further that the reactor is operated in a 1:10 mode, that is that for each freshly fed fuel element, 10 are recycled and also on a long-term basis that for every 10 recirculated fuel elements, one fuel element must be removed, the proportion removed must equal 10% so that in the probability distribution, the measurement result of the second detector 8 should provide a value which will divide the area under the distribution curve into two equal halves. The fuel elements whose measurement results thus fall below the second threshold or limiting value are to be removed. The removal proportion is then 10% which corresponds to the 1:10 operating mode. The probability distribution and thus also the limiting value and threshold calculation can reflect the realities of the fuel element measurements. When there is a change in the reactor power, the measurement results before processing are multiplied by the ratio between the newer and earlier powers. Should the second detector 10 in its switched-on state be subjected to supersaturation with γ radiation to the point that it might be damaged, then advantageously both measurements would not be carried out in parallel and initially only the γ radiation measured by the first detector 11. Only when the measurement results lie below the first threshold or limiting value would the operating voltage for example of the second detector 8 be turned on. It can be noted further that the measurement need not be carried out as shown in the drawing ahead of the biological shield 1. It can also be carried out in a pocket formed in the biological shield. As a result, the thermal column is shortened and the measurement flux 2 is greater. It is also possible to carry out the measurement directly at the outer side of the reactor pressure vessel. The second detector is then naturally located outside the γ radiation of the reactor core to a more significant extent. Since the higher measurement flux 2 is so significant however for the precision of the method that in this case a constant measurement of the γ background must be taken into consideration as long as it is not dominant.
049967010
abstract
In a method for slit radiography a fan-shaped X-ray beam scans a body to form an X-ray shadow image. The fan-shaped beam is formed by a number of sectors situated next to each other. For each sector the transmitted X-ray radiation is controlled instantaneously during a scan by means of controllable beam sector modulators. The X-ray radiation is cyclically modulated in a predetermined manner for all the sectors taken together. The controllable beam sector modulators are individually controlled to select cyclically and in synchronism with the predetermined cyclic modulation a part of the X-ray radiation for each sector.
039717321
description
DESCRIPTION OF THE PREFERRED EMBODIMENT A preferred embodiment of an apparatus for fixing radioactive and/or toxic waste may find application in a bituminization system illustrated schematically in FIG. 1. In a storage tank 1 having a volume of approximately 20 m.sup.3, bitumen is heated to 140.degree.C by means of a heat exchanger 2. The heat exchanger 2 is connected by means of a reducing valve 3 to a heating vapor conduit 4. The heating vapor is, in the conduit 4, brought from 16 atm. gauge and 203.degree.C to 8 atm. gauge and 175.degree.C by means of the reducing valve 3. A bitumen metering pump 5 supplies the liquid bitumen through a conduit 6 provided with a heater 7 to a dual-shaft extruder 8. In the mixing chamber of the extruder 8 there are disposed parallel-spaced shafts 9 and 10 on which there are arranged respective screw conveyors 11 and 12 of different length and pitch. The turns of the two screw conveyors are in an interleaving relationship with one another and rotate in the same direction, thereby mixing together the radioactive concentrate and the bitumen and at the same time, advancing the mixture in an axial direction. The screw conveyors are supported in 11 housing parts flanged to one another; the housing parts are combined into housing pairs, each defining a vapor-heated heating zone. Each heating zone is provided with a vapor outlet device constituted by a tubular vapor exhaust coupling 13 and a condenser 14. Each heating zone has a temperature-regulating device. The screw conveyors are rotated with a speed of up to 300 rpm by a 60 kW D.C. motor 15 with the intermediary of a drive gearing 16. A concentrate metering pump 17 feeds the extruder 8 with a concentrate consisting of liquid radioactive waste from a storage container 18 which, in turn, is charged by means of a further pump 19 from a larger storage vessel 20. The storage container 18 is shielded by lead walls 21 through which, while observing safety regulations, samples may be withdrawn into a specimen box 22. The vapor generated in the extruder 8 upon introduction of the concentrate into the bitumen, is precipitated in five condensers 14 and the distillate, for removing the bitumen particles still being carried, is passed through a tar filter 23 and introduced into a distillate accumulator 24 provided with a cooling device 25. A distillate pump 26 advances the distillate into an evaporator 27 for further purification. In the zone of the outlet or discharge spout 28 of the extruder 8 there is provided a loading device, constituted by a charging cabin 30 provided with lead walls 29 forming a radiation shield. In the cabin 30 there is disposed a mechanically driven rotary disc 31 which is movable in either direction and on which there are disposed, for example six barrels 32 (only two shown). A portion of the lateral wall and the ceiling of the cabin 30 constitute a slideable angle door 33 which may be shifted to provide an opening through which the barrels 32 may be moved by means of an overhead hoist 34 and a barrel gripper 35. The charging cabin 30 is ventilated by means of a ventilating system isolated from the other spaces. The mixed material emerges from the discharge spout 28 of the extruder 8 in a continuous manner. As soon as the barrel 32 positioned under the discharge spout 28 is filled, an interrupter bowl 37 is brought under the spout 28 by means of an externally operable actuating lever 36 and, by rotating the disc 31, an empty barrel 32 is moved under the extrusion nipple 28 to begin the filling thereof subsequent to pivoting away the interrupter bowl 37. The interrupter bowl 37 which is made, for example, of pressed sheet metal, catches approximately 2 liters of material and is filled approximately after four or five barrel changes. After such filling, the interrupter bowl 37 is dropped into the barrel below, so that the surface of the barrel will not be contaminated and an elimination of the filled interrupter bowl can be effected in a simple manner. For this purpose, the diameter of the interrupter bowl 37 is smaller than that of the filler opening provided in each barrel 32. A closure locking device 38 places, with a gripper 39, a closure 40 on the filler opening of the barrel 32, presses the closure 40 into the opening and deforms the closure edge by the four jaws of the gripper 39, so that a crimped closure lock is obtained. Turning now to FIG. 2, there is illustrated a vapor exhaust coupling 13 of the dual-shaft extruder 8. To a flange 41 there is attached the conduit 6 for introducing the liquid bitumen, and to a flange 42 there is connected the concentrate conduit leading from the concentrate metering pump 17. By arranging the couplings for the bitumen conduit and the concentrate conduit above the shafts 9 and 10, a plug formation in the bitumen conduit is prevented. Between a flange 43 at the upper end of the vapor exhaust coupling 13 and a flange 44 of an adjoining tube 45 provided with a window 46, there is fixedly secured an intermediate ring 47 by means of tension screws 48. The ring 47 has the same internal diameter as the tubular coupling 13 and is contiguous therewith. Internally of the intermediate ring 47 there is arranged an annular tubular conduit (distributor) 49 which is connected to a steam supply conduit 50 passing through the ring 47 and supported thereby. From the underside of the distributor 49 there extend six tubes (vapor lances) 52 (only three shown) which have at their ends outlet nozzles 51 oriented towards the inner wall of the vapor exhaust coupling 13 at a distance of up to approximately 20 centimeters from the upper edge of the screw conveyors 11, 12. By means of the vapor lances 52 deposits of radioactive salts are removed from the inner wall of the exhaust coupling 13 in a simple manner whenever necessary, without interrupting the operation of the apparatus. The removed salt deposits are then mixed into the bitumen by the screw conveyors 11, 12. For cleaning the observation window 46, there is provided a further steam supply conduit 53 which passes through the intermediate ring 47 and is supported thereby. The steam conduit 53 has a nozzle terminal 54 projecting into the space defined by the ring 47. The nozzle 54 is directed towards the window 46, so that deposits which adversely affect the transparency of the glass may be removed in a simple manner. In case of a relatively large-area observation window 46, it is expedient to connect at the end of the steam conduit 53 a U-shaped or comb-shaped tube member, the free ends of which each carry a nozzle 54 oriented towards the observation window 46. FIG. 3 illustrates one of the vertically oriented tar filters 23. It comprises two transparent (glass) tubes 55 which have, at each of their end zones, a radially outwardly projecting conical collar 56 for attachment with a flange 57 with the interposition of a sealing ring 58. The glass tubes 55 are connected to one another by means of two flanges 57, an intermediate flange 59 and seals 60. Across the central bore 61 of the intermediate flange 59 there extends a first sieve 62. The lower end of the tar filter 23 is closed by a second sieve 62, a flange 57 with a sealing ring 58 and a flange 63 with a seal 60. The upper end of the tar filter 23 is closed in a similar manner, but has no sieve. The space 64 between the sieves 62 is filled with an oil-absorbing substance. The distillate is introduced from the condenser 14 through the upper conduit coupling 65 into the filter 23. At the first sieve 62, the bitumen particles carried by the material are retained and they are collected in the space 66. After the separation of the oil contained in the distillate in the space 64, the purified distillate leaves the filter 23 through the conduit nipple 67 and is admitted -- as it may be observed in FIG. 1 -- to the distillate accumulator 24. Of the two identical filters 23 shown in FIG. 1, during operation one always constitutes the active (operating) filter, while the other is the reserve filter. The distillate stream is switched over from the active filter to the reserve filter when the space 66 of the operative filter 23 is filled with bitumen particles or, as the case may be, when the absorption capabilities of the oil-absorbing substance are exhausted or when the accumulated activity makes a replacement necessary. Each filter may be easily replaced with the aid of rapid couplings. It will be understood that the above description of the present invention is susceptible to various modifications, changes and adaptations, and the same are intended to be comprehended within the meaning and range of equivalents of the appended claims.
claims
1. A photo-mask for use in extreme ultraviolet (EUV) lithography, the photo-mask comprising:a cordierite ceramic substrate layer;a reflector layer on a front surface of the substrate layer;a capping layer on the reflector layer;an absorber layer on the capping layer;an anti-reflection coating on the absorber layer; anda backside coating on a back surface of the substrate layer, wherein the back surface is opposite the front surface. 2. The photo-mask of claim 1, wherein the cordierite ceramic has a Young's modulus between about 120 GPa to about 157 GPa. 3. The photo-mask of claim 1, wherein the cordierite ceramic has a coefficient of thermal expansion between −50 parts per billion/° C. and +50 parts per billion/° C. 4. The photo-mask of claim 2, wherein the cordierite ceramic has a coefficient of thermal expansion between −20 parts per billion/° C. and +20 parts per billion/° C. 5. The photo-mask of claim 1, wherein the cordierite ceramic has a bulk density between about 2500 kg/m3 and about 2700 kg/m3. 6. The photo-mask of claim 1, wherein the cordierite ceramic has a thermal conductivity between about 3.0 W/(m·K) and about 5.0 W/(m·K). 7. The photo-mask of claim 1, wherein the substrate layer has a thickness of about 0.25 inches or less, and the photo-mask has a surface area for a first side of the photo-mask of about 81 square inches or less. 8. A method of fabricating a photo-mask for EUV lithography, the method comprising:obtaining a cordierite ceramic substrate layer;applying full-aperture polishing or sub-aperture polishing to the cordierite ceramic substrate layer;depositing a reflector layer on a frontside surface of the substrate layer, wherein the reflector layer comprises a plurality of alternating first and second thin films configured to form a Bragg reflector;depositing a Ru capping layer on the reflector layer;depositing a TaN absorbing layer on the capping layer; andpatterning the absorbing layer to form a desired pattern. 9. The method of claim 8, comprising applying both sub-aperture polishing and full-aperture polishing to the substrate layer, wherein sub-aperture polishing is applied subsequent to applying the full-aperture polishing. 10. An illumination system comprising:an EUV light source;an illumination optical system;a projection optical system; anda photo-mask comprising a cordierite ceramic material, a reflector layer on a front surface of the substrate layer, a capping layer on the reflector layer, an absorber layer on the capping layer, an anti-reflection coating on the absorber layer, and a backside coating on a back surface of the substrate layer, wherein the back surface is opposite the front surface, wherein the illumination optical system is configured to receive EUV light from the light source and redirect the EUV light onto the photo-mask, and wherein the projection optical system is configured to receive EUV light reflected from the photo-mask and image the reflected EUV light onto an object located at an image plane of the projection optical system. 11. The illumination system of claim 10, wherein the cordierite ceramic has a Young's modulus between about 120 GPa to about 157 GPa. 12. The illumination system of claim 10, wherein the cordierite ceramic has a coefficient of thermal expansion between −50 parts per billion/° C. and +50 parts per billion/° C. 13. The illumination system of claim 12, wherein the cordierite ceramic has a coefficient of thermal expansion between −20 parts per billion/° C. and +20 parts per billion/° C. 14. The illumination system of claim 10, wherein the cordierite ceramic has a bulk density between about 2500 kg/m3 and about 2700 kg/m3. 15. The illumination system of claim 10, wherein the cordierite ceramic has a thermal conductivity between about 3.0 W/(m·K) and about 5.0 W/(m·K). 16. The illumination system of claim 10, wherein the substrate layer has a thickness of about 0.25 inches or less, and the photo-mask has a surface area for a first side of the photo-mask of about 81 square inches or less. 17. A photo-mask for use in extreme ultraviolet (EUV) lithography, the photo-mask comprising:a substrate layer comprising a Young's modulus between about 120 GPa to about 157 GPa and a coefficient of thermal expansion between −50 parts per billion/° C. and +50 parts per billion/° C., a reflector layer on a front surface of the substrate layer, a capping layer on the reflector layer, an absorber layer on the capping layer, an anti-reflection coating on the absorber layer, and a backside coating on a back surface of the substrate layer, wherein the back surface is opposite the front surface. 18. A device comprising:an extreme ultraviolet (EUV) lithography photo-mask, the photo-mask comprising an oxide ceramic MgaLibFecAldSieOf substrate layer,wherein a, b, c, d, e, and f are in the range of 1.8 to 1.9, 0.1 to 0.3, 0 to 0.2, 3.9 to 4.1, 6.0 to 7.0, and 19 to 23, respectively, and wherein the substrate layer comprises a Young's modulus between about 120 GPa to about 157 GPa and a coefficient of thermal expansion between −50 parts per billion/° C. and +50 parts per billion/° C. 19. A device comprising:an extreme ultraviolet (EUV) lithography photo-mask, the photo-mask comprising a substrate layer,wherein the substrate layer comprises cordierite as a primary component, and one or more selected from the group consisting of La, Ce, Sm, Gd, Dy, Er, Yb and Yin an oxide equivalent amount of 1 to 8 mass %,wherein a mass ratio between the primary components has the following ratios: 3.85≦SiO2/MgO≦4.60, and 2.50≦Al2O3/MgO≦2.70, andwherein the substrate layer comprises a Young's modulus between about 120 GPa to about 157 GPa and a coefficient of thermal expansion between −50 parts per billion/° C. and +50 parts per billion/° C.
claims
1. An integrated X-ray source, comprising:a target for emitting X rays upon being struck by one or more excitation beams; andone or more total internal reflection multilayer optic devices in physical contact with the target to transmit at least a portion of the X rays through total internal reflection to produce X-ray beams, wherein each of the optic devices comprises an input face for receiving the X rays and an output face through which the X-ray beams exit the integrated X-ray source. 2. The integrated X-ray source of claim 1, wherein the optic devices comprise:a first graded multilayer section for redirecting and transmitting X rays through total internal reflection including;a high-index material layer comprising a first complex refractive index n1 having a real part Re (n1) and an imaginary part β1;a low-index material layer comprising a second complex refractive index n2 having a real part Re (n2) and an imaginary part β2; anda grading zone disposed between said high-index material layer and said low-index material layer, the grading zone having a grading layer comprising a third complex real refractive index n3 having a real part Re (n3) and an imaginary part β3 such that Re(n1)>Re(n3)>Re(n2). 3. The integrated X-ray source of claim 1, wherein the excitation beams comprise one or more of neutral particle beams, charged particle beams, or photon beams. 4. The integrated X-ray source of claim 1, wherein the target comprises a plurality of materials, and wherein at least one of the plurality of materials is an X-ray emitting material. 5. The integrated X-ray source of claim 4, wherein the X-ray emitting material comprises one or more heat removing materials, or electrical discharge removing materials, or both. 6. The integrated X-ray source of claim 4, wherein the X-ray emitting material comprises tungsten, rhodium, molybdenum, rhodium-molybdenum alloy, copper, diamond, radioactive americium, radioactive cobalt, and alloys thereof. 7. The integrated X-ray source of claim 6, wherein the low-index material layer comprises tungsten, osmium, copper, silver, molybdenum, rhodium, radioactive americium, radioactive cobalt, or chromium. 8. The integrated X-ray source of claim 1, wherein the target comprises a radioactive material. 9. The integrated X-ray source of claim 1, wherein the target comprises a plurality of layers disposed on the input face of the one or more optic devices, and wherein at least one of the plurality of layers comprises an X-ray emitting layer. 10. The integrated X-ray source of claim 9, wherein the target comprises at least one diamond layer, or at least one graphene layer disposed adjacent to the X-ray emitting layer. 11. The integrated X-ray source of claim 1, wherein one or more materials of the optic devices are configured to emit X-rays upon being struck by the excitation beams. 12. The integrated X-ray source of claim 1, wherein a cross-section of the optic devices is rectangular, circular, oval, square, or polygonal shape. 13. The integrated X-ray source of claim 1, wherein the target is enclosed within a housing having an X-ray transparent window, the one or more optic devices are mounted within the housing, mounted external to the housing, fabricated as the window, or integrated into the window. 14. The integrated X-ray source of claim 13, wherein the output face of each of the optic devices is mounted parallel to the window, or integrated into the window. 15. The integrated X-ray source of claim 1, wherein the X-ray beams are fan-shaped X-ray beams. 16. The integrated X-ray source of claim 1, wherein a cross-sectional area of the excitation beams is greater than a cross-sectional area of the target. 17. An integrated X-ray source, comprising:one or more total internal reflection multilayer optic devices that are configured to receive electrons, wherein the optic devices comprise at least one low-index material layer and at least one high-index material layer, wherein a target material is interspersed in the low-index material layer, wherein the target material is configured to produce X-rays upon being struck by one or more excitation beams, wherein the optic devices transmit at least a portion of the X rays through total internal reflection to produce X rays, and wherein each of the optic devices comprise an input face for receiving the X rays and an output face through which the X rays exit the integrated X-ray source. 18. The integrated X-ray source of claim 17, wherein the one or more excitation beams comprise one or more of neutral particle beams, charged particle beams, or photon beams. 19. The integrated X-ray source of claim 17, comprising two or more low-index material layers, wherein one or more low-index material layers comprise different materials. 20. The integrated X-ray source of claim 17, wherein the optic devices redirect the X-rays at energies above about 60 keV. 21. An X-ray imaging system, comprising:a source of one or more excitation beams;an integrated X-ray source, comprising:a target for emitting X-rays upon being struck by the excitation beams from the source; andone or more total internal reflection multilayer optic devices in direct physical contact with the target to transmit at least a portion of the X rays through total internal reflection to produce one or more X-ray beams, wherein the optic devices comprise an input face for receiving the X rays and an output face through which the X rays exit the integrated X-ray source. 22. The X-ray imaging system of claim 21, wherein the X-ray beams comprise fan-shaped beams, beams with circularly symmetric cross-sections, or beams with elliptical cross-sections.
claims
1. A method of operating a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by nuclear fission reactor, comprising:using an enclosure enclosing a porous nuclear fuel body having a fissile material and the volatile fission product therein; andusing a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations of the nuclear fission reactor by circulating a fission product removal fluid through the porous nuclear fuel body using the fluid control subassembly, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body and the enclosure while retaining the fissile material in the enclosure while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body in the enclosure. 2. The method according to claim 1, further comprising controlling operation of the fluid control subassembly by operating a control unit coupled to the fluid control subassembly. 3. The method according to claim 1, further comprising receiving the volatile fission product into a reservoir coupled to the fluid control subassembly. 4. The method according to claim 1, wherein circulating the fission product removal fluid includes circulating a fluid between the fluid control subassembly and the porous nuclear fuel body by operating a pump integrally connected to the fluid control subassembly. 5. The method according to claim 1, wherein circulating the fission product removal fluid includes controlling flow of a fluid between the enclosure and the fluid control subassembly by operating a valve interposed between the enclosure and the fluid control subassembly. 6. The method according to claim 1, wherein using the fluid control subassembly comprises operating a controllably breakable barrier. 7. The method according to claim 1, further comprising using a controllably breakable barrier interposed between the enclosure and the fluid control subassembly. 8. The method according to claim 1, wherein using the fluid control subassembly comprises using the fluid control subassembly, so that the the enclosure enclosing the porous nuclear fuel body is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body and retains the fissile material in the enclosure while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. 9. The method according to claim 1, wherein using the fluid control subassembly comprises using the fluid control subassembly to simultaneously circulate a fission product removal fluid and a heat removal fluid. 10. The method according to claim 1, wherein using the fluid control subassembly comprises using the fluid control subassembly to sequentially circulate a fission product removal fluid and a heat removal fluid. 11. The method according to claim 1, wherein using the fluid control subassembly comprises using a plurality of first components coupled to the fluid control subassembly to supply a fission product removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate the fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is acquired by the pores of the nuclear fuel body and is removed from the pores of the nuclear fuel body while said fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. 12. The method according to claim 1, wherein using the fluid control subassembly comprises using a dual-purpose circuit coupled to the enclosure to selectively remove the volatile fission product and heat from the nuclear fuel body. 13. The method according to claim 1, wherein using the fluid control subassembly comprises using the fluid control subassembly to circulate a gas through the pores of the nuclear fuel body.
abstract
A fastening and loosening device is provided with a device main body (101) having an upper portion supported along an arrangement direction of stud bolts (65) in a freely movable manner by a conveyance device (73), a bolt tensioner (102) freely ascendable/descendable with respect to the stud bolts (65) together with the device main body (101) by a lift device (74), a guide device (103) coupled with the device main body (101) in a freely relatively movable manner via a cylinder (121) and having right and left guide members (123 and 124) contactable with an outer periphery of a nut (66) from both the right and left sides of a moving direction of the device main body (101), and a guide position adjustment device (105) capable of moving an inner guide member (123) in a horizontal direction intersecting with the moving direction of the device main body (101).
summary
abstract
This invention provides an electron beam length measuring technology including a standard component for length measurement that has a finer standard dimension, and its producing method. The standard component for length measurement has a semiconductor member on which is arranged a pattern consisting of an array of first diffraction gratings whose pitch dimension is specified as an absolute dimension by an optical measurement method, wherein the pattern has a structure in which an array of second diffraction gratings different from the first diffraction gratings is arranged in a portion within the array of the first diffraction gratings in a predetermined cycle. Each one of the first diffraction gratings and the second diffraction gratings has a predetermined length and a predetermined width, respectively. The first diffraction gratings and the second diffraction gratings are arranged cyclically at respective predetermined intervals, and marks for specifying positions of the above-mentioned patterns are arranged in peripheral portions of the above-mentioned patterns. Moreover, the above-mentioned pattern contains an array pattern whose minimum pitch dimension is equal to or less than 100 nm. The electron beam batch exposure method is used as a pattern producing method.
claims
1. A Digital Parallel Electron Beam Lithography Stamp (PEBLS) apparatus comprising:a substrate with a first side and a second side;a first array of parallel wires oriented in a first direction formed on the first side of the substrate;an array of lithographic nanotips capable of electron emission formed above the first wires and oriented substantially normal to the first side of the substrate;a second array of parallel wires formed above the array of lithographic nanotips and oriented in a second direction at an angle crossing with the first direction, wherein electron emission regions are formed between the wires in the array of second parallel wires;a control unit arranged to selectively address the electron emission regions in order to generate a lithographic pattern with the array of nanotips;a cell that forms an enclosing space on the first side of the substrate around the lithographic nanotips; anda target to which the lithographic pattern is transferred. 2. An apparatus of claim 1, wherein the lithographic nanotips are carbon nanotubes. 3. An apparatus of claim 1,wherein a periphery of the cell contacts the target. 4. An apparatus of claim 1, further comprising:at least one aperture formed through the substrate so as to allow fluid communication from the second side of the substrate to the enclosing space formed by the cell. 5. An apparatus of claim 1, further comprising:a positioning mechanism to provide alignment between the lithographic nanotips and the target. 6. An apparatus of claim 1, further comprising:a microfluidic flow control substrate capable of supplying and/or removing gas, vapor, or liquid material to a region enclosed by the PEBLS. 7. An apparatus of claim 1, wherein wires in the first array of parallel wires are formed to be of a smaller width and closer together than wires in the second array of parallel wires. 8. An apparatus of claim 1, wherein the control unit is arranged to provide a waveform to at least one wire of the first array of parallel wires or at least one wire of the second array of parallel wires so as to steer a generated electron beam. 9. A method of lithography comprising:providing the digital PEBLS apparatus as recited in claim 1; andusing the digital PEBLS apparatus to perform at least one lithographic process step on the target. 10. A method of lithography of claim 9, wherein the at least one lithographic process step includes forming an electrostatic pattern on the target. 11. A method of lithography of claim 9, wherein the at least one lithographic process step includes curing an e-beam resist of the target. 12. A method of lithography of claim 9, wherein the at least one lithographic process step includes etching a pattern on the target. 13. A method of lithography of claim 9, wherein the at least one lithographic process step includes performing localized electrochemical reactions on the target. 14. A method of lithography of claim 9, wherein the at least one lithographic process step is used in manufacturing a crossbar structure. 15. A method of lithography of claim 9, wherein the at least one lithographic process step is used in the fabrication of an electronic circuit or electronic component. 16. A method of lithography of claim 9, wherein the at least one lithographic process step is used in the making or breaking of a chemical bond. 17. A method of lithography of claim 9, including a step of controlling the magnification or demagnification of a range of at least one of the electron emission regions. 18. A method of lithography of claim 9, including a step of controlling an electron beam direction emitted from at least one of the electron emission regions.
054065968
claims
1. In a pressurized-water nuclear vessel, having a head, a plurality of tubular adapters, each fixed into an opening traversing said head, and at least one instrumentation column passing though one adapter of said plurality of adapters, a device for sealed clamping of said instrumentation column against a support surface of a tubular support assembly fixed to an end of said one adapter located outside said head and in an extension of said one adapter, said clamping device comprising: (a) a pressure plate having a central opening through which said instrumentation column can pass; (b) a removable supporting end piece coming to rest on an end of said support assembly opposite to said adapter having a central opening for passage of said instrumentation column; (c) an odd number of lifting screws, each lifting screw being engaged in a tapped hole traversing said pressure plate and comprising a supporting end bearing on said supporting end piece and an opposite end constituting an engagement means for rotating said lifting screw, said lifting screws being distributed about an axis of symmetry of said central opening of said pressure plate and parallel to said axis; (d) a pull-ring comprising two half rings coming to bear between a radially projecting part of said instrumentation column and said pressure plate; (e) a tightening assembly including a mounting plate having an opening for passage of said instrumentation column and an odd number at least equal to three of tightening spindles distributed around and parallel to an axis of said opening of said mounting plate, each of said spindles having an end configured for engaging an engagement means for rotating one lifting screw of said pressure plate, each of said spindles being mounted rotationally on said mounting plate and securely fastened to gears in kinematic connection so as to be rotated in a same direction upon rotation of one of said spindles, called a driving spindle, which includes a shaped drive part; and, (f) a tool for rotationally driving said driving spindle. 2. Device according to claim 1, wherein said supporting end piece and said pressure plate have the shape of a regular polygon with an odd number of sides. 3. Device according to claim 2, wherein said supporting end piece and said pressure plate have the shape of equilateral triangles with rounded corners. 4. Device according to claim 3, wherein each said tapped hole traversing said pressure plate is located adjacent said rounded corners. 5. Device according to any one of claims 1 to 3, wherein said tightening assembly comprises three spindles each securely fastened to identical gears, said driving spindle including the shaped drive part being kinematically linked to each of two other tightening spindles, by a kinematic chain of an odd number of gears, identical to gears securely fastened to the three tightening spindles and meshing successively with one another and with the gears of the tightening spindles. 6. Device according to claim 5, wherein each of the kinematic chains for driving said tightening spindles includes three gears securely fastened to shafts mounted so as to idle on said mounting plate of said tightening assembly. 7. Device according to claim 5, wherein said tool for rotationally driving said driving spindle including said shaped part is a torque wrench. 8. Device according to claim 5, wherein said tightening assembly includes two manipulating handles attached to said mounting plate. 9. Device according to claim 1, wherein said removable supporting end piece includes a top support surface containing at least three recesses receiving supporting ends of said lifting screws of said pressure plate.
abstract
A pipeline shut-off device (10) comprising a tube section (10a) arranged in a pipeline (3) and having a shut-off member (19), wherein the shut-off member (19) can be destroyed in the closed position by a device (22) arranged in the region of the pipe section (10a), with the device for destroying the shut-off member (19) being able to be actuated from the outside.
047939630
summary
CROSS REFERENCE TO RELATED APPLICATION Reference is hereby made to the following copending U.S. patent applications dealing with related subject matter and assigned to the same assignee of the present invention: 1. "Universal Fuel Assembly Construction for a Nuclear Reator" by E. E. DeMario et al, assigned U.S. Ser. No. 708,190 and filed Mar. 4, 1985 (W.E. 52,326). 2. "Apparatus And Method For Loading Fuel Rods Into Grids Of A Fuel Assembly" by E. E. DeMario et al, assigned U.S. Ser. No. 717,263 and filed Mar. 28, 1986. (W.E. 52,310). BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear reactors and, more particularly, is concerned with a system and method for interchanging and rearranging cluster assemblies of fresh and burnt fuel within fuel assemblies containing fresh and burnt fuel to improve fuel productivity. 2. Description of the Prior Art A typical pressurized water nuclear reactor contains a large number of fuel assemblies in its core. Each fuel assembly is substantially identical to the next except for the fuel enrichment of the individual assembly. In order to optimize the fuel burnup and smooth the radial neutron flux profile across the reactor core, historically a zoned enrichment pattern has been used. Particularly, fuel contained in assemblies located in regions nearer to the periphery of the core is somewhat more enriched than fuel contained in assemblies located in regions nearer to the center of the core. After a given core cycle, such as a year, fuel assemblies in a higher enrichment core region are shuffled into a lower enrichment region, while new fuel assemblies are added to the highest enrichment region and depleted or burned out assemblies are removed from the lowest enrichment region. Notwithstanding their variation in fuel enrichment, all of the fuel assemblies in the reactor core have the same construction. Basically, each fuel assembly is composed of a bottom nozzle, a top nozzle, an instrumentation tube and pluralities of guide thimbles, fuel rods and grids. For instance, in one exemplary fuel assembly, the fuel rods are arranged in a square 17 by 17 array with 17 rod locations per side. Of the total possible 289 rod locations per assembly, 264 locations contain fuel rods. In addition to the single bottom nozzle, top nozzle and instrumentation tube, there are 24 guide thimbles and 8 grids. The structural skeleton of the fuel assembly is composed of the bottom and top nozzles and the plurality of guide thimbles which extend vertically between the bottom and top nozzles and rigidly interconnect them. In addition to their shared function of providing the fuel assembly with a rigid skeleton, each one serves other functions. The bottom nozzle directs the distribution of upward coolant flow to the fuel assembly. The guide thimbles provide channels through the fuel assembly for insertion of control-type rods therein. The top nozzle provides a partial support platform for the spider assembly mounting the respective control rods. The top nozzle also has openings which permit upward flow of coolant through it. Also, the bottom and top nozzles respectively act to prevent either downward or upward ejection of a fuel rod from the fuel assembly. The grids and fuel rods are not structural parts of the fuel assembly but instead are respectively supported directly and indirectly by the guide thimbles. The grids are attached in axially spaced positions along the guide thimbles such that the multiplicity of cells defined by interleaved straps of the respective grids are disposed in vertical alignment. The fuel rods are supported in an organized and transversely spaced array in the vertically aligned cells of the transverse grids by springs and dimples on the straps which extend into the cells. Each fuel rod contains nuclear fuel pellets and the opposite ends of the rod being closed by upper and lower end plugs are spaced below the top nozzle and above the bottom nozzle. The fuel pellets composed of fissile material are responsible for creating the reactive power of the reactor which is transferred in the form of heat energy to coolant flowing upwardly through the fuel assembly. The guide thimbles are larger in diameter than the fuel rods and, as mentioned above, provide channels adapted to accommodate various types of control rods used in controlling the reactivity of the nuclear fuel. A more detailed description of this typical fuel assembly and the types of rods insertable in the guide thimbles thereof may be gained from U. S. Pat. No. 4,432,934 to Robert K. Gjertsen et al, which patent is assigned to the assignee of the present invention. While the guide thimbles accommodate various types of control rods, fuel rods cannot be placed in them when they are not being otherwise used since the fuel rods would overheat due to lack of enough remaining space within the guide thimble to accommodate sufficient coolant to carry the heat away. Thus, the conventional fuel assembly has a significant number of its rod locations, approaching ten percent in the example above, dedicated to nonfuel use. Even more, since not all fuel assemblies in the reactor core require control rods (about two-thirds of the fuel assemblies in a typical core do not), nonfuel rod locations in many regions of the core go unused which results in reduced power output, increased fuel cycle costs, reduced fuel assembly life and a suboptimum fuel loading configuration. Also, while the interconnections provided by the guide thimbles provide a rigid skeleton of high structural integrity, the large number of thimbles increases the difficulty of top nozzle removal and remounting in carrying out fuel assembly reconstitution. Consequently, a need exists for a fresh approach to fuel assembly design which would avoid or reduce some of the limitations and shortcomings inherent in the conventional fuel assembly construction described above and enhance its adaptability without sacrificing its structural integrity. SUMMARY OF THE INVENTION The preferred embodiment of the fuel assembly, as described herein, includes several improved features which meet the aforementioned needs. While the improved features are particularly suited for working together to provide a more universal fuel assembly construction adapted to be tailored to various operating conditions found in different regions of the reactor core, it is readily apparent that some of such features may be incorporated either singly or together in this or other fuel assembly constructions. Some of the several improved features comprise inventions claimed in other copending applications, cross-referenced above; however, all of the improved features are illustrated and described herein for facilitating a complete and thorough understanding of those of the features comprising the present invention. The present invention relates to those features incorporated into the fuel assembly which provide a new fuel loading scheme in a reactor core that increases productivity in terms of a reduction in fuel cycle costs, an extension of burned fuel assembly life and an increase in capacity for longer cycles. Heretofore, the ordinary practice was to load a fuel assembly with all fresh fuel rods or with all burnt fuel rods into the reactor core. The improved fuel assembly construction comprising the invention claimed in the first patent application cross-referenced above facilitates the use of not only different cluster assemblies in different fuel assemblies, such as control rod cluster assemblies (and fuel rod cluster assemblies,) but more importantly the use of a different concentration of fuel in one given cluster assembly as compared to the fuel in another cluster assembly. Now, it is possible to use a cluster assembly with burnt fuel in a fresh fuel assembly and a cluster assembly with fresh fuel in a burnt fuel assembly. Accordingly, the present invention sets forth in a nuclear reactor core having a multiplicity of fuel assemblies, a system of fuel interchange between the fuel assemblies, comprising: (a) a first plurality of fuel assemblies containing an array of fresh fuel therein; (b) a first plurality of cluster assemblies containing an array of burnt fuel therein, at least some of the cluster assemblies of the first plurality thereof being inserted in the fuel assemblies of the first pluralities thereof; (c) a second plurality of the fuel assemblies containing an array of burnt fuel therein; and (d) a second plurality of cluster assemblies containing an array of fresh fuel therein, at least least some of the cluster assemblies of the second plurality thereof being inserted in the fuel assemblies of the second plurality thereof. The present invention also relates to a method of fuel interchange between the fuel assemblies, comprising the steps of: (a) inserting a cluster assembly of a first plurality thereof containing an array of burnt fuel into a fuel assembly of a first plurality thereof containing an array of fresh fuel; and (b) inserting a cluster assembly of a second plurality thereof containing an array of fresh fuel into a fuel assembly of a second plurality thereof containing an array of burnt fuel. More particularly, the fuel assemblies in each plurality thereof have an array of elongated fuel rods therein and a plurality of empty elongated channels defined in an array being interspaced within the array of fuel rods. The cluster assemblies in each plurality thereof contain an array of elongated fuel rods which are disposed in a pattern which matches that of the channels interspaced within the array of fuel rods in the fuel assemblies. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention.
040627250
claims
1. A moveable control rod having first and second ends for the control of power oscillations in a nuclear reactor having a core with an active length L, said control rod comprising: a. a first portion of said control rod's first end comprising a first neutron poison with a length no longer than 20 percent of the length L; b. a second portion at said control rod's second end comprising a second neutron poison, said second neutron poison having a smaller macroscopic absorption cross-section than said first neutron poison and having a high number density but having a low microscopic neutron absorption cross-section; and c. a third portion between said first and second portions, said third portion comprising a material which is substantially non-neutron absorbing relative to said first and second portions, and the sum of the lengths of said first, second, and third portions being substantially equal to the length of the core's active length L. a. a first portion at said control rod's first end comprising a first neutron poison, said first poison having a length no longer than 20 percent of the length L; b. a second portion at said control rod's second end comprising a second neutron poison different from said first neutron poison, said second neutron poison having a length between the range of 25 percent of the length of L and 55 percent of the length of L; and c. a third portion between said first and second portions, said third portion comprising a material which is essentially non-neutron absorbing relative to said first and second portions, and the sum of the lengths of said first, second, and third portions being substantially equal to the length of the core's active length L. 2. The control rod as recited in claim 1 wherein said second portion has a length between the range of 25 percent of the length L and 55 percent of the length L. 3. The control rod as recited in claim 2 wherein said second portion has a length equal to 50 percent of the length L. 4. The control rod as recited in claim 3 wherein said first portion includes boron carbide (B.sub.4 C). 5. The control rod as recited in claim 4 wherein said non-absorbing material is a hydrogeneous material. 6. The control rod as recited in claim 5 wherein said hydrogeneous material is water. 7. The control rod as recited in claim 6 wherein said second portion includes Inconel 625. 8. The control rod as recited in claim 6 wherein said second portion includes Inconel 600. 9. The control rod as recited in claim 6 wherein said third portion includes Inconel 625. 10. A moveable control rod having first and second ends for the control of power distribution in a nuclear reactor having a core with an active length L, said control rod comprising: 11. The control rod as recited in claim 10 wherein said non-absorbing material includes an hydrogeneous material. 12. The control rod as recited in claim 11 wherein said hydrogeneous material includes water. 13. The control rod as recited in claim 12 wherein said second neutron poison has a smaller macroscopic absorption cross-section than said first neutron poison with a high number density but with a low microscopic neutron absorption cross-section. 14. The control rod as recited in claim 13 wherein said second portion has a length equal to 50 percent of the length L. 15. The control rod as recited in claim 14 wherein said first portion includes boron carbide (B.sub.4 C). 16. The control rod as recited in claim 15 wherein said second portion includes Inconel 625. 17. The control rod as recited in claim 15 wherein said second portion includes Inconel 600.
051329948
claims
1. An X-ray microscope comprising: an X-ray source; a converging optical system collecting radiation emitted from the X-ray source; a stage on which an object illuminated by X rays from the X-ray source is placed; an objective optical system collecting radiation from the object; a detector for receiving radiation through the objective optical system, said detector having sensitivity with respect to radiation of wavelengths ranging from an X-ray region to a vacuum ultraviolet ray region; wherein a first filter means for eliminating long wavelength components from the radiation emitted from said X-ray source is disposed in an optical path extending between said X-ray source and said detector; and a second filter means for eliminating short wavelength components from the radiation emitted from said X-ray source is disposed in said optical path. 2. The microscope according to claim 1, wherein said converging optical system is one of a Walter optical system, a Schwarzschild optical system and a zone plate. 3. The microscope according to claim 1, wherein said second filter means has a property of eliminating components of short wavelengths of less than 10 .ANG.. 4. The microscope according to claim 1, wherein said first filter means is an absorption filter absorbing a part of the radiation emitted from said X-ray source and said second filter means is a grazing incidence mirror reflecting a part of the radiation emitted from said X-ray source. 5. The microscope according to claim 4, wherein said source, said converging optical system and said detector are arranged in vacuum vessels so that a sample is irradiated with X rays through a first window provided in one of said vessels and said detector receives the X rays from the sample through a second window provided in the other, and at least one of said first and second windows constitutes said first filter means. 6. The microscope according to claim 5, wherein said sample is arranged in the atmosphere interposed between said windows and a layer of the atmosphere constitutes said first filter means. 7. The microscope according to any one of claims 1, 4, 5 or 6, wherein said first filter means has a property of eliminating components of long wavelengths of more than 100 .ANG.. 8. The microscope according to claim 6, wherein said first filter means is set to a cutoff wavelength of nearly 41 .ANG.. 9. The microscope according to claim 4, wherein said first filter means is set to a cutoff wavelength of nearly 55 .ANG.. 10. The microscope according to claim 4, wherein said second filter means is set to a cutoff wavelength of nearly 18 .ANG.. 11. The microscope according to claim 4, wherein said first filter means includes a layer made of one of Fe, Ni, Al and Be. 12. The microscope according to claim 4, wherein said second filter means comprises a reflecting mirror made of Pt. 13. The microscope according to claim 4, wherein said first filter means is set to a cutoff wavelength of nearly 41 .ANG.. 14. The microscope according to claim 6, wherein said first filter means is set to a cutoff wavelength of nearly 60 .ANG.. 15. The microscope according to claim 4, wherein said second filter means is set to a cutoff wavelength of nearly 15 .ANG..
052532780
abstract
A fuel assembly included a plurality of fuel rods, fuel spacers for maintaining gaps between the fuel rods and a channel box. The channel box includes spacer support portions projecting inwardly from an inner surface of the channel box and supporting the fuel spacer in a transverse direction and creep deformation inhibition portions disposed at the lower end portion of the channel box and projecting inwardly. The distance between the spacer support portions disposed to oppose one another in a horizontal direction is smaller than the distance between the creep deformation inhibition portions opposing one another in the horizontal direction.
description
This application is a continuation of U.S. patent application Ser. No. 12/553,368, filed on Sep. 3, 2009 (now allowed), which claims the benefit of priority from U.S. Provisional Patent Application Ser. No. 61/136,428, filed on Sep. 4, 2008, from U.S. Provisional Patent Application Ser. No. 61/136,451, filed on Sep. 5, 2008, and from U.S. Provisional Patent Application Ser. No. 61/193,814, filed on Dec. 24, 2008, the contents of all of which are incorporated herein by reference in their entireties. The present invention relates to a lithographic apparatus and a method for producing extreme ultraviolet radiation. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that example, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. including part of one or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning” direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1): CD = k 1 * λ NA PS ( 1 ) where λ is the wavelength of the radiation used, NAPS is the numerical aperture of the projection system used to print the pattern, k1 is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in several ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NAPS, and/or by decreasing the value of k1. In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation sources are configured to output a radiation wavelength of about 13 nm. Thus, EUV radiation sources may constitute a significant step toward achieving small features printing. Such radiation is termed extreme ultraviolet or soft x-ray, and possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings. The source of EUV radiation is typically a plasma source, for example a laser-produced plasma or a discharge source. When using a plasma source, contamination particles are created as a by-product of the EUV radiation. Generally, such contamination particles are undesired, because they may inflict damage on parts of the lithographic apparatus, most notably mirrors which are located in a vicinity of the plasma source. It is desirable to mitigate the damage inflicted by the contamination particles. In an embodiment of the invention, there is provided a radiation source configured to produce extreme ultraviolet radiation, the radiation source comprising a chamber in which, in use, a plasma is generated, and an evaporation surface configured to evaporate a material formed as a by-product from the plasma that is emitted. According to an embodiment of the invention, there is provided a radiation source, the radiation source being configured to produce extreme ultraviolet radiation, the radiation source comprising a chamber in which, in use, a plasma is generated, a collector mirror configured to focus radiation emitted by the plasma to a focal point, and a heating system and an evaporation surface, the heating system constructed and arranged to maintain the evaporation surface at a surface temperature, such that a pressure in a direct vicinity of the surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of a material which, in use, is emitted by the plasma as a by-product. The radiation source is, for instance, a discharge-produced plasma source or a laser-produced plasma source. The collector mirror may be a normal-incidence collector mirror. According to another embodiment of the invention, there is provided a radiation source configured to produce extreme ultraviolet radiation, the radiation source including a chamber in which, in use, a plasma is generated and an evaporation surface configured to evaporate a material formed as a by-product from the plasma and that is emitted to the evaporation surface. Typically, the material may consist of micro-particles having a diameter in the range of 0.01-5 microns. The radiation source may be configured to produce extreme ultraviolet radiation, the radiation source further including a gas injector arranged to inject a gas flow into the chamber at an edge of the evaporation surface. Desirably, the radiation source is a laser-produced plasma source or a discharge-produced plasma source. The chamber may contain hydrogen. In an embodiment of the invention, the evaporation surface is located at a location in a direction relative to the plasma, the direction being a direction in which more of the material is emitted than in the other directions. In an embodiment, the radiation source may further include a fuel material supply constructed and arranged to supply a fuel material and a laser source arranged to irradiate the fuel material to generate the plasma. Usually, the material emitted as the by-product includes the fuel material or is the fuel material. In an embodiment, the pressure of the material emitted as the by-product may be selected from the range of about 1 Pa to about 100 kPa. Also optionally, the temperature of the evaporation surface may be selected from the range of about 1475 K to about 2900 K. In an embodiment, the surface may comprise one or more materials selected from the group consisting of Mo, W, and Re. Additionally or alternatively, the evaporation surface may, at least partially, be a rough surface. The evaporation surface may have a root mean square roughness of between 10 nm and about 1 mm, desirably between about 50 nm and about 10 μm. The radiation source may include an outlet constructed and arranged to divert material evaporated by the evaporation surface. Such an outlet may further be connected to a pump constructed and arranged to divert material evaporated by the evaporation surface. The radiation source may include a body having an inner wall and an outer wall. The inner wall may include a low-emissivity material. The outer wall may include a high-emissivity material. The body may be conically-shaped. According to an embodiment there is provided a method for removing a by-product material in or from a plasma radiation source of a lithographic apparatus, the method comprising: evaporating a material which, in use, is emitted to an evaporation surface from the plasma. According to an embodiment of the invention, there is provided a method for removing a by-product material in Or from a plasma radiation source of a lithographic apparatus, the method comprising using a heating system and an evaporation surface, the heating system being constructed and arranged to maintain the evaporation surface at a surface temperature, wherein a pressure in a direct vicinity of the surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the by-product material. According to an embodiment of the invention, there is provided a method for removing a material emitted as a by-product in or by a plasma radiation source, the method comprising maintaining an evaporation surface at a surface temperature, wherein a pressure in a direct vicinity of the evaporation surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the material. According to an embodiment of the invention, there is provided a device manufacturing method including generating a plasma that emits extreme ultraviolet radiation; collecting the extreme ultraviolet radiation with a collector mirror; converting the extreme ultraviolet radiation into a beam of radiation; patterning the beam of radiation; projecting the beam of radiation onto a target portion of a substrate; and removing debris produced by the plasma. The removing includes maintaining an evaporation surface at a surface temperature, wherein a pressure in a direct vicinity of the surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the debris. FIG. 1 schematically depicts a lithographic apparatus according to an embodiment of the present invention. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation). A patterning device support (e.g. a mask table) MT is configured to support a patterning device (e.g. a mask) MA and is connected to a first positioning device PM configured to accurately position the patterning device in accordance with certain parameters. A substrate table (e.g. a wafer table) WT is configured to hold a substrate (e.g. a resist-coated wafer) W and is connected to a second positioning device PW configured to accurately position the substrate in accordance with certain parameters. A projection system (e.g. a refractive projection lens system) PS is configured to project the patterned radiation beam B onto a target portion C (e.g. including one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, to direct, shape, or control radiation. The patterning device support MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The patterning device support may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” as used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. As here depicted, the apparatus is of a reflective type, for example employing a reflective mask. Alternatively, the apparatus may be of a transmissive type, for example employing a transmissive mask. The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather that liquid is located, for example, between the projection system and the substrate during exposure. Referring to FIG. 1, the illuminator IL receives radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation is passed from the source SO to the illuminator IL with the aid of a delivery system (not shown in FIG. 1) including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system. The illuminator IL may include an adjusting device (not shown in FIG. 1) configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator and a condenser (not shown in FIG. 1). The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the patterning device support (e.g., mask table) MT, and is patterned by the patterning device. After being patterned by the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PL, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioning device PW and a position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioning device PM and a position sensor IF1 (e.g. an interferometric device, linear encoder or capacitive sensor) can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the patterning device support (e.g. mask table) MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioning device PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioning device PW. In the case of a stepper, as opposed to a scanner, the patterning device pattern support (e.g. mask table) MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions. These are known as scribe-lane alignment marks. Similarly, in situations in which more than one die is provided on the patterning device (e.g. mask) MA, the patterning device alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the patterning device support (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the patterning device support (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the patterning device support (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PSL, In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the patterning device support (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 shows the lithographic apparatus in more detail, including a radiation system 42, an illumination optics unit 44, and a projection system PL. The radiation system 42 includes the radiation source SO. Embodiments of the source SO are depicted in FIGS. 3-6. The source SO is configured to produce extreme ultraviolet radiation which may be emitted by a plasma P. Such a plasma P may be produced by irradiating a droplet D (see FIG. 3) of a fuel material, such as tin (Sn) droplets which may be supplied by a fuel material supply SP (FIG. 3) constructed and arranged for this purpose. The radiation source SO may include a laser L, for instance a CO2 laser, to irradiate the droplet D. As a consequence, a hot plasma is created, for example by causing a partially ionized plasma to collapse onto an optical axis O. The hot plasma created emits radiation in the EUV range of the electromagnetic spectrum. This source may be referred to as a laser-produced plasma (LPP) source. The radiation source SO may comprise a source chamber 47. The source SO may also comprise a contamination trap 49 and a collector 50, however, the contamination trap 49 and collector 50 do not have to be part of the source SO. In the present example, the EUV radiation emitted by plasma P is reflected by the collector 50 to be focused to a focal point 52 via the gas barrier structure or contamination trap 49 which may be positioned in source chamber 47. The gas barrier structure/contamination trap 49 includes a channel structure such as described in detail in U.S. Pat. Nos. 6,614,505 and 6,359,969. In an embodiment, the chamber comprises hydrogen. In an embodiment, the gas in the chamber substantially only comprises hydrogen. The embodiment illustrated in FIG. 2 includes a spectral filter 51. For example, radiation reflected by the collector 50 may be reflected off a grating spectral filter 51 to be focused in the focal point 52. Instead of a grating spectral filter, a transmissive spectral filter may be applied, configured to transmit the EUV radiation. The radiation beam 56 thus formed is reflected in illumination optics unit 44 via normal incidence reflectors 53, 54 onto a patterning device (e.g. reticle or mask) positioned on patterning device support (e.g. reticle or mask table) MT. A patterned beam 57 is formed which is imaged in projection system PL via reflective elements 58, 59 onto substrate table WT. More elements than shown may generally be present in illumination optics unit 44 and projection system PL. The radiation collector 50 may be a collector as described in European Patent Application Publication No. EP1394612, which is incorporated herein by reference. In other embodiments, the radiation collector is one or more collectors selected from the group of: a collector configured to focus collected radiation into the radiation beam emission aperture, a collector having a first focal point that coincides with the source and a second focal point that coincides with the radiation beam emission aperture, a normal incidence collector, a collector having a single substantially ellipsoid radiation collecting surface section, and/or a Schwarzschild collector having two radiation collecting surfaces. In an embodiment, the radiation source SO may be a discharge-produced plasma (DPP) source. FIG. 3 depicts a more detailed view of the radiation source SO of FIG. 2. In operation, the supply SP provides droplets D, while the laser irradiates these droplets thereby forming a plasma P thus creating EUV radiation. As a by-product, the plasma P may produce debris in the form of atoms, ions or micro-particles MP. Micro-particles, although generally several orders of magnitude smaller than droplet D, are liquid material themselves and can thus be regarded as further droplets. For example, the micro-particles (or just “particles”) can have a diameter in the range of about 0.01 μm to 5 μm, for example, about 0.01 μm to about 0.1 μm. The radiation source SO of FIGS. 2 and 3 is further provided with an evaporating element 60, for example a plate 60, having an evaporation surface 62. The evaporating element can have various shapes and dimensions, and may be located in various positions and orientations. Some advantageous examples of the evaporating element 60 will be described below. For example, the surface 62 may be provided by several materials, for example molybdenum (Mo), tungsten (W), and rhenium (Re), or other materials. The evaporation surface 62 may be a substantially smooth surface, however, the surface is preferably a rough surface. For example the surface 62 can be provided with a surface enlarging structure or configuration, for example by having surface enlargement fins or a roughening structure. It is desirable that the surface 62 is provided with a particle capturing structure, for example by providing a porous surface 62, or a surface having a particle capturing mesh. Moreover, the surface 62 can be provided with a divider structure, for example having sharp edges, to split relative large incoming particles into smaller ones, before being evaporated. In this way, a very efficient particle evaporation is expected to be achieved. The evaporation surface 62 is configured to mitigate the debris particles during operation, particularly by evaporating the particles upon impact. For example, a heating system 64 may provided, for example comprising a heating device, for example a resistor 68 or another suitable heating device, located inside the plate 60. In addition, heating system 64 may be provided with a temperature sensor 70 arranged to measure the temperature of the evaporation surface and/or a pressure sensor 72 constructed and arranged to measure a pressure in a direct vicinity of the surface. A power supply 66 can be provided, to power the heating device 68. Also, an outlet 74 is provided which is in a fluid connection with a pump 76. In the present embodiment, heat can be supplied to the evaporating surface utilizing a heating device 68 that is located near the surface (for example by being integrated in the constructional element 60 that provides the surface 62). In an embodiment, an external heating device can be applied, for example a heat radiating device configured to transmit heat radiation to the evaporating surface, or to the element 60. For example, the surface 62 (or another part of the element 60) can be configured to substantially absorb such radiation, in order to heat up the evaporation surface 62. Similarly, the temperature sensor can be located near the evaporating surface 62 (for example by being integrated in the constructional element 60). In an embodiment, one or more external sensors can be applied, for example a pyrometer, to detect the temperature at or near the evaporating surface 62. In a non-limiting embodiment, the heating system may be constructed and arranged to maintain the evaporation surface 62 at a surface temperature, such that a pressure in a direct vicinity of the surface 62 is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the particle material MP which, in use, is emitted by the plasma P as a by-product (towards the surface 62). During operation, the heating system 64 may heat the element 60 such that the temperature of the evaporation surface 62 is at a temperature, wherein the pressure measured by the pressure sensor is below a vapor pressure for the evaporation surface temperature. In other words, during operation, the evaporation surface 62 is maintained at a high temperature to evaporate the inbound particles MP. In this way, a micro-particle incident on the evaporation surface may be evaporated substantially instantaneously. Subsequently, the resulting gas, resulting from the evaporation of the particle MP, is desirably diverted away from the collector chamber 47, for example by pump 76 via outlet 74. For example, a typical Sn droplet diameter may be about 50 μm. Because the specific heat capacity and the evaporation enthalpy are known for liquid Sn, it can be calculated that about 1.5 mJ per droplet is desired to evaporate Sn. At a rate of 50,000 droplets per second, this results in a power of about 75 W. Particles created in the plasma P will be emitted in all directions, for example by scattering in the full 4 Pi. However, more particles are typically emitted in a certain direction than in others. For example, most particles have a preferred scattering direction. This direction is determined by the superposition of forces caused by gas flow, gravitation, and a laser pointing vector (for example the laser direction and intensity). Adding this to the initial droplet momentum results in a vector indicating the direction from the plasma in which more of the material is emitted than in other directions. Desirably, the evaporation surface 62 is located in this direction when viewed from the plasma P. Table 1 discloses several vapor pressures for certain surface temperatures, indicating that the tin (Sn) boiling temperature reduces with lower vapour pressure. The table indicates variation of the temperature of the evaporation surface 62 from about 1475 K to about 2900 K, and respective Sn vapor pressures varying between about 1 Pa and about 100 kPa, i.e. several orders of magnitude. Suitable evaporation surface materials that can be heated to temperatures within this range are molybdenum (Mo), tungsten (W), and rhenium (Re). TABLE 1P(Pa)1101001k10k100kat T(K)149716571855210724382893 A modification of the radiation source is depicted in FIG. 4. In this modification, a cone-shaped outlet 74 is provided. In addition, one or more cooling rings 78 are provided inside the cone-shaped outlet 74, also referred to as an extraction cone. Of course, instead of a cooling ring 78, an additional or other cooling device may be provided. For instance, a cooling spiral may be provided on the inside of the cone-shaped outlet 74. Operation of the FIG. 4 embodiment includes a cooling of the gas flow flowing through the outlet 74, such, that evaporated debris (present in the gas flow) can condense. Resulting liquid debris material can be caught by the outlet 74 itself (for example by the cooling rings 78), for example to recycle the material. Also, the rings 78 can prevent liquefied debris material to flow back towards the source vessel. A device to recycle the evaporated debris particles MP can also be configured in a different manner. For example, a liquefying system to liquefy (and preferably collect) the evaporated particle material can be located upstream with respect to the outlet 74, for example between the pump 76 and the outlet 74, or in a different location. Another modification of the radiation source is disclosed referring to FIG. 5, and desirably comprises double sided walls. Compared to the radiation source of FIGS. 3 and 4, the radiation source disclosed referring to FIG. 5 is quite similar. However, the evaporation surface 62 is provided on a conically-shaped body 80 having an inner surface 82 and an outer surface 84. For the sake of clarity, only the plasma P and the body 80 are depicted in FIG. 5. The evaporation surface 62 of the conically-shaped body is located on the inner surface 82 of the conically-shaped body 80. In order to improve a thermal property of the conically-shaped body 80, the inner surface 82 may be provided with a low-emissivity material and/or the outer surface 84 may be provided with a high-emissivity material. A well-polished metal, such as polished molybdenum (Mo) or tungsten (W), may be used as the low-emitting material. A ceramic material may be used as the high-emitting material. The outside wall 84 is preferably cooled. In this way the side wall heat transfer can be significantly limited, thus decreasing the power needed to put in (to heat the evaporation surface). To help ensure a proper heat transfer between the evaporation surface 62 and micro-particles D that are incident on the evaporation surface 62, the evaporation surface 62 may at least partially be a rough surface. For example, the surface 62 can be made micro rough, to increase sticking and residence time of incoming debris particles. For instance, the surface 62 may have a root mean square (RMS) roughness of between about 10 nm and about 1 mm, desirably between about 50 nm and about 10 μm. For example, the surface can be both macro and micro rough. The rough surface can increase sticking and residence time significantly. In an embodiment, the evaporation surface 62 may be a surface of the gas barrier structure/contamination trap 49. In an embodiment, the conically-shaped body 80 with the evaporation surface 62 may be part of the gas barrier structure/contamination trap 49. In an embodiment, hydrogen radicals may be generated and provided at a location proximate a surface (e.g., a surface of the plate 60, a surface of a collector mirror) such that the hydrogen radicals react with debris deposited on the surface. FIG. 6 depicts another example of the radiation source. Compared to the radiation source of FIGS. 3 and 4, the radiation source disclosed referring to FIG. 6 is, again, quite similar. The embodiment according to FIG. 6 provides reduced reflection of particles, especially on the edges EV of the vaporizer, located near a plasma creation region (see drawing). Particularly, the FIG. 6 embodiment can reduce chances that particles scattered on these locations are stopped by a regular buffer gas flow, and may prevent such particles from reaching the collector. The source may be provided with a gas injector arranged to inject a gas flow into the source chamber at an edge EV of the evaporation surface 62. The gas injector can be arranged in various ways. For example, in an embodiment, the injector is configured to feed gas along an outer surface of the debris particle vaporizer element 80, towards and along a proximal (in this case circular) edge of that element 80. For example, the gas injector can be configured to inject a ring-shaped gas curtain, into the source chamber. In an embodiment, a pump may be provided (not shown in FIG. 6) to remove evaporated particles from the interior of the vaporizer 80; a respective pumping flow (towards the pump, and away from the collector 50) is indicated by arrows PD in FIG. 6. In the present embodiment, the pumping flow PD draws the gas curtain, injected by the injector, inwardly, towards the plasma P and into the vaporizer, to be exhausted via the vaporizer towards the pump. Thus, the gas curtain can substantially enclose the proximal edge EV of the vaporizer element 80, to shield the edge from the opposite collector's surface. Besides, debris captured and/or decelerated by the gas curtain, can be pumped away as well (via the vaporizer interior). For example, the gas injector can include a secondary element 92, extending around a debris particle vaporizer element (for example cone) 80. With this secondary element 92, backfill gas can be supplied to the edge region where the particles have a relatively high scattering chance. A respective gas flow of the backfill gas is indicated by arrows GS in FIG. 6. The gas is desirably an inert gas with a high mass (comparable to tin), e.g. Argon, Krypton, Xenon. Desirably, the injector is configured to supply the case to all parts of the edge EV, for example in the form of a gas curtain. Collisions of the backfill gas atoms with a debris particle which, in use, is emitted to that surface from the plasma, will lead to a higher energy transfer and thus to a more efficient mitigation of the debris. By injecting the gas locally, gas flow can be inserted directly at/along the critical edge region of the vaporizer, and does not have to cross the distance from gas cone to tin vaporizer, or from collector to tin vaporizer. Also, a relatively little amount of gas is required, and it is present only where needed. A relatively low partial pressure of gas is required leading to little absorption of EUV light. The cone can be designed such that it does not block EUV light. Also, in the present embodiment, a relatively large amount of the gas will be removed by a (relative) enormous flow of buffer gas flowing through the same region. In an embodiment, there is provided a radiation source configured to produce extreme ultraviolet radiation, the radiation source comprising: a chamber in which, in use, a plasma is generated; a collector mirror configured to focus radiation emitted by the plasma to a focal point; and a heating system and an evaporation surface, the heating system constructed and arranged to maintain the evaporation surface at a surface temperature, such that a pressure in a direct vicinity of the evaporation surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of a material which, in use, is emitted by the plasma as a by-product. In an embodiment, the collector mirror is the first optical element in an optical path of the radiation emitted by the plasma. In an embodiment, the heating system comprises a pressure sensor configured to measure the pressure in the direct vicinity of the evaporation surface. In an embodiment, the heating system comprises a temperature sensor configured to measure the temperature on the evaporation surface. In an embodiment, the heating system further comprises a pressure sensor configured to measure the pressure in the direct vicinity of the evaporation surface, and wherein the heating system is configured to heat the evaporation surface when the pressure measured by the pressure sensor is below the vapor pressure at the temperature measured by the temperature sensor. In an embodiment, the surface comprises one or more materials selected from the group consisting of Mo, W, and Re. In an embodiment, the radiation source further comprises an at least partially conically-shaped body, the at least partially conically-shaped body comprising the evaporation surface. In an embodiment, the temperature of the evaporation surface is in the range of about 1475 K to about 2900 K. In an embodiment, there is provided a lithographic apparatus comprising: a radiation source configured to produce extreme ultraviolet radiation, the radiation source comprising a chamber in which, in use, a plasma is generated, a collector mirror configured to focus radiation emitted by the plasma to a focal point, and a heating system and an evaporation surface, the heating system constructed and arranged to maintain the evaporation surface at a surface temperature, such that a pressure in a direct vicinity of the evaporation surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of a material which, in use, is emitted by the plasma as a by-product; a support configured to support a patterning device, the patterning device being configured to pattern the extreme ultraviolet radiation into a patterned beam of radiation; and a projection system configured to project the patterned beam of radiation onto a substrate. In an embodiment, there is provided a radiation source configured to produce extreme ultraviolet radiation, the radiation source comprising: a chamber in which, in use, a plasma is generated; and an evaporation surface configured to evaporate a material formed as a by-product from the plasma and that is emitted to the evaporation surface. In an embodiment, the evaporation surface is configured to be heated to a surface temperature, such that a pressure in a direct vicinity of the evaporation surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the material. In an embodiment, the radiation source further comprises a pressure sensor configured to measure the pressure in a direct vicinity of the evaporation surface. In an embodiment, the radiation source further comprises a temperature sensor configured to measure the temperature on the evaporation surface. In an embodiment, the radiation source further comprises a pressure sensor configured to measure the pressure in a direct vicinity of the evaporation surface, the radiation source further comprising a heating system configured to heat the evaporation surface when the pressure measured by the pressure sensor is below the vapor pressure at the temperature measured by the temperature sensor. In an embodiment, the surface comprises one or more materials selected from the group consisting of Mo, W, and Re. In an embodiment, the radiation source further comprises an at least partially conically-shaped body, the at least partially conically-shaped body comprising the evaporation surface. In an embodiment, the temperature of the evaporation surface is in the range of about 1475 K to about 2900 K. In an embodiment, there is provided a lithographic apparatus comprising: a radiation source configured to produce extreme ultraviolet radiation, the radiation source comprising a chamber in which, in use, a plasma is generated, and an evaporation surface configured to evaporate a material formed as a by-product from the plasma and that is emitted to the evaporation surface; a support configured to support a patterning device, the patterning device being configured to pattern the extreme ultraviolet radiation into a patterned beam of radiation; and a projection system configured to project the patterned beam of radiation onto a substrate. In an embodiment, there is provided a method for removing a material emitted as a by-product in or by a plasma radiation source, the method comprising: maintaining an evaporation surface at a surface temperature, wherein a pressure in a direct vicinity of the surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the material. In an embodiment, there is provided a method for removing a by-product material in or from a plasma radiation source of a lithographic apparatus, the method comprising: using a heating system and an evaporation surface, the heating system being constructed and arranged to maintain the evaporation surface at a surface temperature, wherein a pressure in a direct vicinity of the surface is below a vapor pressure for the surface temperature, the vapor pressure being the vapor pressure of the by-product material. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. It should be appreciated that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. The invention is not limited to application of the lithographic apparatus or use in the lithographic apparatus as described in the embodiments. Further, the drawings usually only include the elements and features that are necessary to understand the invention. Beyond that, the drawings of the lithographic apparatus are schematic and not to scale. The invention is not limited to those elements, shown in the schematic drawings (e.g. the number of mirrors drawn in the schematic drawings). Further, the invention is not confined to the lithographic apparatus described in FIGS. 1 and 2. The person skilled in the art will understand that embodiments described above may be combined. Further, the invention is not limited to protection against, for example, Sn from a source SO, but also additional or alternatives particles from other sources.
062787586
claims
1. A support grid of a nuclear reactor fuel assembly wherein the support grid has a plurality of first straps which are made of thin metal plate in the shape of a strip, and a plurality of second straps which are made of thin metal plate in the shape of a strip, the first and second straps each provided with slits extending widthwise for receiving the other of the straps and assembled so as to receive opposite straps in the slits of each strap to cross each other and thereby form an eggcrate structure, mixing vanes integrally formed on a side edge of the first and second straps adjacent to an area where the straps cross and in alignment with a slit, each of the mixing vanes being slanted to be adjacent to a nuclear reactor fuel rod to be placed through a grid cell of the eggcrate structure, characterized in that the mixing vanes have a welding aperture formed in a base portion of the vane on either side of the slits, a bend line at which the slanted surface of the mixing vane begins inclines with respect to the longitudinal axis of the strap so as to avoid the welding aperture, the mixing vane length of the first strap being set to be larger than the mixing vane length of the second strap.
053234332
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an apparatus for arranging short cylindrical bodies in general, and relates in particular to its application to a drying apparatus capable of changing the direction of transport of a plurality of pellets, and an associated inspection apparatus for inspecting the external surface quality of each pellet. 2. Technical Background of the Invention In general, the pellets which are charged into nuclear fuel rods are made by pressing a fuel powder, such as uranium dioxide, into cylindrical pellets of a short rod shape, and sintering the pressed pellets. In the process of producing such pellets, surface chips and other surface defects are sometimes produced on the external surfaces of the pellets. It is therefore necessary to inspect the surface quality of the pellets for the presence of surface defects. Conventionally, such inspections have been performed visually on every sintered pellet to eliminate defective pellets so as to assure the reliability of the reactor operation. However, such a manual inspection method is not only labor-intensive and time-consuming but also places a large mental stresses on the inspectors who carry out such tasks on a daily basis. To alleviate such problems, the present inventors have previously presented an inspection apparatus for inspecting the surface quality of the pellets based on image processing technology (U.S. Pat. No. 5,019,326). The inspection apparatus is usually associated with a wet grinding device. The pellets subjected to wet grinding are discharged continuously from the wet grinder with the axis of the short rod-shaped pellets aligned in the transport direction. To inspect the surface quality of the pellets efficiently, it is necessary to quickly change the direction of movement of the pellet from its axial direction to its radial direction in the inspection apparatus so that both the end surfaces and the side surface of the short rod-shaped pellets can be inspected. Also, for pellet handling purposes, the planar end surfaces of the pellets are provided with a pair of depressions (dish sections), which are prone to collecting moisture from the wet grinding operation. The moisture sometimes affects optical recording of the surface images, because of false surface signals from abnormal reflections and refractions caused by droplets of water collecting in the dish sections. The presence of water thus interferes with the proper optical inspection of the wet ground pellets, and it is necessary that the pellets be dried completely before optical inspection. SUMMARY OF THE INVENTION The present invention was made in view of the technological background presented above, and an object is to present an application of an apparatus for arranging short cylindrical bodies to drying of a plurality of pellets, in which the apparatus also serves as a device for changing the direction of transport of each pellet from an axial direction to a radial direction, so as to facilitate surface inspection of each pellet. The apparatus further includes a device for efficiently transferring the accepted pellets from surface inspection and arranging on a tray for storage. To achieve the above object, the present invention presents a drying apparatus comprising: a rotation disc of an approximately circular shape rotatable about a disc axis for redirecting each pellet of the plurality of pellets from an axial direction to a radial upward direction; a plurality of pellet pockets formed on the outer periphery of the rotation disc for housing each pellet of the plurality of pellets with the pellet axis lying in the axial direction; and a plurality of gas circulation devices having a plurality of gas circulation paths communicating with the plurality of pellet pockets. In the apparatus of such a construction, the pellets which are transported horizontally with the axis lying in the direction of the transport are housed in the pellet pocket formed around the periphery of the rotation disc. Accordingly, the direction of transport of the pellets can be changed quickly to a radial upward direction. At the same time, the gas circulation device circulates air flow around each pellet housed in the pellet pocket, thereby drying the pellets completely and efficiently, and promoting efficient inspection of the surfaces of the pellets. The apparatus further comprises: a pellet displacement monitor which determines changes in the position of the end surface of a pellet being transported in an axial direction; and a pellet transport control device for placing the pellet in a pellet direction change position so as to be housed in a pellet pocket of the rotation disc. Therefore, the speed of transporting the pellets is determined on the basis of the position of the end surface of a pellet being transported in the axial direction. The pellet is stopped at the pellet direction change position of the rotation disc. The direction of the transport of the pellet is thus efficiently changed from the axial direction to the radial upward direction. The apparatus is further provided with a control device for rotating the rotation disc through a specific angle when the pellet displacement monitor determines that the pellet has been placed in the pellet direction change position. Accordingly, when the pellet displacement monitor determines that the pellet has been placed in the pellet direction change position, the control device rotates the rotation disc through a specific angle so as to correctly house the pellet in the pellet pocket of the rotation device, and thereby changing the direction of transport of the pellet for further processing. The apparatus is further provided with a pellet position sensor which determines that a pellet to be housed in the pellet pocket of the rotation disc has been disposed in the pellet direction change position; and a control device for rotating the rotation disc through a specific angle when the pellet position sensor determines that the pellet has been placed in the pellet direction change position. Accordingly, it is doubly confirmed that the pellet which has been transported with the pellet axis lying in the axial direction has been placed in the pellet direction change position, thereby assuring that the position change step is performed efficiently without any potential error. The apparatus is further provided with equidistantly disposed pellet pockets on the circumferential periphery of the rotation disc. Accordingly, it is possible to transport a plurality of pellets in the radial upward direction at the specific timing. The apparatus is further provided with a plurality of ratchet devices between two neighboring pellet pockets equidistantly around the circumferential periphery with sharp teeth of the ratchet devices facing in the forward rotational direction of the rotation disc. Accordingly, the pellet stopped at the pellet direction change position is positively housed in a pellet pocket of the rotation disc. The apparatus is further provided with a plurality of gas circulation paths comprising a plurality of gas in-ports which direct a gas flow to end surfaces of the plurality of pellets. Accordingly, both end surfaces of the pellets which are difficult to dry completely can be dried efficiently by the directed air flow. The apparatus is further provided with a plurality of gas circulation paths comprise a plurality of gas out-ports so as to enable gas to sweep over the end surfaces of pellets. Accordingly, moisture collecting in the end surface of the pellets and particulate matters in the pellet pockets are exhausted and expelled out the rotation disc, thereby providing efficient drying of the pellets. The apparatus is constructed such that a plurality of gas in-ports are provided which direct a gas flow to end surfaces of pellets; and a plurality of gas out-ports which exhaust gas from opposing end surfaces of the plurality of pellets; wherein the gas in-ports and the gas out-ports are disposed on both end covers of the rotation disc in the radial direction of the rotation disc, and perform intaking and exhausting of gas so as to alternatingly direct the gas flow to both end surfaces of the plurality of pellets. Accordingly, the pellets which are being transported through a specific angle are moved from the left end cover to the right end cover alternatingly and subjected to the air flow so as to expose both end surfaces of the pellets to the air flow, thereby promoting to dry both end surfaces positively and efficiently. The apparatus is further provided with a gas passage groove having a length longer than a diameter of the end surface of the pellets. Accordingly, the pellet attaching to the end covers do not present barrier to removal of particular matters, thereby promoting the removal of moisture as well as particulate matters. The apparatus is further provided with a plurality of outflow ports which direct air flow against the side surfaces of the plurality of pellets housed in the pellet pockets. Accordingly, the air flow is directed to the side surfaces of the pellets, thereby promoting to dry the side surfaces of the pellets efficiently. In the apparatus of the present construction, the outflow ports are aimed at a bottom region of the pellets housed in the pellet housing pockets. The pellet in the pellet pocket is thus floated in the air flow, thereby facilitating the sideways movement of the pellets as well a the drying of the underside of the pellets. The apparatus for arranging short cylindrical bodies (represented by pellets), each pellet having two end surfaces and a side surface and moving from upstream process to downstream process, comprises: a pellet drying section comprising a rotation disc of an approximately circular shape rotatable about a disc axis for redirecting each of a plurality of pellets being transported from an axial direction to a radial upward direction; a plurality of pellet pockets formed on the outer periphery of the rotation disc for housing each pellet of the plurality of pellets in each of the plurality of pellet pockets; and a plurality of gas circulation devices having a plurality of gas circulation paths communicating with the plurality of pellet pockets; a pellet inspection section for recording surface conditions of the two end surfaces and the side surface of each of the plurality of pellets which have been dried in the pellet drying section and have been redirected from an axial direction to a radial upward direction, and for determining acceptance or rejection of each of the plurality of pellets based on recorded images; and grip transporting devices for transporting a plurality of pellets which have been determined to be acceptable in the pellet inspection section; a visual confirmation section for visually inspecting the external appearance of the plurality of pellets transported by the grip transporting devices; and a tray loading device for inserting a tray fully loaded with a plurality of pellets transported from the visual confirmation section by the grip transporting devices into a tray storage rack. According to the apparatus for arranging pellets of the above configuration, the pellets are dried as well as the direction of their transport is changed. In the inspection section, the end and side surfaces of the pellets are inspected, and the defective pellets are removed, leaving only the defect-free pellets to be transported further. The accepted pellets are inspected once more visually to confirm that the pellets are completely acceptable. The grip transporting device moves the pellets quickly and swiftly to a tray, and when the tray is fully loaded, it is stored by the tray loading device in a tray storage rack. Therefore, the pellets are accurately and efficiently changed in the direction of transport from an axial direction to a radial upward direction, and the accepted pellets are transferred to the tray and the loaded tray is simply stored in the tray storage rack. The pellet inspection section of the apparatus further comprises: an end-surface recording device which transports each of the plurality of pellets at a specific time interval, record end surface conditions of the two end surfaces and determines acceptance or rejection of each of the plurality of pellets; and a side-surface recording device comprising a pellet rotation device having a small diameter roller rotating in the same direction and at the same peripheral speed as a proximally-disposed large diameter roller having pellet discharge pockets, for supplying each pellet of the plurality of pellets between the small diameter roller and the large diameter roller at a specific time interval, recording the side surface condition of the side surface of each pellet of the plurality of pellets, and determines acceptance or rejection of each pellet of the plurality of pellets based on an linearly translated image of the side surface of each pellet. Accordingly, pellets are transported periodically and the end surface of the pellet is recorded successively for determining acceptance/rejection of the pellets. The pellet is then supplied periodically to a space between the small diameter roller and the large diameter roller which are rotating in the same direction and at the same peripheral speed, thereby rotating the pellet. The side surface of the rotating pellet is recorded from above with a side surface recording device, and the pellet is accepted/rejected on the basis of a linearly translated recording image. The surfaces of the pellet can thus be inspected efficiently and accurately to perform quality control of the pellets. The pellet inspection section of the apparatus is further provided with a vacuum suction device disposed between the small diameter roller and the large diameter roller. Accordingly, the pellet is firmly and stably held in place against the rollers by the suction force, thereby enabling to exhaust particulate matters and perform side surface inspection stably. The apparatus further comprises a pellet collection device, disposed upstream of the pellet drying section in a plurality of pellet transport routes, for collecting a plurality of pellets being transported horizontally, and a spacer device for separating a plurality of pellets being transported horizontally in close contact with each other. Accordingly, it is possible to collect pellets being transported in the axial direction before forwarding them to the pellet drying section. The spacer device permits the closely disposed pellets to become spaced apart, thereby enabling to send each pellet separately and periodically to the drying section. The apparatus is constructed so that the pellet collection device becomes activated when an operational problem arises in a downstream section of the pellet drying section. Accordingly, if an operational problem should arise in a region upstream to the drying section, the operation of the sections downstream to the drying section is stopped, and the collection operation of the pellets is commenced, thereby stopping the supply of pellets to a downstream section of the apparatus. In the upstream of the pellet drying section, the flow of pellets is not disturbed, and the productivity in the upstream of the pellet drying section is not affected.
summary
043303714
claims
1. In a nuclear reactor of the type including a reactor vessel and a core assembly to be maintained in a fixed position within said vessel, a structural arrangement also located in said vessel for supporting said assembly in said fixed position, said arrangement comprising a plurality of components interconnected with one another by both welded joints and mechanical interlocks so as to define a unitary structure which supports said core assembly in said fixed position and which has sufficient structural integrity to support said assembly in a limited downwardly deflected position if all of said welded joints should fail, said plurality of components including a first group of horizontally extending, spaced apart and substantially parallel lower I-beams and a second group of horizontally extending, spaced apart and substantially parallel upper I-beams extending transverse to and across each of the lower I-beams in said first group of specific crossing point whereby to form an overall grillage of I-beams, each of said upper I-beams being interconnected to all of said lower I-beams at their crossing points by welded joints and also by mechanical interlocks such that said lower I-beams will support said upper I-beams in said limited downwardly deflected position in the event of total failure of the welded joints interconnecting said I-beams said components also including a box girder extending circumferentially around said grillage of I-beams, each of said upper and lower I-beams having opposite end sections, each of which is welded to and mechanically interlocked with said box girder at a predetermined point along its circumference. 2. A structural arrangement according to claim 1 wherein said upper I-beams are positioned against said lower I-beams such that the welded joints connecting the lower I-beams are maintained in a state of compression. 3. A structural arrangement according to claim 2 wherein each of said lower I-beams includes a vertically extending, upwardly opening slot at each of its crossing points for receiving and interlocking with an adjacent section of an upper I-beam and wherein each of said upper I-beams includes a vertically extending, downwardly extending slot at each of its crossing points for receiving and interlocking with an adjacent section of a lower I-beam, the slots at each crossing point cooperating with each other such that the top and bottom sides of said I-beams are co-planar. 4. A structural arrangement according to claim 1 wherein said box girder includes an inner circumferentially extending plate including spaced vertical through slots and an outer circumferentially extending plate spaced outwardly from and connected with said inner plate and wherein the end sections of said I-beams extend into said girder through a corresponding one of said vertical slots so as to mechanically interlock with said girder. 5. A structural arrangement according to claim 1 wherein said box girder includes a downwardly facing, horizontally extending circumferential shoulder having an outermost peripheral edge and wherein said components include a circumferential skirt supported by and within said reactor vessel, said skirt having a vertically upwardly facing top end welded to said circumferential shoulder for supporting said girder and grillage of I-beams even if the welded connection between the girder and skirt should fail. 6. In a nuclear reactor of the type including a reactor vessel and a core assembly to be maintained in a fixed position within said vessel as well as control rod position indicators associated with said core assembly, a structural arrangement also located in said vessel for supporting said assembly in said fixed position, said structural arrangement comprising a plurality of components interconnected to one another by welded joints so as to define a unitary structure capable of supporting said core assembly within said vessel, said components also being mechanically interlocked such that a total failure of said welded joints will result in limited downward deflection of said unitary structure when supporting said core assembly while, at the same time, retaining sufficient structural integrity to maintain said assembly in a downwardly deflected but supported position, said downward deflection being sufficiently large to be detected by said control rod position indicators. 7. A structural arrangement according to claim 4 wherein said outer plate includes inwardly extending and upwardly facing shoulder means in confronting relationship with each of said slots and wherein the end sections of said I-beams extending through associated ones of said slots are configured to engage and rest on confronting ones of said shoulders so as to further mechanically interlock with said box girder. 8. A structural arrangement according to claim 7 wherein said outer plate displays a stepped cross-sectional configuration along its entire circumference so as to define said inwardly and upwardly facing shoulder means. 9. In a nuclear reactor of the type including a reactor vessel and a core assembly to be maintained in a fixed position within said vessel, a structural arrangement also located in said vessel for supporting said assembly in said fixed position, said arrangement comprising a plurality of components interconnected with one another by both welded joints and mechanical interlocks so as to define a unitary structure which supports said core assembly in said fixed position and which has sufficient structural integrity to support said assembly in a limited downwardly deflected position if all of said welded joints should fail, said plurality of components including a first group of horizontally extending, spaced apart and substantially parallel lower I-beams and a second group of horizontally extending, spaced apart and substantially parallel upper I-beams extending transverse to and across each of the lower I-beams in said first group at specific crossing point whereby to form an overall grillage of I-beams, each of said upper I-beams being interconnected to all of said lower I-beams at their crossing points by welded joints and also by mechanical interlocks such that said lower I-beams will support said upper I-beams in said limited downwardly deflected position in the event of total failure of the welded joints interconnecting said I-beams, said components also including a box girder extending circumferentially around said grillage of I-beams, each of said upper and lower I-beams having opposite end sections, each of which is welded to and mechanically interlocked with said box girder at a predetermined point along its circumference, said box girder including an inner circumferentially extending plate including spaced vertical through slots and an outer circumferentially extending plate spaced outwardly from and connected with said inner plate, in the end sections of said I-beams extending into said girder through a corresponding one of said vertical slots so as to mechanically interlock with said girder, said outer plate including an inwardly extending and upwardly facing shoulder means in confronting relationship with each of said slots, the end sections of said I-beams extending through an associated one of said slots being configured to engage and rest on confronting ones of said shoulders so as to further mechanically interlock with said box girder; and said outer plate also including downwardly facing outwardly extending circumferential shoulder means, said components including a circumferential skirt supported by and within said reactor vessel, said skirt having a vertically upwardly facing top end welded to said outwardly extending shoulder means for supporting said girder and grillage of I-beams even if the welded connection between said skirt should fail. 10. A structural arrangement according to claim 9 wherein said reactor includes control rod indicators associated with said core assembly and wherein said downwardly deflected position is sufficiently large to be detected by said control rod indicators.
summary
042736161
description
DESCRIPTION OF THE PREFERRED EMBODIMENT The FIGURE shows a portion of a typical pressurized water nuclear reactor fuel assembly 10 of the type more fully described in U.S. Pat. No. 4,059,483 issued Nov. 22, 1977, to Andrew J. Anthony, which is hereby incorporated by reference. The FIGURE shows a fuel rod 11 including a metal cladding 12 which encapsulates a column of hollow UO.sub.2 pellets 14 which are maintained in firm axial alignment by the spring 16 located at the top of the fuel rod. Top and bottom insulators 18 and 20, respectively, help to thermally isolate the UO.sub.2 pellets from the ends of the fuel rod. In modern commercial water-moderated reactors, a typical fuel rod 11 is approximately 14 feet long. A typical reactor may have over 200 fuel assemblies, each assembly having a uniform array of over 200 fuel rods 11 and each rod being supported and spaced apart from adjacent rods 11a,11b by a plurality of axially spaced grids 22. Typically, a fuel assembly has about eight grids, each one to two inches in height, but for convenience, only three are shown in the FIGURE. Each grid 22 has means for retaining each fuel rod 11,11a,11b. Such means typically include a spring 24 which contacts the adjacent fuel rod 11 at two points to urge the rod against a hard stop 26. The retaining means 24, 26 and the rest of the grid 22 are typically made of material such as Zircaloy or Inconnel. These metals are thermal neutron poisons, which parasitically absorb some of the thermal neutrons that are more desirably absorbed in the fuel pellets 14 to sustain the nuclear chain reaction. The grids 22 therefore produce slight flux depressions in the axial power distribution of each fuel rod 11. It is believed that during operation of the nuclear reactor, the thermal cycling of the UO.sub.2 pellets 14 and other factors contribute to the cracking of the pellets. Fuel material other than UO.sub.2 may also experience such cracking, and the invention is applicable to these fuels as well. The resulting debris may enter the passage 28, which in the prior art was uninterrupted over the entire length of the fuel rod 11. If enough debris accumulates at the lower end of the rod 11, the localized increase in the amount of the fuel material can produce a significant power peak. This is particularly true in water-moderated commercial reactors where the fissile pellet column is on the order of 12 feet high, and a small debris contribution from each pellet 14 in the column can amount to a large deposit in the bottom of the fuel rod. The possibility that each fuel rod 11 could experience differing amounts of debris accumulation may result in an operating power level penalty either because of larger uncertainties that must be accounted for, or because the measured power distribution significantly differs from that predicted during the design of the fuel. According to the present invention, spacer plugs 30 are interposed between proximate fuel pellets 14 at selected elevations in the fuel rod 11. Each plug 30 has a solid cross section at least as large as that of the passage 28 so that pellet debris 32 entering the passage 28 above the plug 30 will accumulate on the plug rather than falling to the bottom of the fuel rod. The plug preferably has a horizontal dimension similar to that of the fuel pellets 14 in order to avoid an adjacent pellet such as 14' from becoming cocked within the cladding 12 thereby increasing the stresses imposed by the fuel pellet 14' on the clad 12. An excellent plug material is graphite, which is a good moderator of neutrons. This moderating property is particularly desirable near the grids 22 where the thermal neutron flux has been depressed. Thus, in the preferred embodiment a plug 30 is located in the same horizontal plane as each grid 22 thereby helping to increase the thermal neutron flux in the vicinity of the adjacent fuel pellets 14'. In the typical commercial water reactor, each fuel rod 11 would thus have eight plugs which would divide the fuel column into nine relatively isolated segments, thereby greatly reducing the debris deposit 32 that can accumulate at any location within the rod 11. To assure that the pellet debris 32 will have the minimal effect on the axial power distribution of the fuel rod, the plug 30 should be located at substantially the same horizontal plane as the lower edge of the spacer grid 22 so that the debris 32 will form a deposit which remains within the upper and lower elevations of the grid. Since the flux is somewhat depressed between these elevations, the extra power generated by the debris 32 will not result in an excessive localized peak. It is also contemplated that other plug materials may be used. In particular, plugs 30 made from UO.sub.2 may be advantageous. Depending on the enrichment of the fuel pellets 14 and other factors commonly entering into the physics design of the fuel plugs 30 made from UO.sub.2 may be required to have an effective enrichment lower than that of the fuel pellets 14. Of course, whether the plug 30 is made of graphite, low enrichment UO.sub.2 or other fissile or fissionable pellets, or other material, the fuel assembly will contain less total enriched material than a conventional assembly not having the plug. This is not a significant disadvantage, however, since each fuel pellet being replaced in the conventional fuel rod was located next to a grid 22 and was therefore in a low importance location. Thus, the preferred embodiment of the present invention replaces the least important fuel pellets in each fuel rod with plugs 30 that provide a significant benefit in the avoidance of power distribution uncertainties and the associated licensing issues which would otherwise require extensive efforts to resolve.
053655559
summary
BACKGROUND OF THE INVENTION A boiling water reactor (BWR) includes a pressure vessel containing a nuclear reactor core above which is disposed a conventional steam separator assembly and in turn a steam dryer. The vessel is partially filled with water to a normal level above the core and within the steam separator assembly, with the core being effective for heating the water therein to generate steam which rises upwardly into the steam separator which removes a portion of moisture therefrom, with the steam dryer removing additional moisture prior to discharging the steam from the pressure vessel for powering a steam turbine for example. In order to maintain the normal level of water in the pressure vessel, the level must be monitored so that various systems may be actuated when required as the water level varies. In order to measure the water level, the fundamental fluid hydrostatic relationship between pressure differential relative to height in a liquid reservoir is used. A reference leg or pipe is joined to the pressure vessel above the water normal level and contains a substantially constant column height of water therein, and a variable leg or pipe is also joined to the vessel below the normal level with a conventional differential pressure monitor or level transmitter being joined between the two legs. By measuring differential pressure between the two legs, the level of the water in the vessel may be determined relative to the reference leg in a conventionally known manner. In order to passively maintain the constant height column of water in the reference leg, the reference leg includes a conventional cold condensing chamber at its top end which is joined to a downwardly inclined steam leg or pipe joined to the vessel. In this way, the steam in the pressure vessel flows upwardly through the steam leg and into the condensing chamber where it condenses to continually maintain the condensing chamber and reference leg joined thereto filled with water to a predetermined level, with any excess condensate in the condensing chamber spilling downwardly back into the vessel through the downwardly inclined steam leg. A typical BWR has many ranges of water level monitoring, and therefore corresponding variable legs and differential pressure monitors. In one design for example, one variable leg includes a pressure tap in the pressure vessel at an elevation below the inlet tap for the steam leg near the bottom of the steam separator, to which is joined a conventionally known narrow range (NR) monitor calibrated for accurately measuring water level as it varies within a relatively small percentage of the total height of the vessel or from the normal vessel water level. Another variable leg includes a pressure tap located in the vessel below the NR pressure tap near the top of the active fuel in the reactor core, to which is joined a conventionally known wide range (WR) monitor effective for measuring water level from the normal level or top of the NR range down to about the WR pressure tap. Yet another variable leg is provided with a pressure tap in the vessel at an elevation below the WR pressure tap and below the bottom of the active fuel in the reactor core near the bottom of the pressure vessel to which is joined a conventionally known fuel zone range (FZR) monitor effective for monitoring water level in the vessel down to about the FZR pressure tap. The monitors conventionally join each of these variable legs to the common reference leg in order to monitor water level relative thereto based on the differential pressures monitored from which the water level may be conventionally determined relative to the known water level in the reference leg. In this exemplary embodiment, the NR monitor is primarily used for feedwater control, certain reactor trips based on water level, and for the automatic depressurization system permissive. The WR monitor is used for reactor core trips associated with the main steamline isolation and emergency core cooling system. The FZR monitor is used for indicating water level in the core range, and for containment spray permissive in some plants. The three monitors are each calibrated for accurately monitoring water level within preferred ranges, with the ranges typically overlapping to ensure continuous water level monitoring throughout the entire elevation range as desired. Of course, various BWRs use various numbers of water level monitors for controlling operation of the reactor and various subsystems as required. However, all such conventional water level monitors use a variable leg joined to a common, constant reference leg by the differential pressure monitor for determining water level. Observations at certain operating plants have shown that the vessel water level monitors may spuriously read falsely high water levels for short periods during slow depressurization of the pressure vessel in preparation for a maintenance outage. This temporary false increase in level measurement is also referred to as a notch in the indicated vessel water level which has been found to be plant dependent, with some plants not experiencing a notch, and other plants experiencing a notch having a duration from a few seconds to about a couple minutes, with the notch being observed at reactor vessel pressures less than about 450 psig (32 kg/cm.sup.2 g). The magnitude of the notch varies from about 4 to 8 inches (10 to 20 cm) for example. And, the frequency of occurrence of the notch also varies. The notch is undesirable since the accuracy of the water level is reduced and falsely reads high. SUMMARY OF THE INVENTION A system for measuring water level includes a reactor pressure vessel containing a core and a steam separator assembly, with the vessel being filled with water to a nominal level above the core. A reference leg contains a column of water having a reference level disposed above the nominal level, and a first variable leg has a first tap disposed in the vessel below the reference and nominal levels. A first monitor is disposed between the reference leg and the first leg for determining differential pressure therebetween to indicate level of the water in the vessel above the first tap. A second variable leg includes a second tap in the vessel below the first tap, and a second monitor is disposed between the first and second legs for determining differential pressure therebetween to indicate the level of water in the vessel between the first and second taps when the water level falls below the first tap.
abstract
Disclosed are an electron beam irradiation apparatus and an electron beam irradiation method that are capable of easily curing a material that is hard to be cured by irradiation of ultraviolet rays and of reducing the number of electron beam irradiation tubes. The electron beam irradiation apparatus has a motor for rotationally driving an irradiation target object, a shield container for rotatably accommodating the irradiation target object, and an electron beam irradiation unit provided in the shield container so that the surface of the irradiation target object is irradiated with electron beams, wherein the electron beam irradiation unit and the irradiation target object are relatively moved when the electron beam irradiation unit irradiates the surface of the irradiation target object with the electron beams during a rotation of the irradiation target object.
claims
1. A backlash-resistant sliding assembly for supporting a collimator, comprising: a) a base having an elongated aperture for passage of an x-ray beam therethrough, b) a first shaft secured to the base and extending generally perpendicular to the elongated aperture of the base, c) a second shaft spaced from, and extending substantially parallel to the first shaft, the second shaft rotatably secured to the base and having a threaded portion and at least one non-threaded portion; d) a carrier adapted to support a collimator having at least one elongated slit, the carrier including, a first support member having a bore slidingly receiving the first shaft, a second support member having a bore receiving the non-threaded portion of the second shaft, and an elongated opening extending between the support members and generally perpendicular to the shafts, the elongated opening for aligning with the aperture of the base and an elongated slit of a collimator for passage of an x-ray beam therethrough; e) a ball bearing sleeve positioned between the second support member and the non-threaded portion of the second shaft for allowing the second shaft to rotate with respect to the second member and for allowing the second member to slide on the non-threaded portion of the second shaft; and f) a backlash-resistant nut assembly threadingly received on the threaded portion of the second shaft and secured to the second support member. 2. An assembly according to claim 1 , wherein the backlash-resistant nut assembly comprises: claim 1 first and second nut portion threadingly received on the threaded portion of the second shaft; pins extending through the first nut portion and the second nut portion; and a compression spring disposed between the first and second nut portions. 3. An assembly according to claim 2 , wherein the first nut portion is fixed to the second support member of the carrier. claim 2 4. An assembly according to claim 2 , wherein the pins extend through holes in the first nut portion and radial slots in the second nut portion. claim 2 5. An assembly according to claim 2 , wherein the compression spring comprises a wave washer. claim 2 6. An assembly according to claim 1 , further comprising an outer sleeve positioned between the second support member and the ball bearing sleeve. claim 1 7. An assembly according to claim 1 , further comprising a mask plate fixed to the base beneath the carrier and over the elongated aperture of the base, wherein the mask plate includes a single elongated slit extending generally parallel with the elongated aperture of the base. claim 1 8. An assembly according to claim 1 , further comprising a collimator supported on the carrier and having at least one elongated slit for collimating an x-ray beam. claim 1 9. An assembly according to claim 8 , wherein the collimator includes a plurality of elongated slits of varied widths for collimating an x-ray beam. claim 8 10. An assembly according to claim 1 , further comprising a rotary motor coupled to the second shaft. claim 1 11. An assembly according to claim 10 wherein the motor comprises a stepper motor. claim 10 12. A computed tomography scanner including an assembly according to claim 1 , and further including: claim 1 an annular gantry rotatable about a rotation axis; a beam source mounted within the gantry and having a focal spot for emitting an x-ray beam through the rotation axis; and an array of x-ray detectors for receiving the x-ray beam from the focal spot; wherein the assembly is mounted within the gantry between the focal spot and the detectors; and a collimator supported on the assembly for collimating the x-ray beam. 13. A backlash-resistant sliding assembly for supporting a collimator, comprising: a) a base having an elongated aperture for passage of an x-ray beam therethrough, b) a first shaft secured to the base and extending generally perpendicular to the elongated aperture of the base, c) a second shaft spaced from, and extending substantially parallel to the first shaft, the second shaft rotatably secured to the base and having a threaded portion and at least one non-threaded portion; d) a carrier adapted to support a collimator having at least one elongated slit, the carrier including, a first support member having a bore slidingly receiving the first shaft, a second support member having a bore receiving the non-threaded portion of the second shaft, and an elongated opening extending between the support members and generally perpendicular to the shafts, the elongated opening for aligning with the aperture of the base and an elongated slit of a collimator for passage of an x-ray beam therethrough; e) a ball bearing sleeve positioned between the second support member and the non-threaded portion of the second shaft for allowing the second shaft to rotate with respect to the second member and for allowing the second member to slide on the non-threaded portion of the second shaft; and f) a backlash-resistant nut assembly threadingly received on the threaded portion of the second shaft and secured to the second support member, wherein: the carrier further includes a third support member received on the at least one non-threaded portion of the second shaft; and the drive assembly further includes a ball bearing sleeve positioned between the third support member and the non-threaded portion of the second shaft for allowing the second shaft to rotate with respect to the third support member and for allowing the third support member to slide on the non-threaded portion of the second shaft. 14. An assembly according to claim 13 , further comprising an outer sleeve positioned between the third support member and the ball bearing sleeve. claim 13 15. An assembly according to claim 13 , wherein the at least one non-threaded portion of the second shaft comprises two non-threaded portions separated by the threaded portion, wherein each non-threaded portion receives one of the second and third support members of the carrier. claim 13 16. A computed tomography scanner including an assembly according to claim 13 , and further including: claim 13 an annular gantry rotatable about a rotation axis; a beam source mounted within the gantry and having a focal spot for emitting an x-ray beam through the rotation axis; and an array of x-ray detectors for receiving the x-ray beam from the focal spot; wherein the assembly is mounted within the gantry between the focal spot and the detectors; and a collimator supported on the assembly for collimating the x-ray beam.
042886988
claims
1. A transport and storage vessel for radioactive material, especially nuclear power plant waste, comprising a cast receptacle of a gamma-radiation shielding material having vertical walls defining a compartment for receiving said radioactive material, a bottom and a cover closing said compartment, at least said vertical walls being formed with spaced apart longitudinal passages of circular section with a spacing between said passages being equal to at least twice the diameter of said passages, a neutron moderator filling said passages, the volume of said neutron moderator in said passages being at least equal to the volume of an imaginary layer of said material applied along the exterior of an equivalent vessel to achieve a predetermined attenuation of neutron emission. 2. The transport and storage vessel for radioactive materials defined in claim 1 wherein said neutron moderator is water. 3. The transport and storage vessel for radioactive materials defined in claim 1 or claim 2 wherein said cover is formed with chambers receiving said moderator material. 4. The transport and storage vessel for radioactive materials defined in claim 1 or claim 2 wherein said bottom is provided with chambers receiving said moderator material. 5. The transport and storage vessel for radioactive materials defined in claim 3 wherein said cover is provided with a plate closing said chambers. 6. The transport and storage vessel for radioactive materials defined in claim 4 wherein said bottom is provided with a plate closing said chambers. 7. The transport and storage vessel for radioactive materials defined in claim 1 wherein said passages are provided in at least two rows about the periphery of said vessel and each passage is disposed in the spaces between passages of the other row. 8. A method of packaging for transport and storage radioactive materials especially nuclear power plant waste in a cast receptacle of a gamma-shielding material having vertical walls defining a compartment for receiving said radioactive materials, comprising the steps of determining the volume of a neutron moderator required to form an imaginary layer along the exterior of said vessel to achieve a predetermined attenuation of neutron emission beyond said vessel; forming said walls with spaced apart longitudinal passages of circular cross section with a spacing between said passages equal to at least twice the diameter of said passages; forming said passages in number and diameter such that the total volume of said passages is equal to said volume in said imaginary layer; filling said passages with said moderator; introducing said radioactive materials into said compartment; and closing said compartment with a cover.
059636114
claims
1. A steam separator comprising: a separator/injector comprising: an upper plate; and a lower plate positioned below said upper plate to form a space therebetween, wherein said inlet portion of said two-phase flow accelerator nozzle communicates with a space positioned below said lower plate, and said outlet side of said diffuser communicates with said space formed between said upper and lower plates. wherein said wall surface of said guide wall of said liquid-phase capture means is formed by part of an inner wall surface of said inner tube, wherein said diffuser contacts an inner wall of said inner tube, and wherein a space is formed between an inner wall surface of said outer tube and an outer wall surface of said inner tube so that said liquid-phase component discharged from said outlet side of said diffuser flows therethrough. a reactor pressure vessel; a plurality of fuel rod assemblies, disposed within said reactor pressure vessel, through which a coolant flows; a shroud surrounding said plurality of fuel rod assemblies, wherein a two-phase liquid-vapor flow comprising a liquid-phase component and a vapor-phase component is created as said coolant flows within said plurality of fuel rod assemblies, said shroud including a shroud head at an upper end thereof; and a separator/injector erected above said shroud head said separator/injector comprising: a downcomer portion surrounding said shroud; a jet pump drive nozzle disposed in an upper portion of said downcomer portion surrounding said shroud; and a jet pump provided below said jet pump drive nozzle; wherein after said coolant discharged from said diffuser passes through said space formed between said upper and lower shroud heads, said coolant is guided into said jet pump through said jet pump drive nozzle. a feedwater pump that supplies water to said reactor pressure vessel; a feedwater pipeline linking said reactor pressure vessel to said feedwater pump; and a branch pipeline branching off from said feedwater pipeline and communicating with said jet pump drive nozzle, wherein said feedwater pump supplies water to said jet pump through said branch pipeline and said jet pump drive nozzle. a recirculation flow-rate control valve disposed in said outlet portion or said inlet portion of said jet pump; and a flow-rate control means, which uses at least one of an electrical generator output signal, a main steam flow-rate signal, a neutron flux output signal, and a jet pump pressure difference signal as an input signal, for calculating a suitable recirculation flow-rate and corresponding degree of opening of said recirculation flow-rate control valve therefrom, and outputting a valve-opening signal. a feedwater pump that supplies water to said reactor pressure vessel; a feedwater pipeline linking said reactor pressure vessel to said feedwater pump; a flow-rate adjustment valve provided in said feedwater pipeline; and a branch pipeline branching off from said feedwater pipeline and communicating with said jet pump drive nozzle, wherein said flow-rate control means controls a water level of said reactor by calculating a suitable degree of opening of said flow-rate adjustment valve and outputting a valve-opening signal to said flow-rate adjustment valve. a feedwater pump that supplies water to said reactor pressure vessel; a feedwater pipeline linking said reactor pressure vessel to said feedwater pump; flow-rate adjustment valve provided in said feedwater pipeline; and a branch pipeline branching off from said feedwater pipeline and communicating with said jet pump drive nozzle, wherein said flow-rate control means controls the flow-rate of feedwater of said reactor by calculating a suitable feedwater flow-rate and outputting a rotational frequency signal to said feedwater pump. a downcomer portion surrounding said shroud; a jet pump drive nozzle disposed in an upper portion of said downcomer portion surrounding said shroud; a bell mouth opening towards said downcomer portion in close proximity to said jet pump drive nozzle; a straight pipe having one end connected to a leading edge of said bell mouth and another end connected to an inlet side of said jet pump; and a jet pump provided below said jet pump drive nozzle, wherein after said coolant, which has accumulated in said upper shroud head without passing through said diffuser, passes through said bell mouth and said straight pipe via said jet pump drive nozzle, said coolant is guided into said jet pump. a downcomer pipe having an upper end portion opening upwardly in an upper surface of said upper shroud head, for guiding downwardly the liquid-phase component accumulated on said upper surface of said upper shroud head, which liquid phase component not captured by said liquid-phase capture means; an impeller provided in a lower portion of said downcomer pipe for directing the liquid-phase component of the coolant flowing downwardly within said downcomer pipe and discharging toward a lower portion of said shroud; and an internal pump for driving said impeller, wherein said outlet side of said diffuser communicates with a space formed between said upper and lower shroud heads, and coolant, which is discharged from said outlet side of said diffuser flows down through said space formed between said upper and lower shroud heads and into a downcomer portion on an outer side of said downcomer pipe, is discharged to a lower portion of said shroud. a first coolant circulation pathway mutually communicating with said space between said shroud heads, a space formed between said double-wall shroud structure, and a space formed between said double-wall core support plates, through which flows coolant discharged from said outlet portion of said diffuser; a water rod provided in the interior of said fuel rod assemblies, within which coolant flows; a first coolant guide pipe communicating with said first coolant circulation pathway with an outlet portion at a lower end of said water rod, for guiding increased-pressure coolant, which has been discharged from said outlet side of said diffuser and which is flowing through said first coolant circulation pathway, to said outlet portion at the lower end of said water rod; and a hole formed in a side surface of said water rod, for ejecting coolant flowing within said water rod to the exterior of said water rod. a control rod tube positioned below said fuel rod assemblies; a lower tie plate associated with said fuel rod assemblies; a second coolant guide pipe provided within said control rod tube positioned below said fuel rod assemblies, for guiding coolant that is outside said shroud into said lower tie plate of said fuel rod assemblies; and an orifice provided in a second coolant circulation path formed by said second coolant guide pipe, for locally constricting the flowpath thereof. a steam generator comprising a heat exchanger formed of heat-exchange pipes having inlets and outlets for a primary coolant, a lower casing surrounding said heat exchanger, and an upper casing connected to said lower casing and having a steam outlet for supplying steam to a turbine; a fuel rod assembly; a reactor container communicating with said steam generator and surrounding said primary coolant and said fuel rod assembly; and a separator/injector provided above said lower casing, wherein said separator/injector comprises: a heat exchanger comprising heat-transfer tubes; a combustor that heats said heat-transfer tubes; a pressure vessel surrounding said heat-transfer tubes and said combustor; a recirculation pump that recirculates a fluid flowing through said pressure vessel; and a separator/injector provided above said heat-transfer tubes said separator/injector comprising: a two-phase flow accelerator nozzle having an inlet portion opening toward the interior of said pressure vessel and an outlet portion positioned higher than said inlet portion, said accelerator nozzle accelerating a two-phase liquid-vapor flow flowing into said inlet portion thereof from said heat exchanger, and discharging the same from said outlet portion, said two-phase flow comprising a vapor-phase component and a liquid-phase component; 2. The steam separator as defined in claim 1, further comprising a foundation portion on which said separator/injector is erected upon, said foundation portion comprising: 3. The steam separator as defined in claim 1, wherein said wall surface of said guide wall of said liquid-phase capture means is formed in an arch-shaped curve oriented upwardly, and at least one portion thereof is in the shape of a circular or elliptical arc. 4. The steam separator as defined in claim 1, wherein a side edge portion of said guide wall of said liquid-phase capture means is bent in a direction to enclose said two-phase flow within a cross-sectional surface that defines a flowpath for said two-phase flow. 5. The steam separator as defined in claim 1, wherein at least one portion of an inner wall surface of said two-phase flow accelerator nozzle said wall surface of said guide wall of said liquid-phase capture means, and an inner wall surface of said diffuser includes riblet grooves formed in the direction of flow of said liquid-phase flow. 6. The steam separator as defined in claim 1, further comprising an outer tube having an axis extending vertically and an inner tube having an axis extending vertically and disposed within said outer tube, 7. The steam separator as defined in claim 6, wherein said a lower portion of said two-phase flow accelerator nozzle is formed along said axis of said inner tube, said outlet portion of said two-phase flow accelerator nozzle is formed in proximity to said inner wall of said inner tube, and said diffuser is formed in a helical shape with respect to said axis of said inner tube. 8. A nuclear power generation plant using a boiling-water reactor, said nuclear power generation plant comprising: 9. The nuclear power generation plant as defined in claim 8, further including a recirculation flowpath for returning to the interior of said shroud said increased-pressure coolant that flows out of said outlet side of said diffuser. 10. The nuclear power generation plant as defined in claim 8, wherein the wall surface of said guide wall of said liquid-phase capture means is a smooth curve. 11. The nuclear power generation plant as defined in claim 8, wherein said shroud head is formed as a double wall structure having an upper shroud head and a lower shroud head, which is positioned below said upper shroud head and forming a space between said upper and lower shroud heads, wherein said inlet portion of said two-phase flow accelerator nozzle communicates with a space within said shroud that is positioned below said lower shroud head, and wherein said outlet portion of said diffuser communicates with said space formed between said upper and lower shroud heads. 12. The nuclear power generation plant as defined in claim 11, further comprising: 13. The nuclear power generation plant as defined in claim 12, further comprising: 14. The nuclear power generation plant as defined in claim 13, wherein pressure is increased to control the circulation flow-rate in sequence from the interior of said downcomer portion, the interior of said shroud, said inlet portion of said separator/injector, to said outlet portion of said separator/injector by controlling the flow-rate and discharge pressure of water supplied from said feedwater pump to said jet pump, thereby controlling the thermal output generated within said reactor pressure vessel. 15. The nuclear power generation plant as defined in claim 13, wherein said jet pump is driven at the start-up of said plant by mixing a flow of feedwater supplied from at least one of a pump in a residual heat removal system and a pump in a reactor water clean-up system with a flow of feedwater supplied from said feedwater pump to said jet pump. 16. The nuclear power generation plant as defined in claim 12, further comprising: 17. The nuclear power generation plant as defined in claim 16, further comprising: 18. The nuclear power generation plant as defined in claim 16, further comprising: 19. The nuclear power generation plant as defined in claim 11, further comprising: 20. The nuclear power generation plant as defined in claim 8, wherein said shroud head is formed as a double-wall structure having an upper shroud head and a lower shroud head, which is positioned below said upper shroud head, and form a space between said upper and lower shroud heads, said plant further including: 21. The nuclear power generation plant as defined in claim 20, further comprising a recirculation flow-rate control means, which uses at least one of an electrical generator output signal, a main steam flow-rate signal, a neutron flux output signal, and a core support plate pressure difference signal as an input signal, for calculating a suitable recirculation flow-rate and a suitable rotational frequency of said impeller therefrom, and outputting a suitable rotational-frequency signal with respect to said internal pump. 22. The nuclear power generation plant as defined in claim 21, wherein said recirculation flow-rate control means calculates the rotational frequency of said internal pump by performing calculations in accordance with overall proportional integral differential (PIE) control relating to a difference from a predetermined water level based on an input reactor core water-level signal. 23. The nuclear power generation plant as defined in claim 11, further including a shroud casing forming a side portion of said shroud and a core support plate forming a lower portion of said shroud, wherein each of said shroud casing and said core support plate is formed as a double-wall structure, and said plant further comprising: 24. The nuclear power generation plant as defined in claim 23, wherein the coefficient of thermal expansion of a material configuring said first coolant guide pipe and the coefficient of thermal expansion of a material configuring said water rod are set to be different in the vicinity of a connective portion between said first coolant guide pipe and said water rod. 25. The nuclear power generation plant as defined in claim 23, wherein labyrinth grooves are provided in said first coolant guide pipe and said water rod in the vicinity of a connective portion between said first coolant guide pipe and said water rod. 26. The nuclear power generation plant as defined in claim 23, further comprising: 27. The nuclear power generation plant as defined in claim 23, further including a channel box associated with said fuel rod assemblies, wherein holes are formed in a side surface of an inner shroud casing of said double-wall shroud structure, and a side surface of said channel box of said fuel rod assemblies. 28. A nuclear power generation plant using a pressurized-water reactor, wherein said nuclear power generation plant comprises: 29. The nuclear power generation plant as defined in claim 28, further comprising an inner casing surrounding said heat exchanger within said lower casing, wherein discharge water exhausted from said diffuser is guided into said heat exchanger through a space formed between said lower casing and said inner casing. 30. A boiler apparatus comprising: 31. The boiler apparatus as defined in claim 30, further comprising an inner casing surrounding said heat exchanger within said lower casing, wherein discharge water exhausted from said diffuser is guided into said heat exchanger through a space formed between said lower casing and said inner casing.
063309181
claims
1. A device for connecting an upper riser spool to a lower string of riser spools, the riser spools being connected to each other by a connector having a plurality of circumferentially spaced dog locks, and the spools each having an ancillary line which runs adjacent to a main line, the device comprising: a base assembly having a opening with a central axis and a plurality of support members, the support members adapted to engage and support the string along the central axis in the opening; a rotating member spaced above the base assembly and aligning with the central axis of the opening, the rotating member adapted to engage and rotate the upper spool about the central axis to align the ancillary line of the upper spool with the ancillary line of the string; and a plurality of connector actuators adjacent the base assembly and spaced in an array about the opening, the actuators adapted to actuate the dog connectors after the upper spool is lowered onto engagement with the string. a plurality of support members adapted to engage and suspend the string; a frame extending upwardly adjacent the support members; a rotation member mounted to the frame above the support members and adapted to rotate the upper spool while suspended above the string to angularly align the upper spool with the string; a guide member mounted to the frame above the support members and for aligning the upper spool with the string while allowing vertical movement of the upper spool; and a plurality of connector actuators positioned to actuate the dog connectors when the upper spool is lowered into engagement with the string. (a) providing a rotary member for engaging and rotating the upper riser spool and a plurality of connector actuators; (b) suspending the string with a support member; (c) lowering the upper riser spool generally over the string; (d) engaging the rotary member with the upper spool and rotating the spool to align the ancillary line of the upper spool with the ancillary line of the string; (e) lowering the upper spool into engagement with the string; and (f) moving the actuators radially inward and actuating the connector actuators to engage the connectors and join the upper spool to the string. after step (f), moving the actuators radially inward and lowering the upper riser and string; then, to remove the upper spool from the string, pulling the upper spool and string upward; suspending the string with the support members; rotating the actuators angularly around the string to align the actuators with the connectors; and releasing the connectors with the actuators and lifting the upper spool upwards. mounting a conduit adjacent the actuators; connecting the conduit to a source of fluid; and filling the ancillary lines of the spools with the fluid as the string is lowered. 2. The device of claim 1 further comprising a frame extending upward adjacent the base assembly, and wherein the rotating member is mounted to the frame. 3. The device of claim 1 further comprising a guide member spaced from the base assembly and aligning with the central axis of a portal, the guide member adapted to radially align the upper spool over the string while allowing vertical movement of the upper spool. 4. The device of claim 1 wherein the rotating member has a plurality of motorized rollers mounted to rotate in a horizontal plane for engaging and rotating the upper spool. 5. The device of claim 1 wherein the rotating member has a jaw openable to accept the upper spool and closeable to engage the upper spool. 6. The device of claim 1 wherein the connector actuators are arrayed along radial lines extending from the central axis. 7. The device of claim 1 wherein the connector actuators comprise rotary actuators positioned to rotate about a radial line of the central axis. 8. The device of claim 1 further comprising a rotary table on the base actuable to rotate about the central axis, wherein the connector actuators are mounted to the rotary table to rotate about the central axis with the rotary table to align with the dog locks of the connector. 9. The device of claim 1 further comprising a plurality of linear motion members adjacent the base, wherein the connector actuators are mounted to the linear motion members for linear motion toward and away from the central axis to engage and disengage from the dog locks. 10. The device of claim 1 wherein the base assembly has a key adapted to engage notches provided on the spools for alignment of the ancillary lines. 11. The device of claim 1 wherein the base assembly is split and adapted to slide apart to facilitate placement of the spool. 12. The device of claim 1 wherein the support members comprise beams arrayed about the opening and inwardly extendable to engage and suspend the string in the opening. 13. The device of claim 1, further comprising a conduit mounted adjacent the base assembly, the conduit adapted to be connected to a source of fluid for filling the ancillary lines of the spools. 14. An apparatus for making up a riser string from riser spools, wherein an upper riser spool has a plurality of dog connectors on a lower end that engage a profile on an upper end of the riser spool when the connectors are actuated, comprising: 15. The device of claim 14 wherein the rotation member has a plurality of horizontal rollers for engaging and rotating the upper spool. 16. The device of claim 14 wherein the rotation member has a jaw openable to accept the upper spool and closeable to engage the upper spool. 17. The device of claim 14 wherein the connector actuators are arrayed along radial lines of the string. 18. The device of claim 14, wherein each of the riser spools has at least one exterior ancillary line, and wherein the device the further comprises a conduit having a configuration in the shape of a gooseneck, with one end mounted by a swivel adjacent the frame and another end having a down spout for filling the ancillary line, the device adapted to be connected to a source of fluid. 19. A method of connecting an upper spool having an ancillary line to a string of riser spools having an ancillary line, wherein the upper riser spool has a plurality of connectors on a lower end actuable to engage a profile on an upper end of the string, comprising the steps of: 20. The method of claim 19 further comprising the steps of providing a guide member and engaging and guiding the upper spool with the guide member as the upper spool is lowered into engagement with the string. 21. The method of claim 19 wherein each of the actuators has an axis of rotation and step (f) further comprises rotating each the actuators about its axis of rotation to cause each of the connectors to secure the upper spool to the string. 22. The method of claim 19 further comprising the steps of: 23. The method of claim 19, further comprising:
summary
053496256
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention is directed to an x-ray diagnostics installation of a type suitable for conducting peripheral angiography examinations. 2. Description of the Prior Art X-ray diagnostics installations for conducting peripheral angiography examinations, i.e., of blood vessels in the extremities of a patient, generally include a pick-up unit composed of a radiation transmitter and radiation receiver, and a support means for an examination subject, with the pick-up unit and the support means being spatially adjustable as well as adjustable relative to one another via suitable means. The radiation transmitter can be supplied with energy via a control means, causing the emission of a ray beam. If the radiation receiver is executed as an image intensifier, it is followed by a video chain, so that an image of an examination region can be produced. A gating device is provided in the beam path of the ray beam with which the emitted ray beam can be gated onto the examination region. An automatic exposure unit controls the energy supply of the radiation transmitter such that a radiation shadowgram produced during transirradiation of the examination subject can be converted into a visible, easily interpreted image. If the radiation receiver is an x-ray film changer, it can be provided with an automatic exposure unit to insure that every exposure has the correct degree of blackening. A peripheral angiography examination requires complicated and time-consuming programming measures for producing the exposure sequence, for the exact setting of the support means and diaphragm system as well as for defining the required range of displacement of the pick-up unit or of the support means. To this end, in conventional systems the patient, support means, pick-up unit, diaphragm system and automatic exposure unit are configured and optimized for the successive exposures with respect to the image quality, the patient position and the examination region. This typically results in physical stress on the patient is thus considerable; moreover, the number of possible examinations that can be carried out daily is reduced. German Patent 39 19 473 discloses an x-ray diagnostics installation for angiography, wherein a standard pre-setting of the x-ray dose, gating of the x-radiation, as well as the number and direction of the relative dislocations of the patient support and pick-up means ensue on the basis of a program for every exposure of a body section to be examined. SUMMARY OF THE INVENTION It is an object of the present invention to provide an x-ray diagnostics installation for conducting peripheral angiography examinations wherein the physical stress on the patient is reduced when producing the exposure sequence and with which the number of examinations possible on a daily basis is increased. This object is achieved in accordance with the principles of the present invention in a peripheral angiography system having a control unit which includes an arithmetic unit that undertakes a calculation for pre-setting one or more system components matched to the examination subject, based on subject-related data (size, weight, shape, etc.). The advantage of the invention is that an optimized examination program can thus be produced for the patient, so that the time consumed for producing the exposure sequence is greatly reduced. The physical stress on the patient is likewise reduced due to the low time expenditure. Mis-adjustments of the pick-up unit and support device are thus avoided, so that the radiation stress on the patient as well as stressing of the patient by injections of contrast agent are reduced. Preferably, for further time-saving and reduction of the stress on the patient, the arithmetic unit calculates the diaphragm setting required for every exposure, and/or calculates the parameters of the radiation transmitter required for every exposure, and/or takes the format of the radiation receiver as well as the focus-to subject and focus-to radiation receiver spacing into consideration in making the calculation. The arithmetic unit may be followed by a display means for displaying the contour of the examination subject, the image size in the subject plane, the diaphragm settings and the radiation field with reference to the examination subject for every exposure position.
claims
1. A nuclear fuel assembly for boiling water reactors, comprising: a tubular water channel extending along a longitudinal axis and having an upper section of a larger cross-section area than a lower section and at least one fuel rod receiving groove extending longitudinally on an outer surface of the lower section, fuel rods extending parallel to the longitudinal axis of the channel and disposed in an array around the water channel and fixing members fixing at least one of the fuel rods to the water channel in the at least one fuel rod receiving groove below the upper section,wherein the at least one fuel rod receiving groove extends along the upper section such that the at least one fuel rod received in the fixing members is longitudinally extractable or insertable from an upper end side of the fuel assembly without extracting the water channel. 2. The nuclear fuel assembly as recited in claim 1 wherein the at least one groove is of a constant width along the lower section and the upper section. 3. The nuclear fuel assembly as recited in claim 1 wherein the fuel rod receiving groove has a depth larger in the lower section than in the upper section. 4. The nuclear fuel assembly as recited in claim 1 wherein the fuel rod receiving groove is adapted to receive at least one fuel rod. 5. The nuclear fuel assembly as recited in claim 4 wherein the fuel rod receiving groove is adapted to receive at least two fuel rods side-by-side. 6. The nuclear fuel assembly as recited in claim 1 wherein the water channel has a main duct and at least two lateral ducts in fluid communication with the main duct along the whole length of the water channel, the at least two lateral ducts defining between them the at least one fuel rod receiving groove on an outer surface of the water channel. 7. The nuclear fuel assembly as recited in claim 6 wherein each lateral duct has a cross section area being constant along the length of the water channel, and the main duct having a larger cross section area in the upper section than in the lower section. 8. The nuclear fuel assembly as recited in claim 6 wherein each lateral duct replaces at least one fuel rod in an array. 9. The nuclear fuel assembly as recited in claim 8 wherein each lateral duct replaces one fuel rod in the array. 10. The nuclear fuel assembly as recited in claim 6 wherein the main duct replaces at least one fuel rod in an array. 11. The nuclear fuel assembly as recited in claim 10 wherein the main duct replaces a square unit of several fuel rods in the array. 12. The nuclear fuel assembly as recited in claim 11 wherein the main duct replaces a four fuel rods square unit in the array. 13. The nuclear fuel assembly as recited in claim 6 wherein the water channel has four lateral ducts defining between them four fuel rod reception grooves around the water channel. 14. The nuclear fuel assembly as recited in claim 1 wherein the water channel has a cruciform cross section replacing the fuel rods disposed in diagonals of a fuel rods square unit of the array. 15. The nuclear fuel assembly as in claim 14 wherein the water channel replaces the fuel rods disposed in the diagonals of a sixteen fuel rods square unit of the array.
abstract
This invention involves systems which provide for the safety of nuclear power plants that can be used in the event of serious accidents leading to the destruction of the housing and sealed containment structure of a reactor. In one aspect, the system can increase nuclear power plant safety by preventing the escape of liquid and solid radioactive materials (corium) from a melt confinement device in the event of a serious accident involving the escape of core melt from a nuclear reactor. The invention addresses the problem of increasing the efficiency and reliability of a melt confinement device by improving the conditions for cooling corium. The problem is solved by the use of a filler formed in upper cassettes and in a lower cassette. Said cassettes are configured with vertical and horizontal channels which provide for the uniform distribution of melt in the housing undergoing cooling.
description
1. Field of the Invention The present invention relates generally to nuclear reactors and, more particularly, is concerned with a debris filter grid for a nuclear fuel assembly. 2. Related Art During manufacturing, subsequent installation and repair of components of a nuclear reactor coolant circulation system, diligent effort is made to help assure removal of all debris from the reactor vessel and its associated systems, which circulate coolant throughout the primary reactor coolant loop under various operating conditions. Although elaborate procedures are carried out to help assure debris removal, experience shows that in spite of the safeguards used to effect such removal, some chips and metal particles still remain hidden in the systems. Most of the debris consists of metal turnings, which were probably left in the primary system after steam generator repair or replacement. In particular, fuel assembly damage due to debris trapped at the lowermost grid has been noted in several reactors in recent years. Debris enters through the fuel assembly bottom nozzle flow holes from the coolant flow openings in the lower core support plate when the plant is started up. The debris tends to become lodged in the lowermost support grid of the fuel assembly within the spaces between the “egg crate” shaped cell walls of the grid and the lower end portions of the fuel rod tubes. The damage consists of fuel rod tube perforations caused by fretting of the debris in contact with the exterior of the tube. Debris also becomes entangled in the nozzle plate holes and the flowing coolant causes the debris to gyrate, which tends to cut through the cladding of the fuel rods. Several different approaches have been proposed and tried for carrying out the removal of debris from nuclear reactors. Many of these approaches are discussed in U.S. Pat. No. 4,096,032 to Mayers et al. Others are illustrated and described in the various patents cross-referenced, in U.S. Pat. No. 4,900,507, assigned to the instant assignee. While all of the approaches described in the cited patent and cross references operate reasonably well and generally achieve their objectives under the range of operating conditions for which they were designed, a need still exists for a further improved approach to the problem of debris filtering in nuclear reactors, to address an improved reduction in pressure drop across the bottom nozzle that is required for more advanced fuel designs currently going under development. That improvement is addressed, in part, in co-pending application Ser. No. 10/51,349, filed Jan. 5, 2004. A further need exists to trap even smaller debris without substantially increasing the pressure drop across the fuel assembly. The present invention provides a debris filter lowermost grid in a fuel assembly designed to satisfy the aforementioned needs. The debris filter lowermost grid of this invention is positioned just above the fuel assembly bottom nozzle and is generally formed from a spaced array of two orthogonally arranged parallel, spaced arrays of elongated straps connected in an egg crate lattice pattern. The lattice defines a number of cells, most of which support the fuel rods of the fuel assembly. Each of the fuel rods cells include at least two protrusions that extend into the fuel rod cells at different elevations. Each protrusion extends from the wall of the cell at a location offset from the lateral center of the cell wall from which the protrusions extend. Preferably, the protrusions are formed as arches that are stamped from the cell wall and attached to the wall at the base of the arches. In the preferred embodiment, the protrusions are located in the proximity of the four corners of the cell at elevations along the exposed lower fuel rod end plug, desirably below the fuel rod cladding and do not contact the end plug. Dimples also extend from preferably two of the cell walls to laterally support the fuel rods and contact the fuel rods on the lower end plug. In the preferred embodiment, the dimples and arches are respectively, laterally offset from one another. The protective grid of this invention can be formed as a stand alone component or can be constructed from wider integral straps that combine the structure of the debris filtering grid of this invention with the structure of a conventional grid. The debris filter lowermost grid of this invention preferably works in combination with the debris filter bottom nozzle described in co-pending application Ser. No. 10/751,349, filed Jan. 5, 2004. In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also in the following description, it is to be understood that such terms as “forward”, “rearward”, “left”, “right”, “upwardly”, “downwardly” and the like are words of convenience and are not to be construed as limiting terms. Fuel Assembly Referring now to the drawings and particularly to FIG. 1, there is shown an elevational view of the fuel assembly, represented in vertically shortened form and being generally designated by reference numeral 10. The fuel assembly 10 is the type used in a pressurized water reactor and has a structural skeleton which, at its lower end, includes the debris filter bottom nozzle 12, which is described more fully in co-pending patent application Ser. No. 10/751,349. The bottom nozzle 12 supports the fuel assembly 10 on a lower core support plate 14 in the core region of the nuclear reactor (not shown). In addition to the bottom nozzle 12, the structural skeleton of the fuel assembly 10 also includes a top nozzle 16 at its upper end and a number of guide tubes or thimbles 18, which extend longitudinally between the bottom and top nozzles 12 and 16 and at opposite ends are rigidly attached thereto. The fuel assembly 10 further includes a plurality of traverse grids 20 axially-spaced along, and mounted to, the guide thimble 18 and an organized array of elongated fuel rods 22 traversely-spaced and supported by the grids 20. Also, the assembly 10 has an instrumentation tube 24 located in the center thereof and extending between, and mounted to, the bottom and top nozzles 12 and 16. With such an arrangement of parts, fuel assembly 10 forms an integral unit capable of being conveniently handled without damaging the assembly parts. As mentioned above, the fuel rods 22 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 20 spaced along the fuel assembly length. Each fuel rod 22 includes nuclear fuel pellets 26 and is closed at its opposite ends by upper and lower end plugs 28 and 30. The pellets 26 are maintained in a stack by a plenum spring 32 disposed between the upper end plug 28 and the top of the pellet stack. The fuel pellets 26, composed of fissile material, are responsible for creating the reactive power of the reactor. A liquid moderator/coolant such as water or water containing boron, is pumped upwardly through a plurality of flow openings in the lower core plate 14 to the fuel assembly. The bottom nozzle 12 of the fuel assembly 10 passes the coolant upwardly through the guide tubes 18 and along the fuel rods 22 of the assembly in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods 34 are reciprocally moveable in the guide thimbles 18 located at predetermined positions in the fuel assembly 10. Specifically, a rod cluster control mechanism 36 positioned above the top nozzle 16 supports the control rods 34. The control mechanism has an internally threaded cylindrical member 37 with a plurality of radially-extending flukes or arms 38. Each arm 38 is interconnected to control rod 34 such that the control rod mechanism 36 is operable to move the control rods vertically in the guide thimbles 18 to thereby control the fission process in the fuel assembly 10, all in a well known manner. Debris Filter Bottom Nozzle As mentioned above, fuel assembly damage due to debris trapped at or below the lowermost one of the grids 20 supporting the fuel bearing regions of the fuel rods has been found to be a problem. Therefore, to prevent occurrence of such damage, it is highly desirable to minimize the debris that passes through the bottom nozzle flow holes or the interfaces between the outlets of the bottom nozzle flow holes and the adjoining structures. The invention described in U.S. patent application Ser. No. 10/751,349 relates to a bottom nozzle 12 which, in addition to supporting the fuel assembly 10 on the lower core plate 14, also contains features which function to filter out potentially damaging size debris from the coolant flow passed upwardly through the bottom nozzle with a reduction in pressure drop over previous designs. The bottom nozzle 12 includes support means, for example the skirt 40 shown in FIG. 2. The support means, skirt 40 in this embodiment, includes a plurality of corner legs 42 for supporting the fuel assembly 10 on the lower core plate 14. A generally rectangular planar plate 46 is suitably attached, such as by welding, to the upper surface 44 of the support skirt 40. In the nozzle plate 46 of the debris filter bottom nozzle 12 of the present invention, a large number of small holes 48 (shown in FIG. 5) are concentrated in the area of the flow holes through the lower core support plate 14 and are sized to filter out damaging size debris without adversely affecting flow or pressure drop through the bottom nozzle adapter plate 46 and across the fuel assembly 10, which substantially covers every portion of the plate 46 across its length and breadth. The diameter of the flow holes 48 does not allow passage of most of the debris that is of a size typically caught in the lowermost support grid 20. If the debris is small enough to pass through these plate flow holes 48, it will in most cases also pass through the grids 20 since the diameter of the flow holes 48 is small enough to catch most of the debris having a cross section larger than that of the unoccupied spaces through a cell of the support grid 20. Such unoccupied spaces are typically found in adjacent corners formed by the interleaved straps comprising the grid 20 and are bounded by the corners, respective dimples and springs formed on the grip straps, and the fuel rods 22, which extend through the grid cells. By insuring that most of the debris is small enough to pass through the grids unoccupied spaces, the debris filter bottom nozzle 12 significantly reduces the potential for debris-induced fuel rod failures. It should be appreciated that the improvement of co-pending U.S. patent application Ser. No. 10/751,349 does not require that the narrowest cross section of the flow through holes 48 in the nozzle plate 46 be equal or smaller than the largest cross sectional dimension of the unoccupied spaces through a cell of the support grid 20, especially when the outlet of the flow through holes 48 effectively operate in conjunction with adjoining structures, such as this invention, to further constrict the flow path. For example, when protective grids, such as that of this invention, are employed, which typically are located approximately 0.025 to 0.125 inch (0.064 to 0.318 cm) above the nozzle plate 46, the grid straps and protrusions further delimit the flow and trap debris in the area within and between the protective grid and the nozzle plate. Debris Filter Protective Grid The improved debris-catching system of this invention provides an integrated spacer grid design to be used in a nuclear fuel assembly to support fuel rods and filter-entrained debris in coolant. The spacer grid design has multilevel debris-catching features which provide the debris-filtering function. The filtering features include debris-filtering arches, which may be similar to the dimples which support the fuel rods under the pressure of opposing grid springs, except that the debris-filtering arches do not contact the fuel rods, and reduce fretting wear by trapping debris at fuel rod solid end plug elevations. Two debris-catching systems, working with the debris filter bottom nozzle described above, and extended solid end plugs, are described to provide an improved integrated design. Two embodiments of this invention are illustrated in the figures. The first is an integrated hybrid protective grid design 60 shown in FIG. 2, which is a combination of the intermediate grid 20 shown in FIG. 1 and a lower protective grid with two levels of debris-catching arches 54, identified in FIG. 2 and described more fully with regard to FIGS. 4 and 5. The second embodiment of this invention is illustrated in FIG. 3 and provides a stand-alone improved protective grid 62 with two levels of debris-catching arches 54. The debris catching or protective grid of this invention is used in a nuclear fuel assembly to trap debris and to support fuel rods and maintain proper spacing among the fuel rods. The protective grid is formed in the shape of a lattice with intersecting grid straps 56 forming lattice members defining a plurality of cells, in an egg-crate pattern, with most of the cells supporting the nuclear fuel rods, which pass therethrough. Welds 58 at the grid strap intersections secure the straps in fixed relation to each other. The remaining cells support nuclear control rod guide tubes and instrumentation thimbles. The cell-supporting fuel rods are provided with specially designed grid dimples on two adjacent walls. The dimples are oriented horizontally to maximize debris capture and minimize hydraulic drag in the assembly. The dimples also capture the fuel rods to minimize lateral vibration and wear of the fuel rods and extend over the flow holes of the bottom nozzle to improve debris capture. Springs on the walls opposite the dimples bias the fuel rods, at the solid lower end plug elevation, against the dimples and thus support each fuel rod within its respective cell. This invention provides additional debris arches 54, which may be similar to the dimples, but do not contact the fuel rods. The modified arches are situated at two elevations, as shown in FIG. 4 by reference character 54. The arches are also laterally offset as can be appreciated from FIG. 5. Referring to FIG. 5, it can be seen that the lower arches 54 are shown in phantom, and the laterally offset, upper arches 54 are shown in solid line. The offset debris-filtering arches 54 above and below the protective grid dimples 50 are designed, in cooperation with the flow-through holes 48 of the debris filter bottom nozzle, to trap debris passing through the debris filter bottom nozzle. The purpose of the two levels of offset arches 54 is to satisfy the U.S. Regulatory Guide 1.82 requirements. The offset helps to assure that there are no flow restrictions in the Emergency Core Cooling System (ECCS) flow path that are likely to become blocked by particular debris during the Long Term Cooling (LTC) phase of a postulated Loss Of Coolant Accident (LOCA). The end plug length is slightly extended over prior designs to extend above the upper debris-catching arch 54 to prevent potential contact between the cladding and the trapped debris that might otherwise fret the cladding. As shown in FIG. 4, the gap clearance between the inner straps and the debris filter nozzle, i.e., 0.25 to 0.125 inch (0.064 to 0.318 cm), is optimized to meet the sump screen requirements. The sump screen requirements indicate that the sump screen is the most limiting dimension in the ECCS flow path. Although the fuel assembly will trap debris that is smaller than that of the sump screen size, the plugging of those flow passages is not allowed. The protective grid 60/62, solid lower end plug 30, arches 54 and debris filter bottom nozzle 12 together provide a protective zone, below the active fuel region, in which debris can be trapped safely. Fretting wear by a piece of debris would occur on a solid end plug and not on fuel cladding. As shown in FIG. 5, the straps 56 of the protective grid 60/62 subdivide the protected flow area of the hole 48 in the debris filter bottom nozzle plate 46. The projected debris-catching arches 54 form four additional circular screens to subdivide each flow hole 48, further reducing the size and shape of flow debris that might pass into the active fuel region. For both the integrated hybrid protective/bottom grid design 60 and the improved stand alone protective grid design 62, the locations of the debris filtering arches will be optimized to minimize the pressure loss design consideration. Dimple 50 and arch 54 height, width, bend, location and radii is adapted to maximize the hydraulic performance of the grid. FIG. 5 shows a typical grid cell overlayed flow hole pattern with the protective grid design of this invention. With the exception of thimble cells, the arches 54 form a four-circular screen within each flow hole to prevent debris from entering the active fuel region. Thus, this invention provides two levels of debris-catching arches, which form a four filtering circular screen system within a flow hole 48 flow path, before the flow enters the active fuel region, that enhances debris filtering efficiency working in conjunction with the protective grid dimple. Offset top and lower debris-catching arches with the grid straps 56 bisecting the debris filter bottom nozzle flow holes 48 enhance the efficiency of the debris-catching capability of the debris filter bottom nozzle while meeting sump screen design requirements. The solid fuel rod end plug extending to or above the height of the upper debris filtering arches 54 restrict the trapped debris-induced contact and wear to the solid plug 30 region. Protective grid dimple-to-rod contact only on the solid end plug 30 provides positive dimple support for the nuclear fuel rod during reactor operation without cladding wear. The invention may be employed as a standalone protective grid 62 as shown in FIG. 3 or as the integral hybrid grid that includes a lower protective grid section incorporating the offset debris-catching arches 54 at two elevations and an upper grid section substantially similar in construction to that of the intermediate grid 20. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention, which is to be given the full breadth of the appended claims and any and all equivalents thereof.
summary
abstract
An X-ray optical system with an X-ray source, an element that focuses the X-rays and an element that reflects them. In order to generate parallel X-radiation with small beam cross-section and high photon density, the X-radiation of the X-ray source is directed with its focusing element to the convex, parabolic and reflecting surface of the reflecting element. The X-ray optical system is useful for X-ray analysis, e.g., in X-ray diffractometry, reflectometry and/or fluorescence analysis.
056082231
claims
1. A method for use with an ion implantation system, the method comprising the steps of: a) positioning a plurality of wafers at spaced distances from one another around a circumference of a support disk, the support disk defining spacings that pass through the support disk between adjacent pairs of the positioned wafers such that the spacing between one adjacent pair of the positioned wafers is wider along the circumference of the support disk than the spacing between another adjacent pair of the positioned wafers; b) rotating the support disk about an axis with respect to the ion beam such that the ion beam scans each positioned wafer and passes the support disk through the spacings between the positioned wafers; c) detecting charge of the ion beam as the ion beam passes through the spacings between the positioned wafers while the support disk is rotating; d) determining changes in the detected ion beam charge; and e) controlling a radial scan of the positioned wafers with the ion beam based on the determined changes in ion beam charge. a) a support disk for supporting a plurality of wafers positioned at spaced distances from one another around a circumference of the support disk, the support disk defining spacings that pass through the support disk between adjacent pairs of the positioned wafers such that the spacing between one adjacent pair of the positioned wafers is wider along the circumference of the support disk than the spacing between another adjacent pair of the positioned wafers; b) a rotation drive mechanism for rotating the support disk about an axis with respect to the ion beam such that the ion beam scans each positioned wafer and passes the support disk through the spacings between the positioned wafers; c) a charge detection device for detecting charge of the ion beam as the ion beam passes through the spacings between the positioned wafers while the support disk is rotating; d) a radial drive mechanism for radially scanning the positioned wafers with the ion beam; and e) a controller for determining changes in the detected ion beam charge and for controlling the radial scan of the positioned wafers based on the determined changes in ion beam charge. 2. The method of claim 1, wherein the controlling step (e) comprises the step of moving the support disk with respect to the ion beam such that the ion beam scans the positioned wafers in a radial direction from the axis of rotation of the support disk. 3. The method of claim 1, wherein the controlling step (e) comprises the step of controlling the speed of the radial scan based on the determined changes in ion beam charge, the circumferential widths of the spacings between the positioned wafers, and the radial distance between the ion beam and the axis of rotation of the support disk. 4. The method of claim 1, wherein the positioning step (a) comprises the step of positioning the wafers at spaced distances from one another around the circumference of the support disk such that at least two spacings between adjacent pairs of the positioned wafers are each wider along the circumference of the support disk than any other spacing between an adjacent pair of the positioned wafers. 5. The method of claim 1, wherein the method performs the determining step (d) and the controlling step (e) for each revolution of the support disk. 6. An apparatus for use in an ion implantation system, the apparatus comprising: 7. The apparatus of claim 6, wherein the radial drive mechanism moves the support disk with respect to the ion beam such that the ion beam scans the positioned wafers in a radial direction from the axis of rotation of the support disk. 8. The apparatus of claim 6, wherein the controller controls the speed of the radial scan based on the determined changes in ion beam charge, the circumferential widths of the spacings between the positioned wafers, and the radial distance between the ion beam and the axis of rotation of the support disk. 9. The apparatus of claim 6, wherein the support disk supports the positioned wafers at spaced distances from one another around the circumference of the support disk such that at least two spacings between adjacent pairs of the positioned wafers are each wider along the circumference of the support disk than any other spacing between an adjacent pair of the positioned wafers. 10. The apparatus of claim 6, wherein the controller determines changes in ion beam charge and controls the radial scan of the positioned wafers for each revolution of the support disk. 11. The apparatus of claim 6, wherein the support disk supports each wafer with a wafer attachment portion coupled by a bridge to a central portion of the support disk.
abstract
Methods and systems for sharing information related to operational metrics of a plurality of equipment used in manufacturing of semiconductor wafer includes interfacing a server with the equipment to allow the server to receive a plurality of parameters including operational metrics associated with operation of each of the plurality of equipment. The plurality of parameters are processed to identify event-related data, message-related data and to generate human-readable interpretation for the identified event-related data and the message related data. Users are identified for receiving the operational metrics of each of the plurality of equipment. The event-related data, message-related data and the corresponding human-readable interpretation for the operational metrics associated with each of the equipment are forwarded to a social network service for performing a posting operation to social data streams associated with the identified users. The operational metrics received over time from each of the equipment are managed so as to provide timely updates to the respective users on the status of each equipment. The timely updates are provided as additional postings to the respective social data streams of the users.
abstract
A control rod drive mechanism (CRDM) includes a lifting rod supporting a control rod and a holding mechanism comprising an electromagnetic circuit with magnetic poles drawn together when the electromagnetic circuit is energized to hold the lifting rod. The hold is released upon de-energizing the electromagnetic circuit. A translation mechanism linearly translates the lifting rod held by the holding mechanism. The holding mechanism may include a non-magnetic spacer between the magnetic poles that defines a gap between the drawn together magnetic poles. The translation mechanism may include latches configured to engage an upper end of the lifting rod, and the holding mechanism draws the magnetic poles together to hold the latches engaged with the upper end of the lifting rod. A four-bar cam assembly may be used to cam the latches closed in response to a vertical actuation force applied to the cam bars.
063103559
summary
FIELD OF THE INVENTION The present invention relates to the attenuation of electromagnetic radiation. More particularly, the present invention relates to an improved lightweight radiation shield or covering. BACKGROUND OF THE INVENTION It is well known to use a radiation shield to attenuate or deflect the flux of electromagnetic radiation from a radiation source directed toward an article (e.g., sample, room, human body, or part thereof, etc.). Radiation can be provided from a variety of natural or man-made sources and can be electromagnetic energy at wavelengths of 1.0.times.10.sup.-15 meters (e.g., cosmic rays) to 1.0.times.10.sup.6 meters (e.g., radiation from AC power lines). Radiation can have beneficial or negative effects. Accordingly, it is generally known in the art to shield an article from radiation or to focus the radiation using apparatus such as the radiation shields described in U.S. Pat. No. 4,938,233 issued Jul. 3, 1990 to Orrison, Jr. titled "RADIATION SHIELD" and hereby incorporated by reference. Known radiation shields and focusing devices include generally rigid materials. Radiation shields made from rigid materials effectively attenuate radiation, but are too inflexible for certain applications, such as, radiological procedures. Radiological procedures often require the attenuation of radiation directed toward the thyroid area, male gonadal areas, female gonadal areas, breast area, hands, eyes, etc. Radiation shields made from rigid materials also tend to be heavy in weight. In an attempt to overcome the shortcomings of rigid radiation shields, flexible radiation shields and focusing devices have been developed to cover non-planar surfaces. Examples of conventional flexible radiation shields comprised of a visco-elastic polymeric matrix charge with an alternating inorganic filler are described in U.S. Pat. No. 4,938,233 issued Jul. 3, 1990 to Orrison, Jr. titled "RADIATION SHIELD". Such known flexible radiation shields and focusing devices can be reusable, sterilizable or disposable. Conventional flexible radiation shields and focusing devices can be heavy in weight and costly to construct. Generally, the attenuating material in the radiation shield includes dense elements, such as, barium, lead, etc. that can be significantly responsible for the overall weight of the shield. Further, some conventional flexible shields do not provide suitable insulation for the article being shielded from the radiation. Accordingly, there is a need for a lightweight covering or shield for attenuating the flux of electromagnetic radiation directed toward an article. There is also a need for a method of making a lightweight shield for attenuating the flux of electromagnetic radiation directed toward an article. There is further still a need for a lightweight, flexible covering or shield. SUMMARY OF THE INVENTION The present invention relates to a shield or covering for attenuating the flux of electromagnetic radiation directed toward an article. The shield includes a matrix, a radiation attenuating material, and at least one space provided in the matrix. The matrix is charged with the attenuating material. The present invention further relates to a method for making a covering or a shield for attenuating the flux of electromagnetic radiation directed toward an article. The method includes providing a matrix including a radiation attenuating material, and providing at least one space in the matrix. The present invention further relates to a shield or covering for attenuating the flux of electromagnetic radiation. The shield includes a matrix comprising insulating material and a radiation attenuating material. The matrix has at least one space. The space reduces the weight of the shield without appreciably reducing the attenuating characteristics of the shield.
051046105
description
DESCRIPTION OF THE INVENTION FIG. 1 shows the basic elements of a sealed neutron tube 11 which contains a low-pressure gaseous mixture to be ionised, for example deuterium-tritium, and which comprises an ion source 1 and an acceleration electrode 2 wherebetween a very high potential difference exists which enables the extraction and acceleration of the ion beam 3 and its projection onto the target 4 where the fusion reaction takes place which causes an emission of neutrons of, for example, 14 MeV. The ion source 1 is integral with an insulator 5 for the passage of the high-voltage power supply connector (not shown) and, is for example a Penning-type source which is formed by a cylindrical anode 6, a cathode structure 7 which incorporates a magnet 8 with an axial magnetic field which confines the ionised gas 9 to the vicinity of the axis of the anode cylinder and whose lines of force 10 exhibit a given divergence. An ion emission channel 12 is formed in the cathode structure so as to face the anode. The diagrams of FIG. 2 illustrate the target erosion effects. FIG. 2a shows the density profile J of the ion bombardment in an arbitrary radial direction Or, starting from the point of impact 0 of the central axis of the beam on the surface of the target. The shape of this profile illustrates the inhomogeneous character of this beam where the very high density in the central part rapidly decreases towards the periphery. FIG. 2b shows the erosion as a function of the bombardment density and the entire hydride layer having a thickness e and deposited on a substrate S is saturated with the deuterium-tritium mixture. The penetration depth of the energetic deuterium-tritium ions, denoted by a broken line, equals a depth l.sub.1 as a function of this energy. In FIG. 2c the erosion of the layer is such that the penetration depth l.sub.2 is greater than the thickness e in the most heavily bombarded zone; a part of the incident ions propagates in the substrate and the deuterium and tritium atoms are very quickly oversaturated. In FIG. 2d the deuterium and tritium atoms collect and form bubbles which form craters upon bursting and which very quickly increase the erosion of the target at the depth l.sub.3. The latter processes immediately precede the end of the service life of the tube, causing either a drastic increase of breakdowns (presence of microparticles resulting from the bursting of bubbles) or pollution of the target surface by the pulverised atoms which absorb the energy of incident ions. In the Penning-type ion source 1 shown in FIG. 1, the cylindrical anode 6 is connected to a potential which is approximately 4 kV higher than that carried by the cathode 7 which is connected to a very high voltage of, for example 250 kV. The magnet assembly 8 produces a strong magnetic field in the order of a thousand gauss. This magnetic field serves to limit the transverse movement of the charges formed inside the anode by ionisation of a gaseous mixture of deuterium and tritium. This ionised gas is thus confined to the vicinity of the axis of the anode and has a much higher density along the axis. This results in a substantial inhomogeneity inside the discharge. The ions are extracted from an emission channel 12 formed in the cathode, thus acting as the emission electrode, by means of the acceleration electrode 2 which is connected to ground potential 0 whereto as the target electrode 4 is also connected. At the level of the ion extraction the inhomogeneity of the ionised gas will have more repercussions at the axis than at the periphery of the beam. Thus, this type of inhomogeneity largely contributes to the erosion of the target and hence to the limitation of the service life of the tube. In order to make the ion density more homogeneous at the extraction level, the idea of the invention is to modify the confinement of the ionised gas by influencing the arrangement of the magnets of the assembly 8 so that the divergence of the magnetic field is greater. The resultant reduction of the discharge current can be attractively compensated for by means of the solutions illustrated by the FIGS. 3 and 4. In FIG. 3 the circular anode has been replaced by a truncated anode 13 whose generatrices tend to take the shape of the lines of force of the magnetic field 10. The ionised gas 9 is wider because of this modification of the confinement. The diameters of the truncated anode will have to be increased in order to avoid the interception of electrons. In FIG. 4 the height of the circular anode 14 has been reduced and the anode has been shifted nearer to the zone where a strong field prevails in the vicinity of the upper part of the cathode in order to avoid the interception of electrons. These modifications ensure a substantial compensation for the discharge current and at the same time improve the homogeneity of the beam.
abstract
An enhanced mechanical design of multiple zone plates precision alignment apparatus for hard x-ray focusing in a twenty-nanometer scale is provided. The precision alignment apparatus includes a zone plate alignment base frame; a plurality of zone plates; and a plurality of zone plate holders, each said zone plate holder for mounting and aligning a respective zone plate for hard x-ray focusing. At least one respective positioning stage drives and positions each respective zone plate holder. Each respective positioning stage is mounted on the zone plate alignment base frame. A respective linkage component connects each respective positioning stage and the respective zone plate holder. The zone plate alignment base frame, each zone plate holder and each linkage component is formed of a selected material for providing thermal expansion stability and positioning stability for the precision alignment apparatus.
062755579
claims
1. A fuel assembly for a light water reactor, thee fuel assembly comprising: more than two fuel units stacked one above the other, each unit comprising a top tie plate, a bottom tie plate, and a plurality of fuel rods extending between the top tie plate and the bottom tie plate, each fuel rod comprising a column of fissionable material and a cladding tube having a first end and a second end, the cladding tube surrounding the fissionable material, wherein at least two fuel rods are provided with at least two respective axial gaps in the fissionable material, wherein fissionable material is arranged on both sides of at least one of the axial gaps in said two fuel rods, and wherein said axial gaps are arranged at different axial levels in said two fuel rods. an upper handle and a lower end portion, the column of fissionable material being arranged therebetween. fissionable material having a smaller diameter than a main part of the fissionable material, wherein the fissionable material having the smaller diameter is arranged at a first and/or second end of the cladding tube, and wherein the end of the cladding tube where the fissionable material having the smaller diameter is arranged is provided with a correspondingly smaller inner diameter. a spacer arranged in each of the at least two respective axial gaps separating fissionable material arranged above and below the spacer, the spacer being resilient in the axial direction. a sleeve having a top end and a bottom end, V-shaped slits at the top end and the bottom end of the sleeve, tongues between the slits, the tongues being folded inwards towards a central part of the spacer at an angle of 100.degree.. 2. The fuel assembly according to claim 1, further comprising: 3. The fuel assembly according to claim 1, wherein the at least two respective axial gaps are arranged at different axial levels in adjacent fuel rods. 4. The fuel assembly according to claim 1, further comprising: 5. The fuel assembly according to claim 1, wherein the fissionable material comprises pellets of nuclear fuel, and wherein pellets arranged close to the axial gap and/or at the first or second end of the cladding tube are provided with through-holes or with lower enrichment. 6. The fuel assembly according to claim 1, wherein the fuel assembly has a substantially square cross-section. 7. The fuel assembly according to claim 1, further comprising: 8. The fuel assembly according to claim 7, wherein the spacer is deformable or non-deformable. 9. The fuel assembly according to claim 7, wherein the spacer comprises 10. The fuel assembly according to claim 7, wherein the spacer contacts an inner surface of the cladding tube and through friction against the cladding tube axially fixes the fissionable material arranged thereabove. 11. The fuel assembly according to claim 7, wherein the spacer comprises a zirconium-based alloy.
048045160
abstract
Fuel assembly for the core of a nuclear reactor comprising spacing grids situated in the upper part of the assembly arranged at smaller intervals from one another than the grids situated in the lower part of the assembly. The upper grids ensure a more intense mixing of the coolant downstream than the lower grids, so as to reduce corrosion at the upper part and the temperature difference between the top of the sheath and the coolant.
description
The present invention relates to a beam line system, and more particularly to a beam line system of an ion implanter. An ion implantation process is widely used in the manufacture of integrated circuits for implanting a dopant into a substrate. Nowadays, an ion implanter is widely used to implement the ion implantation process. By the ion implanter, the atoms or molecules to be implanted are transformed into ions, then the ions are accelerated in an electrical field to acquire energy, and finally the high-energy ions are implanted into a near-surface region of a substrate. After the ion implantation process is completed, the physical properties of the substrate are changed. However, in some operating conditions, the dose of dopant implanted into the substrate is higher than the desired dopant dose. Under this circumstance, the performance of the semiconductor device is unstable and the quality is deteriorated. Therefore, the present invention provides a beam line system of an ion implanter for precisely adjusting the dopant dose. In accordance with an aspect, the present invention provides a beam line system of an ion implanter. The beam line system includes a hollow tube and a plurality of protruding structures. The hollow tube has an inlet and an outlet. An ion beam emitted by the ion implanter is introduced into the hollow tube through the inlet and exited from the hollow tube through the outlet. The protruding structures are formed on an inner wall of the hollow tube. Each of the protruding structures has a reflective surface for reflecting a portion of the ion beam. In an embodiment, the hollow tube is a collimator tube. In an embodiment, the hollow tube is made of graphite. In an embodiment, the protruding structures are contiguous wedge-shaped structures on the inner wall of the hollow tube. In an embodiment, the reflective surface of the protruding structure is substantially vertical to a traveling direction of the ion beam. In an embodiment, a ratio of a height of the reflective surface of the protruding structure to a length of the protruding structure along a traveling direction of the ion beam is about 1:5. In an embodiment, the portion of the ion beam which is not reflected by the protruding structures is exited from the outlet of the hollow tube. In an embodiment, an end analyzer is positioned at a terminal of the beam line system to detect the portion of the ion beam which is exited from the outlet of the hollow tube. In an embodiment, the end analyzer is a Faraday cup detector. In accordance with another aspect, the present invention provides an ion implanter. The ion implanter includes an ion source, an analyzing magnet and a beam line system. The ion source is used for producing ions. The analyzing magnet is used for producing an ion beam by selecting desired types of ions. The beam line system includes a hollow tube with an inlet and an outlet and a plurality of protruding structures formed on an inner wall of the hollow tube. The ion beam is introduced into the hollow tube through the inlet and exited from the hollow tube through the outlet, wherein each of the protruding structures has a reflective surface for reflecting a portion of the ion beam. In accordance with a further aspect, the present invention provides an ion implantation process. The ion implantation process is implemented by the beam line system of the present invention. The present invention will now be described more specifically with reference to the following embodiments. It is to be noted that the following descriptions of preferred embodiments of this invention are presented herein for purpose of illustration and description only. It is not intended to be exhaustive or to be limited to the precise form disclosed. FIG. 1 is a schematic functional block diagram illustrating an ion implanter according to an embodiment of the present invention. The ion implanter comprises an ion source 11, an analyzing magnet 12, an accelerating system 13, a focusing system 14, a target chamber 15, a vacuum system 16, a control system 17 and a beam line system 18. The ion source 11 is used for producing ions of a desired element by ionizing the element to positive and negative ions. The analyzing magnet 12 is employed to remove undesired types of ions, so that an ion beam with the desired types of ions is generated. Then, by the accelerating system 13, the ion beam is accelerated to have high energy. After the high-energy ion beam is focused by the focusing system 14, the focused high-energy ion beam is implanted into a target 20 (e.g. a wafer), which is contained in a target chamber 15. The ion beam generated by the above components is transmitted through the beam line system 18. The vacuum system 16 is employed to evacuate the beam line system 18, so that the beam line system 18 is operated at very low pressure (e.g. 10−7 to 10−8 torr). The control system 17 is used to control the components of the beam line system 18 in order to facilitate normal and smooth operations. Moreover, an end analyzer 180 is positioned at a terminal of the beam line system 18 to correct ion energy, beam current and beam profile. An example of the end analyzer 180 is a Faraday cup detector. However, the use of the end analyzer 180 to correct energy, beam current and beam profile still has some drawbacks. For example, since the Faraday cup detector has size restriction, the end analyzer 180 implemented by the Faraday cup detector fails to completely detect all of the ions that are transmitted to the wafer 20 through the beam line system 18. As shown in FIG. 2A, a hollow tube 181 of the beam line system 18 has an inlet 1811 and an outlet 1812. The ion beam emitted by the ion implanter is introduced into the hollow tube 181 through the inlet 1811 and exited from the hollow tube 181 through the outlet 1812. The hollow tube 181 is made of graphite. Since the inner wall 1810 of the hollow tube 181 is flat, the ion beam reflected by the inner wall 1810 is readily scattered. FIG. 2B schematically illustrates the occurrence of a halo beam effect. Ideally, if the ion beam is not reflected by the inner wall 1810, the ion beam exited from the outlet 1812 is centralized to have a first ion beam profile 11. In practice, since a portion of the ion beam is reflected by the inner wall 1810, the ion beam exited from the outlet 1812 is somewhat decentralized to have a second ion beam profile 12. Under this circumstance, a so-called halo beam effect occurs. Due to the halo beam effect, more ion beams fail to be detected by the end analyzer 180. Under this circumstance, the dopant dose can not be precisely controlled by the control system 17. For solving these drawbacks, the beam line system 18 should be further improved. In an embodiment, the present invention is aimed at the improvement of the configurations of the beam line system 18. FIG. 3 is a schematic cross-sectional view illustrating a segment of the beam line system used in an ion implanter according to an embodiment of the present invention. In FIG. 3, a hollow tube 181 of the beam line system 18 is shown. The hollow tube 181 has an inlet 1811 and an outlet 1812. The ion beam emitted by the ion implanter is introduced into the hollow tube 181 through the inlet 1811 and exited from the hollow tube 181 through the outlet 1812. In accordance with a key feature, the inner wall 1810 of the hollow tube 181 is processed to have a special shape. In this embodiment, a plurality of protruding structures 25 are formed on the inner wall 1810 of the hollow tube 181. Each of the protruding structures 25 has a reflective surface 250 for reflecting a portion of the scattered ion beam and changing the direction of the scattered ion beam. In such way, the proportion of the scattered ion beam to be exited from the outlet 1812 will be reduced. As shown in FIG. 3, these protruding structures 25 are contiguous wedge-shaped structures on the inner wall 1810 of the hollow tube 181. The reflective surface 250 faces the traveling direction of the ion beam. Moreover, an included angle θ between the reflective surface 250 and the traveling direction of the ion beam is 45˜135 degrees, and preferably 90 degrees. In this embodiment, the included angle θ between the reflective surface 250 and the traveling direction of the ion beam is 90 degree. That is, the reflective surface 250 is substantially vertical to the traveling direction of the ion beam. In this embodiment, the height H of the reflective surface 250 is 2 mm, and the length L of the protruding structure 25 along the traveling direction of the ion beam is 10 mm. In other words, a ratio of the height H of the reflective surface 250 of the protruding structure 25 to the length L of the protruding structure 25 along the traveling direction of the ion beam is about 1:5. Since the reflective surface 250 is effective for reflecting a portion of the scattered ion beam and changing the direction of the scattered ion beam, the proportion of the scattered ion beam to be exited from the outlet 1812 is largely reduced. Under this circumstance, the scattered ion beam to be implanted into the wafer 20 and detected by the end analyzer 180 will be minimized or eliminated. Therefore, the dopant dose of the ion implanter can be precisely controlled. FIG. 4 schematically illustrates an application example of the hollow tube of the beam line system according to an embodiment of the present invention. In this embodiment, the hollow tube 181 is equipped at a collimator of the ion implanter, and has an inlet 1811 and an outlet 1812. That is, the hollow tube 181 is a collimator tube, which is made of graphite. The cross section of the hollow tube 181 along the line AA may be illustrated with reference to the configurations of FIG. 3. From the above description, since the beam line system of the present invention has special inner wall configurations of the hollow tube, the proportion of the scattered ion beam is minimized. As a consequence, the dopant dose of the ion implanter can be precisely controlled. While the invention has been described in terms of what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention needs not be limited to the disclosed embodiment. On the contrary, it is intended to cover various modifications and similar arrangements included within the spirit and scope of the appended claims which are to be accorded with the broadest interpretation so as to encompass all such modifications and similar structures.
abstract
An apparatus for destroying bacteria is provided which includes a neutron generator and a target polymer film which will receive the impact of neutron emissions. Neutrons impacting the target film produce a second emission of high energy protons which are made to move through an electromagnetic field external to the neutron tube thereby accelerating and steering a generated proton spray. This embodiment is well-suited for treating physical locations known to be infected by pathogenic microorganisms.
062981080
description
The Figures are not drawn to exact scale or proportion for the purpose of better illustration. DETAILED DESCRIPTION OF THE INVENTION A sketch of the fuel rod of prior art is shown in FIG. (1), where the following three components are marked. The first component is the sealed cylindrical tube (C) called the "clad" which is made of a material with low neutron absorption cross section such as a Zirconium-based alloy. The second component is a stack of cylindrical pellets (P) containing the fissionable matter in ceramic form. The stack of pellets rests on the bottom of the cladding tube (C) and is held down by a compressed spring (S), which makes the third component. The space atop the stack where the fission gas accumulates is called the fission gas plenum which is marked on FIG. (1) as (F). The clearance (G) between the pellets and the clad is called the "gap." The approximate length of the fuel rod is 4 m, and its diameter is approximately 1 cm. The height of the pellet stack is approximately 3.7 m, which leaves a height of approximately 30 cm for the gas plenum. In contrast with the fuel rod of the prior art, a sketch of the new invention is shown in FIG. (2) and illustrated herein. A crushable support tube (R) is placed under the pellet stack thus shifting the stack to a higher elevation. The exact length of the crushable support tube (R) can be varied as a design parameter, and is shown in FIG. (2) as approximately 15 cm. The placement of the crushable support tube (R) creates a new space for fission gas accumulation defined as the "lower plenum" (LP). The space atop the pellet stack, called the "upper plenum" (UP) is reduced compared with the space (F) shown in FIG. (1). The total volume of the two plena (LP) and (UP) shown in FIG. (2) remains approximately similar to the prior art gas volume (F) shown in FIG. (1) which can accommodate the same amount of fission gas release. The length of the crushable tube is .DELTA.Z, which is also the pellet stack displacement length. At any time in the fuel cycle, the fission power generated in the pellets has a fixed shape as a function of the axial position of the pellets relative to the bottom-most pellet. When the pellet stack is shifted upward by .DELTA.Z, the axial power shape in the assembly is similarly shifted upward by .DELTA.Z relative to the reference coolant entry point at the bottom of the assembly. The resulting change in the characteristics of the pressure drop shift is shown in FIG. (3). The rate of pressure drop, dp/dZ, is plotted as a function of the elevation Z along the length of the assembly. Three distinct zones are discernible. The first zone is the single phase zone Z.sub.1 to Z.sub.2 where the pressure drop rate is constant and relatively small. The second zone spans the length between Z.sub.2 and Z.sub.3 where the pressure drop rate monotonically increases with elevation, as the steam content increases by energy addition to the flowing coolant. The third zone which spans the length between Z.sub.3 and Z.sub.4 is characterized by pressure drop rate of relatively high but constant magnitude, as the said span does not contain any pellets and the steam content in the flowing coolant remains constant. The pressure drop rate is shown for the prior art fuel rod by a solid line in FIG. (3). With the shifted pellets and the axial power shape, the elevation at the onset of boiling, called the boiling boundary, is shifted to Z.sub.2 +.DELTA.Z. The elevation marking the end of the heated length Z.sub.3 is similarly shifted to Z.sub.3 +.DELTA.Z. The location of the top of the fuel rod Z.sub.4 remains unchanged. The pressure drop rate along the assembly with rods of the new design is marked in FIG. (3) by the dash line. The total pressure drop .DELTA.p is the integration of the pressure drop rate with respect to the assembly length Z according to the equation ##EQU1## It is obvious that the total pressure drop is the area under the pressure drop rate curve, which results in concluding that EQU .DELTA.p(new)<.DELTA.p(old), where .DELTA.p(new) and .DELTA.p(old) are the pressure drop of the new design and the prior art design respectively. The reduction in the total and 2-.phi. pressure drop with the upward shift of the boiling boundary is one of the important objectives of this invention. The total pressure drop reduction allows useful design changes which have the side effect of inadvertently increasing the pressure drop. Examples of such design changes are 1. The use of larger diameter fuel rods containing more fissionable material. 2. Allow more spacers to be used particularly in the top section of the assembly, where the flow mixing effect of the spacer increases pressure drop but also improves the critical power performance against dryout. 3. Allow the reduction of the number of part-length fuel rods, or mitigate the need to increase same, which are used to reduce the 2-.phi. pressure drop at the expense of reducing the mass of the fissionable material. 4. Allow using higher pressure drop inlet piece (such as lower tie plate) with the effect of substantial decrease in the 2-.phi. pressure drop relative to the single phase pressure drop resulting in increasing the safety margin against density wave instabilities and more reactor operational flexibility. 5. Allows higher flow rates for the new fuel assembly which decreases the steam content in the boiling section of the flow and increases the neutron moderation efficiency and the neutron economy of the fuel assembly. This allows using lower U-235 enrichment and reduces the cost of the fuel assembly. It must be noted that the spacer locations needed to support the fuel rods against rod bow and seismic loads need not be changed due to the use of the new fuel rod design with upward-shifted pellet stack. The axial power shape is thus shifted relative to the spacer locations. Critical heat flux causing dryout occurs immediately under a spacer as it is the largest distance away from the next spacer below. This is explained as due to the spacer flow mixing effect which increases the critical heat flux, and such effect decays as the fluid travels away from the spacer. Thus, shifting the top spacer down to a lower elevation where the power is higher would help with increasing the critical power. However, the spacer location must not be shifted physically so that its mechanical support function is not adversely affected. A relative shift of the spacer location is produced by shifting the axial power shape instead. Therefore, the new invention results in improving the critical power performance of the fuel assembly without the need to increase the number of spacers. This does not preclude the increase of the number of spacers as mentioned above as a possible means to increase critical heat flux. The device for affecting the pellet stack shift is a crushable tube placed under the fuel pellet stack. While any method for supporting the fuel pellet stack produces the same pressure drop advantages of this invention, the crushable tube offers important advantages. As the fissionable material is consumed under irradiation, the fuel pellets undergo physical changes such as swelling due to accumulation of fission gas in the porous ceramic material. The pellet may also crack due to these irradiation effects and thermal stresses. The aforementioned physical changes in the pellet result in closing the small gap between the pellet and the clad, which is called pellet-clad mechanical interaction which prevents the pellet from sliding freely relative to the clad. Under unanticipated power transients, which may result in large differential thermal expansion of the pellets relative to the clad, the clad section between the bottom of the fuel rod and the first pellet experiencing pellet-clad interaction comes under large mechanical stress resulting in possible clad failure and the release of radioactive-fission products into the coolant stream. The use of the crushable tube of this invention allows the expansion of the fuel pellet stack under these severe conditions by crushing the tube and relieving the mechanical stress thus avoiding clad failure. The threshold crushing force required to compress the crushable tube must be designed to be larger than the weight of the pellet stack plus the compression force of the spring located in the upper gas plenum. The same crushing force must be lower than the minimum force required to cause clad failure. A sketch of the preferred embodiment of the crushable tube is shown in FIG. (4). In the said sketch, the tube (R) has a cylindrical cross section with outer diameter slightly smaller than the inner diameter of the cladding tube. The wall of the crushable tube is perforated at several places to make holes (H) which serve to weaken the structure of the tube and allow the crushing desired to occur above a certain design stress. The material removed by the perforation allows a larger volume for the lower plenum which is desired for accommodating fission gas released by the fuel pellets.
summary
description
FIG. 1A is a simplified illustration of one embodiment of an apparatus 10 including a magnetic shunt assembly 12 having features of the present invention. In addition to the magnetic shunt assembly 12, the apparatus 10 illustrated in FIG. 1A includes (i) an apparatus frame 13, (ii) a first stage assembly 14 including a first mover assembly 16, (iii) a second stage assembly 18 including a second mover assembly 20, (iv) an illumination system 22 (irradiation apparatus) having an illumination optical assembly 24, and (v) a projection optical assembly 26. The mover assemblies 16, 20 are surrounded by magnetic fields 27 (a portion of the magnetic fields is illustrated in FIG. 1D). In addition, an optical base 28 may be present. A number of embodiments of the magnetic shunt assembly 12 are provided herein. In one or more of these embodiments, the magnetic shunt assembly 12 provides a low magnetic reluctance path that redirects the magnetic fields from the respective mover assembly 16, 20 away from the optical assemblies 24, 26 without significantly influencing the dynamic performance of the respective stage assembly 14, 18. The apparatus 10 may be for lithography, metrology, or inspection. The apparatus 10 is particularly useful as an exposure apparatus such as a lithographic tool that transfers a pattern (not shown) of an integrated circuit from a first device 30 such as a reticle onto a second device 32 such as a semiconductor wafer. There are a number of different types of lithographic tools. For example, the exposure apparatus 10 can be used as scanning type lithography system that exposes the pattern from the reticle 30 onto the wafer 32 with the reticle 30 and wafer 32 moving synchronously. In a scanning type lithographic device, the reticle 30 is moved perpendicular to an optical axis of the projection optical assembly 26 by the first stage assembly 14, and the wafer 32 is moved perpendicular to the optical axis of the projection optical assembly 26 by the second stage assembly 18. Scanning of the reticle 30 and the wafer 32 occurs while the reticle 30 and the wafer 32 are moving synchronously. Alternately, for example, the exposure apparatus 10 can be a step-and-repeat type lithography system that exposes the reticle 30 while the reticle 30 and the wafer 32 are stationary. In the step and repeat process, the wafer 32 is in a constant position relative to the reticle 30 and the projection optical assembly 26 during the exposure of an individual field. Subsequently, between consecutive exposure steps, the second stage assembly 18 consecutively moves the wafer 32 perpendicular to the optical axis of the projection optical assembly 26 so that the next exposure field of the wafer 32 is brought into position relative to the projection optical assembly 26 and the reticle 30 for exposure. Following this process, the patterns on the reticle 30 are exposed onto the wafer 32, so that the next field of the wafer 32 is brought into position relative to the projection optical assembly 26 and the reticle 30. The present invention is likely to be most useful when the irradiation consists of charged particles, such as electrons or ions. However, the present invention can also be useful in lithography systems where the irradiation consists of photons of any wavelength. Further, the use of the apparatus 10 provided herein is not limited to a lithography system for semiconductor manufacturing. The apparatus 10, for example, can be used as an LCD lithography system that exposes a liquid crystal display device pattern onto a rectangular glass plate or a lithography system for manufacturing a thin film magnetic head. Some of the Figures provided herein include a coordinate system that designates an X axis, a Y axis, and a Z axis. It should be understood that the coordinate system is merely for reference and can be varied. For example, the X axis can be switched with the Y axis and/or the exposure apparatus 10 can be rotated. It should also be noted that the terms first and/or second, used in the description of a number of the components of the apparatus 10 is for convenience. The apparatus frame 13 illustrated in FIG. 1A supports the assemblies of the apparatus 10 above a mounting base 34. The design of the apparatus frame 13 can be varied to suit the design requirements for the rest of the apparatus 10. Typically, the apparatus frame 13 is generally rigid. The mounting base 34 can be the ground, a base, or floor or some other supporting structure such as a vibration isolation system. The illumination system 22 includes an illumination source 36 and the illumination optical assembly 24. The illumination source 36 emits a beam (irradiation) of energy. The illumination source 36 can be a charged particle beam such as an ion or an electron beam. For instance, in the case where an electron beam is used, thermionic emission type lanthanum hexaboride (LaB6) or tantalum (Ta) can be used as the cathode material in an electron gun. Furthermore, in the case where an electron beam is used, the exposure apparatus could be such that either a mask is used or a pattern can be directly formed on a substrate without the use of a mask, by appropriately deflecting and blanking the electron beam. In this case a reticle and reticle stage would normally not be present. Alternately, for a photolithography exposure tool the illumination source 36 can also emit a beam of light energy. The illumination source 36 can be g-line (436 nm), i-line (365 nm), KrF excimer laser (248 nm), ArF excimer laser (193 nm), or F2 laser (157 nm). In the embodiment in FIG. 1A, the illumination source 36 is illustrated as being positioned above the illumination optical assembly 24. Alternately, for example, the illumination source 36 can be secured to the side of the apparatus frame 13. The illumination optical assembly 24 guides the irradiation from the illumination source 36 to above the first stage assembly 14. In the embodiment illustrated in the Figures, the housing of the illumination optical assembly 24 can provide some magnetic shielding of the components and the beam within the housing of the illumination optical assembly 24. It should be noted that a first gap 37 exists between the illumination optical assembly 24 and the projection optical assembly 26. The first gap 37 allows for the positioning of the first device 30 by the first stage assembly 14 between the illumination optical assembly 24 and the projection optical assembly 26. The size of the first gap 37 can be varied to suit the design requirements of the first stage assembly 14, the first device 30, and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, the first gap 37 is between approximately 40 mm and 80 mm. However, the first gap 37 can be greater or less than this range. The first stage assembly 14 holds and positions the reticle 30 relative to the projection optical assembly 26 and the second device 32. The design of the first stage assembly 14 and the components of the first stage assembly 14 can be varied to suit the design requirements of the apparatus 10. A simplified version of a suitable first stage assembly 14 is illustrated in FIGS. 1A-1C. In this embodiment, the first stage assembly 14 includes a first carriage support assembly 38, a first carriage 40, a first stage 44, and the first mover assembly 16. The first carriage support assembly 38 supports and guides the movement of the first carriage 40. In the embodiment illustrated in FIGS. 1A-1C, the first carriage support assembly 38 includes a left carriage support 48A and a right carriage support 48B. Each carriage support 48A, 48B is a beam that has a substantially xe2x80x9cCxe2x80x9d shaped cross-section. Each carriage support 48A, 48B includes a carriage bearing 50 that guides the movement of the first carriage 40 The first carriage 40 guides the movement of the first stage 44. The first carriage 40 extends between the carriage supports 48A, 48B and is moved relative to the carriage supports 48A, 48B along the X axis with the first mover assembly 16. In the embodiment illustrated in FIGS. 1A-1C, the first carriage 40 includes (i) a left side plate 52A, (ii) a right side plate 52B spaced apart from the left side plate 52A, (iii) an upper stage guide 52C that extends between the side plates 52A, 52B, and (iv) a lower stage guide 52D that is spaced apart from the upper stage guide 52C and extends between the side plates 52A, 52B. The side plates 52A, 52B support the stage guides 52C, 52D while the stage guides 52C, 52D support and guide the movement of the first stage 44. In this embodiment, each of the side plates 52A, 52B is somewhat rectangular plate shaped and each of the stage guides 52C, 52D is somewhat annular tube shaped. However, other configurations of the side plates 52A, 52B and the stage guides 52C, 52D are possible. The left side plate 52A extends upward from near a bottom of the left carriage support 48A and the right side plate 52B extends upward from near a bottom of the right carriage support 48B. In this embodiment, each of the side plates 52A, 52B is maintained apart from the respective carriage support 48A, 48B with a carriage bearing 50 that allows for motion of the first carriage 40 along the X axis relative to the first carriage support assembly 38. Further, the carriage bearing 50 inhibits motion of the first carriage 40 along the Y axis and along the Z axis relative to the first carriage support assembly 38. Each bearing can include a fluid bearing that maintains the first carriage 40 spaced apart along the Z axis relative to the first carriage support assembly 38 in a non-contact manner. Alternately, the first carriage 40 can be supported relative to the first carriage support assembly 38 in other ways. For example, a ball bearing type assembly could be utilized that allows for motion of the first carriage 40 relative to the first carriage support assembly 38. Alternately, a magnetic type bearing could be utilized, provided the magnetic fields generated by the bearing are small enough not to perturb the charged particle beam in the optical assemblies. The first stage 44 retains the first device 30. The design of the first stage 44 can be varied to suit the design requirements of the apparatus 10. In the embodiment illustrated in FIGS. 1A-1C, the first stage 44 includes a device table 54A and a stage frame 54B that is coupled to the device table 54A. The device table 54A is sized and shaped to fit within the first gap 37 between the illumination optical assembly 24 and the projection optical assembly 26. Further the device table 54A retains the first device 30. In the embodiment illustrated in the Figures, the device table 54A is generally flat plate shaped and includes an opening that allows the energy beam (not shown) to pass through the reticle 30 to the projection optical assembly 26 and a device holder (not shown) that retains the first device 30. The device holder can be, for example, an electrostatic chuck or some other type of clamp. Although typically only a single reticle 30 is used to expose a device pattern on the wafer 32, more than one reticle may sometimes be used, and several reticles may be mounted simultaneously on first stage 44. The stage frame 54B includes (i) a left frame side 56A, (ii) a right frame side 56B spaced apart from the left frame side 56A, (iii) an upper frame guide 56C that extends between the frame sides 56A, 56B, and (iv) a lower frame guide 56D that extends between the frame sides 56A, 56B and is spaced apart from the upper frame guide 56C. In addition, the stage frame 54B includes a table bracket 56E, a frame top 56F, and a frame bottom 56G. In the embodiment illustrated in FIGS. 1A-1C, each frame side 56A, 56B is generally arc shaped, and each frame side 56A, 56B extends away from the frame guides 56C, 56D towards the illumination optical assembly 24. Each frame guide 56C, 56D is generally annular tube shaped and is sized, shaped and positioned to receive the respective stage guides 52C, 52D. In this embodiment, each of the frame guides 56C, 56D is maintained apart from the respective stage guides 52C, 52D with a bearing (not shown) that allows for motion of the first stage 44 relative to the first carriage 40 along the Y axis. Further, the bearing inhibits motion of the first stage 44 relative to the first carriage 40 along the X axis and along the Z axis. Each bearing can be a fluid bearing that maintains the first stage 44 spaced apart relative to the first carriage 40 in a non-contact manner. Alternately, the first stage 44 can be supported relative to the first carriage 40 in other ways. For example, a ball bearing type assembly could be utilized that allows for motion of the first stage 44 relative to the first carriage 40. Alternately, a magnetic type bearing could be utilized, provided the magnetic fields generated by the bearing are small enough not to perturb the charged particle beam in the optical assemblies. The first mover assembly 16 moves and positions the first stage 44 along the X axis and along the Y axis. Alternately, for example, the first mover assembly 16 could be designed to move the first stage 44 relative to the rest of the apparatus 10 along the X axis, along the Y axis, along the Z axis, about the X axis, about the Y axis and/or about the Z axis. In the embodiment illustrated in the Figures, the first mover assembly 16 includes (i) a left X mover 58A and a spaced apart right X mover 58B that cooperate to move the first carriage 40 and the first stage 44 along the X axis and (ii) an upper Y mover 60A and a spaced apart lower Y mover 60B that cooperate to move the first stage 44 along the Y axis. The design of the movers 58A, 58B, 60A, 60B can be varied. For example, each of the movers 58A, 58B, 60A, 60B can be a rotary motor, a planar motor, a voice coil motor, a linear motor, an electromagnetic actuator, and/or some other type of force actuator. In the embodiment illustrated in FIGS. 1A-1C, each of the movers 58A, 58B, 60A, 60B is a linear motor that includes a magnet component 62A and an electrical conductor component 62B. The magnet component 62A includes one or more magnet arrays and the electrical conductor component 62B includes one or more conductor arrays. Each of the magnet arrays includes one or more magnets. Each of the magnets is preferably made of a high magnetic energy product, rare earth, permanent magnetic material such as NdFeB. Alternately, for example, each magnet can be made of a low magnetic energy product, ceramic or other type of material that is surrounded by a magnetic field. The conductor array includes one or more electrical conductor coils. Referring back to FIGS. 1A-1C, (i) for the left X mover 58A, the magnet component 62A is secured to the left carriage support 48A and the conductor component 62B is secured to the left side plate 52A, (ii) for the right X mover 58B, the magnet component 62A is secured to the right carriage support 48B and the conductor component 62B is secured to the right side plate 52B, (iii) for the upper Y mover 60A, the magnet component 62A is secured to the first carriage 40 and the conductor component 62B is secured to the first stage 44, and (iv) for the lower Y mover 60B, the magnet component 62A is secured to the first carriage 40 and the conductor component 62B is secured to the first stage 44. With this design, only the Y movers 60A, 60B have moving magnetic components 62A and moving magnetic fields. The moving magnetic fields create time dependent magnetic fields at the optical assemblies and can be problematic. In addition the electrical conductor components 62B also generate time dependent magnetic fields when the first mover assembly 16 is energized, and these magnetic fields may also perturb the electron beam. Alternately, for example, the first mover assembly 46 can be designed so that (i) for the left X mover 58A, the conductor component 62B is secured to the left carriage support 48A and the magnet component 62A is secured to the left side plate 52A, (ii) for the right X mover 58B, the conductor component 62B is secured to the right carriage support 48B and the magnet component 62A is secured to the right side plate 52B, (iii) for the upper Y mover 60A, the conductor component 62B is secured to the first carriage 40 and the magnet component 62A is secured to the first stage 44, and (iv) for the lower Y mover 60B, the conductor component 62B is secured to the first carriage 40 and the magnet component 62A is secured to the first stage 44. With this design, all of the movers 58A, 58B, 60A, 60B have moving magnetic components 62A and moving magnetic fields. Additionally, the first stage assembly 14 can include a first container 66 that encloses the reticle 30 and is used to provide a controlled environment around the reticle 30. Stated another way, the first container 66 surrounds the first stage assembly 14, allows for a controlled environment surrounding the first stage 44 and the first device 30, and the first container 66 can provide magnetic shielding from external sources of magnetic fields. The controlled environment, for example, can be a vacuum, or an inert gas. As described more fully below, if the container 66 provides magnetic shielding, it may be advantageous to separate the container 66 from the optical assemblies 24, 26 by small gaps 113A, 113B. The first container 66 can be sealed to the illumination optical assembly 24 and the projection optical assembly 26 with mechanical bellows, made of a non-magnetic material, (not shown), or flexible organic or inorganic seals, such as o-ring seals. In this embodiment, the first container 66 is generally box shaped. In the embodiment illustrated in FIGS. 1A-1C, the bottom of the first container 66 is secured to the apparatus frame 13 to maintain the first container 66 above the mounting base 34. Further, the bottom of each carriage support 48A, 48B is secured to the first container 66 to support the first stage assembly 14 above the mounting base 34. If the movers 58A, 58B, 60A, 60B are used in a charged particle exposure apparatus 18, they will likely operate within a vacuum environment required by the charged particle beam. In that event, the components of the movers 58A, 58B, 60A, 60B must be vacuum compatible, and the components must be constructed and assembled using vacuum compatible materials and procedures. In addition the bearings must be vacuum compatible. For example, if fluid bearings are used, the fluid bearings must be of a vacuum compatible design. The projection optical assembly 26 projects and/or focuses the irradiation passing through reticle 30 to the wafer 32. Depending upon the design of the apparatus 10, the projection optical assembly 26 can magnify or reduce the image created at the reticle. Alternately, the projection optical assembly 26 can be a 1xc3x97 magnification system. With respect to the projection optical assembly 26, when an electron beam is used, electron optics should preferably consist of electron lenses and deflectors. The optical path for the electron beams should be in a vacuum. The projection optical assembly 26 can include a housing that provides magnetic shielding for the components within the housing of the projection optical assembly 26. It should be noted that a second gap 67 may exist between the projection optical assembly 26 and the optical base 28. An optical base 28 may be necessary if the projection optical assembly 26 is an immersion type, where the second device 32 normally lies within a magnetic field of significant magnitude, generated by the projection optical assembly, and this magnetic field significantly determines the optical properties and performance of the projection optical assembly 26. The second gap 67 allows for the positioning of the second device 32 by the second stage assembly 18 below the projection optical assembly 26. The size of the second gap 67 can be varied to suit the design requirements of the second stage assembly 18, the second device 32 and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, the second gap 67 is between approximately 40 mm and 100 mm. However, the second gap 67 can be greater or less than this range. Alternately, the projection optical assembly 26 may not be an immersion type, the magnetic field at the second device 32 may be negligible as far as its effects on the optical properties of the the projection optical assembly 26 are concerned, and the optical base 28 may be absent. In either case, stray magnetic fields from the second mover assembly 20 may perturb the electron beam in the region between the bottom of the projection optical assembly 26 and the second device 32. The second stage assembly 18 holds and positions the second device 32 with respect to the projected image of the illuminated portions of the reticle 30. The design of the second stage assembly 18 and the components of the second stage assembly 18 can be varied to suit the design requirements of the apparatus 10. In the design illustrated in FIG. 1A, the second stage assembly 18 is substantially similar to the first stage assembly 14. However, each stage assembly 14, 18 can be designed differently. More specifically, a simplified version of a suitable second stage assembly 18 is illustrated in FIG. 1A. In this embodiment, the second stage assembly 18 includes a second carriage support assembly 68, a second carriage 70, a second stage 74, and the second mover assembly 20. The second carriage support assembly 68 supports and guides the movement of the second carriage 70. In the embodiment illustrated in FIG. 1A, the second carriage support assembly 68 includes a left carriage support 78A and a right carriage support (not shown). Each carriage support 78A is a beam that has a substantially xe2x80x9cCxe2x80x9d shaped cross-section. Each carriage support 78A includes a carriage bearing 80 that guides the movement of the second carriage 70. The second carriage 70 guides the movement of the second stage 74. The second carriage 70 extends between the carriage supports 78A and is moved relative to the carriage supports 78A along the X axis with the second mover assembly 20. In the embodiment illustrated in FIG. 1A, the second carriage 70 includes (i) a left side plate 82A, (ii) a right side plate (not shown) spaced apart from the left side plate 82A, (iii) an upper stage guide 82C that extends between the side plates 82A, and (iv) a lower stage guide 82D that is spaced apart from the upper stage guide 82C and extends between the side plates 82A. The side plates 82A support the stage guides 82C, 82D while the stage guides 82C, 82D support and guide the movement of the second stage 74. In this embodiment, each of the side plates 82A is somewhat rectangular plate shaped and each of the stage guides 82C, 82D is somewhat annular tube shaped. However, other configurations of the side plates 82A and the stage guides 82C, 82D are possible. The left side plate 82A extends upward from near a bottom of the left carriage support 78A and the right side plate extends upward from near a bottom of the right carriage support. In this embodiment, each of the side plates 82A, 82B is maintained apart from the respective carriage support 78A, 78B with a carriage bearing 80 that allows for motion of the second carriage 70 along the X axis relative to the second carriage support assembly 68. Further, the carriage bearing 80 inhibits motion of the second carriage 70 along the Y axis and along the Z axis relative to the second carriage support assembly 68. The carriage bearing 80 can be a fluid bearing that maintains the second carriage 70 spaced apart along the Z axis relative to the second carriage support assembly 68 in a non-contact manner. Alternately, the second carriage 70 can be supported relative to the second carriage support assembly 68 in other ways. For example, a ball bearing type assembly could be utilized that allows for motion of the second carriage 70 relative to the second carriage support assembly 68. Alternately, a magnetic type bearing could be utilized, provided the magnetic fields generated by the bearing are small enough not to perturb the charged particle beam in the optical assemblies. The second stage 74 retains the second device 32. The design of the second stage 74 can be varied to suit the design requirements of the apparatus 10. In the embodiment illustrated in FIG. 1A, the second stage 74 includes a device table 84A and a stage frame 84B that is coupled to the device table 84A. The device table 84A is sized and shaped to fit within the second gap 67 below the projection optical assembly 26. Further, the device table 84A retains the second device 32. In the embodiment illustrated in the Figures, the device table 84A is generally flat plate shaped. The device holder can be, for example, an electrostatic chuck or some other type of clamp. The stage frame 84B includes (i) a left frame side 86A, (ii) a right frame side (not shown) spaced apart from the left frame side 86A, (iii) an upper frame guide 86C that extends between the frame sides 86A, and (iv) a lower frame guide 86D that extends between the frame sides 86A and is spaced apart from the upper frame guide 86C. In addition the stage frame 84B includes a table bracket 86E, a frame top 86F, and a frame bottom 86G. In the embodiment illustrated in FIG. 1A, each frame side 86A is generally arc shaped and each frame side 86A extends away from the frame guides 86C, 86D towards the illumination optical assembly 24. Each frame guide 86C, 86D is generally annular tube shaped and is sized, shaped and positioned to receive the respective stage guides 82C, 82D. In this embodiment, each of the frame guides 86C, 86D is maintained apart from the respective stage guides 82C, 82D with a bearing (not shown) that allows for motion of the second stage 74 relative to the second carriage 70. Further, the bearing inhibits motion of the second stage 74 relative to the second carriage 70 along the X axis and along the Z axis. Each bearing can be a fluid bearing that maintains the second stage 74 spaced apart relative to the second carriage 70 in a non-contact manner. Alternately, the second stage 74 can be supported relative to the second carriage 70 in other ways. For example, a ball bearing type assembly could be utilized that allows for motion of the second stage 74 relative to the second carriage 70. Alternately, a magnetic type bearing could be utilized, provided the magnetic fields generated by the bearing are small enough not to perturb the charged particle beam in the optical assemblies. The second mover assembly 20 moves and positions the second stage 74 along the X axis and along the Y axis. Alternately, for example, the second mover assembly 20 could be designed to move the second stage 74 relative to the rest of the apparatus 10 along the X axis, along the Y axis, along the Z axis, about the X axis, about the Y axis and/or about the Z axis. In the embodiment illustrated in the Figures, the second mover assembly 20 includes (i) a left X mover 88A and a spaced apart right X mover (not shown) that cooperate to move the second carriage 70 and the second stage 74 along the X axis and about the Z axis and (ii) an upper Y mover 90A and a spaced apart lower Y mover 90B that cooperate to move the second stage 74 along the Y axis. The design of the movers 88A, 90A, 90B can be varied. For example, each of the movers 88A, 90A, 90B can be a rotary motor, a planar motor, a voice coil motor, a linear motor, an electromagnetic actuator, and/or some other type of force actuator. In the embodiment illustrated in FIG. 1A, each of the movers 88A, 90A, 90B is a linear motor that includes a magnet component 92A and an electrical conductor component 92B. The magnet component 92A includes one or more magnet arrays and the electrical conductor component 92B includes one or more conductor arrays. Each of the magnet arrays includes one or more magnets. Each of the magnets is preferably made of a high energy product, rare earth, permanent magnetic material such as NdFeB. Alternately, for example, each magnet can be made of a low energy product, ceramic or other type of material that is surrounded by a magnetic field. The conductor array includes one or more electrical conductor coils. In the embodiment illustrated in FIG. 1A, (i) for the left X mover 88A, the magnet component (not shown) is secured to the left carriage support 78A and the conductor component (not shown) is secured to the left side plate 82A, (ii) for the right X mover, the magnet component (not shown) is secured to the right carriage support and the conductor component (not shown) is secured to the right side plate, (iii) for the upper Y mover 90A, the magnet component 92A is secured to the first carriage 70 and the conductor component 92B is secured to the second stage 74, and (iv) for the lower Y mover 90B, the magnet component 92A is secured to the second carriage 70 and the conductor component 92B is secured to the second stage 74. With this design, only the Y movers 90A, 90B have moving magnetic components 92A and moving magnetic fields. The moving magnetic fields create time dependent magnetic fields at the optical assemblies and can be problematic. In addition the electrical conductor components 92B also generate time dependent magnetic fields when the second mover assembly 20 is energized, and these magnetic fields may also perturb the electron beam. Alternately, for example, the second mover assembly 20 can be designed so that (i) for the left X mover 88A, the conductor component is secured to the left carriage support 78A and the magnet component is secured to the left side plate 82A, (ii) for the right X mover, the conductor component is secured to the right carriage support and the magnet component is secured to the right side plate, (iii) for the upper Y mover 90A, the conductor component 92B is secured to the second carriage 70 and the magnet component 92A is secured to the second stage 74, and (iv) for the lower Y mover 90B, the conductor component 92B is secured to the second carriage 70 and the magnet component 92A is secured to the second stage 74. With this design, all of the movers 88A, 90A, 90B have moving magnetic components 92A and moving magnetic fields. Additionally, the second stage assembly 20 can include a second container 96 that encloses the wafer 32 and is used to provide a controlled environment around the wafer 32. The controlled environment, for example, can be a vacuum, or an inert gas. The second container 96 surrounds the second stage assembly 18 and allows for a controlled environment surrounding the second stage 74 and the second device 32, and the second container 96 can provide magnetic shielding from external sources of magnetic fields. As described more fully below, if the container 96 provides magnetic shielding, it may be advantageous to separate the container 96 from the projection optical assembly 26 by a small gap 123. The second container 96 can be sealed to the projection optical assembly 28 with mechanical bellows, made of a non-magnetic material, (not shown), or flexible organic or inorganic seals, such as o-ring seals. In this embodiment, the second container 96 is generally box shaped. In the embodiment illustrated in FIG. 1A, the bottom of the second container 96 is secured to the apparatus frame 13 to maintain the second container 96 above the mounting base 34. Further, the bottom of each carriage support 78A is secured to the second container 96 to support the second stage assembly 18 above the mounting base 34. If the movers 88A, 90A, 90B are used in a charged particle exposure apparatus 18, they will likely operate within a vacuum environment required by the charged particle beam. In that event, the components of the movers 88A, 90A, 90B must be vacuum compatible, and the components must be constructed and assembled using vacuum compatible materials and procedures. In addition the bearings must be vacuum compatible. For example, if fluid bearings are used, the fluid bearings must be of a vacuum compatible design. Preferably, the apparatus 10 includes a measurement assembly (not shown) that monitors the position of the first stage 44 and the second stage 74 relative to the projection optical assembly 26 or some other reference location. With this information, the first mover assembly 16 can be used to precisely position the first stage 44, and the second mover assembly 20 can be used to precisely position of the second stage 74. Typically, the measurement assembly utilizes one or more laser interferometers, encoders, and/or other measuring devices. The primary use of the magnetic shunt assembly 12 is to reduce the perturbations of the charged particle beam in the illumination optical assembly 24, the projection optical assembly 26, and the gaps 37, 67 caused by time varying magnetic fields generated by operation of the first stage 44 and/or the second stage 74. The design of the magnetic shunt assembly 12 can be varied to suit the design requirements of the apparatus 10. A number of alternate embodiments of the magnetic shunt assembly 12 are illustrated in the Figures. In particular, FIGS. 1A-1C illustrate a first embodiment of the magnetic shunt assembly 12, FIG. 1E illustrates a second embodiment of the magnetic shunt assembly 12, FIGS. 2A-2C illustrate a third embodiment of the magnetic shunt assembly 12, FIGS. 3A-3C illustrate a fourth embodiment of the magnetic shunt assembly 12, FIGS. 4A-4C illustrate a fifth embodiment of the magnetic shunt 12, and FIGS. 7A-7C illustrate a sixth embodiment of the magnetic shunt 12. In one or more of these embodiments, the magnetic shunt assembly 12 redirects the magnetic field away from one or more of the gaps 37, 67 to minimize the magnitude of the magnetic fields entering the gaps 37, 67 and the housings of the optical assemblies 24, 26. In the embodiment illustrated in FIGS. 1A-1C, the magnetic shunt assembly 12 includes (i) a first magnetic shunt subassembly 100 positioned near the first gap 37 and the first stage assembly 14, and (ii) a second magnetic shunt subassembly 102 positioned near the second gap 67 and the second stage assembly 18. In this embodiment, the design of the first magnetic shunt subassembly 100 is substantially the same as the design of the second magnetic shunt subassembly 102. Alternately, the design of the first magnetic shunt subassembly 100 can differ from the design of the second magnetic shunt subassembly 102. Still alternately, the magnetic shunt assembly 12 can include only one of the magnetic shunt subassemblies 100, 102. In the embodiment illustrated in FIGS. 1A-1C, the first magnetic shunt subassembly 100 includes (i) a first magnetic shunt 104 positioned above the first stage 44 between the upper Y mover 60A and the illumination optical assembly 24 and (ii) a second magnetic shunt 106 positioned below the first stage 44 between the lower Y mover 60B and the projection optical assembly 26. In this embodiment, each magnetic shunt 104, 106 is substantially flat plate shaped and extends substantially perpendicular to the first stage 44 and substantially parallel with a longitudinal axis 108 of the optical assemblies 24, 26. Further, the first magnetic shunt 104 is secured to and extends downward from the top of the first container 66 between the sides of the first container 66 towards the first stage 44 and the second magnetic shunt 106 is secured to and extends upward from the bottom of the first container 66 between the sides of the first container 66 towards the first stage 44. Alternately, for example, the first magnetic shunt subassembly 100 can include only one of the magnetic shunts 104, 106. In the embodiment illustrated in FIGS. 1A-1C, the magnetic shunts 104, 106 are spaced apart from the first stage 44. More specifically, an upper gap 110A exists between the bottom of the first magnetic shunt 104 and the first stage 44 and a lower gap 110B exists between the top of the second magnetic shunt 106 and the first stage 44 to allow for easy movement of the first stage 44 relative to the magnetic shunts 104, 106. The size of each gap 110A, 110B can be varied to suit the design requirements of the first stage assembly 14 and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, each gap 110A, 110B is between approximately 1 mm and 10 mm. However, each gap 110A, 110B can be greater or less than this range. Further, in the embodiment illustrated in FIGS. 1A-1C, the magnetic shunts 104, 106 are spaced apart from the optical assemblies 24, 26. More specifically, an upper side gap 112A exists between the first magnetic shunt 104 and the illumination optical assembly 24, and a lower side gap 112B exists between the second magnetic shunt 106 and the projection optical assembly 26. The size of each gap 112A, 112B can be varied to suit the design requirements of the first stage assembly 14 and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, each gap 112A, 112B is between approximately 5 mm and 25 mm. However, each gap 112A, 112B can be greater or less than this range. Each of the magnetic shunts 104, 106 can be made of a material having a relatively high magnetic permeability. For example, each of the magnetic shunts 104, 106 can have a magnetic permeability of at least 100 and more preferably at least 5000. Suitable materials include soft iron or permalloy or similar material. The magnetic reluctance of a structure depends both on its geometry and its magnetic permeability. The magnetic reluctance is inversely proportional to the magnetic permeability. Therefore materials with high magnetic permeability have relatively small magnetic reluctances. By contrast air or vacuum has a magnetic permeability of 1, so they offer a relatively high magnetic reluctance. Referring to FIG. 1D, with this design the magnetic shunt assembly 12 provides a low magnetic reluctance path that redirects the magnetic fields 27 from the first mover assembly 16 (only a portion shown in FIG. 1D) away from the optical assemblies 24, 26, and the first gap 37 without influencing the dynamic performance of the first stage assembly 14. Stated another way, the magnetic shunt assembly 12 provides a low magnetic reluctance path 27A for the magnetic flux lines 27 to emerge from and return to the movers 58A, 58B, 60A, 60B and reduces the amount of flux from the movers 58A, 58B, 60A, 60B leaking into the first gap 37 and the housings of the optical assemblies 24, 26. Electron optical assemblies typically include many components of magnetically permeable material. Often the external housing is made of a magnetically permeable material, in order to provide magnetic shielding to the interior of the optical assemblies. Accordingly, the electron optical assemblies represent low magnetic reluctance regions which xe2x80x9cattractxe2x80x9d magnetic field lines. This can increase the intensity of magnetic field lines from the mover assemblies or elsewhere within the first or second gap. The purpose of the magnetic shunts is to offer a low reluctance path for the external magnetic fields outside of the optical assemblies. Thus, the magnetic field intensity from external sources within the gap would be expected to decrease. For proper operation, it is essential that the magnetic shunts do not contact any magnetically permeable components of the optical assemblies. This ensures that no low magnetic reluctance path between the magnetic shunt and the optical assemblies can exist, and therefore magnetic flux within the magnetic shunt will not be shared with the optical assemblies. If the containers 66 or 96 are made of magnetically permeable material, in addition to providing shielding from magnetic fields external to the containers, they can be expected to enhance the performance of the magnetic shunts by further reducing the magnetic reluctance of a path for magnetic fields from the mover assemblies exclusive of the optical assemblies, as shown in FIG. 1D. The low magnetic reluctance path now includes the segment 27B. For the same reason as for the shunts above, when the container serves the present purpose, it is desirable to maintain a gap between the optical assembly housings and the containers. Therefore gaps 113A, 113B between the container 66 and the optical assemblies 24, 26 are provided, and gap 123 is provided between the second container 96 and the projection optical assembly 26. In the embodiment illustrated in FIGS. 1A-1C each gap 113A, 113B, 123 is between approximately 5 mm and 25 mm. However, each gap 113A, 113B, 123 can be greater or less than this range. As a result thereof, the movers 58A, 58B, 60A, 60B of the first mover assembly 16 can be placed relatively close to the electron beam. Because, the movers 58A, 58B, 60A, 60B can be placed close to the electron beam, the movers 58A, 58B, 60A, 60B can be integrated into the first stage assembly 14, and the size and weight of the first stage assembly 14 can be reduced. Further, because the magnetic shunts 104, 106 are not secured to the first stage 44, the magnetic shunts 104, 106 do not influence the dynamic performance of the first stage assembly 14. With this design, smaller movers 58A, 58B, 60A, 60B can be used in the first mover assembly 16, and the movers 58A, 58B, 60A, 60B can more accurately position the first device 30. Somewhat similarly, in this embodiment the second magnetic shunt subassembly 102 includes (i) a first magnetic shunt 114 positioned above the second stage 74 between the upper Y mover 90A and the illumination optical assembly 26, and (ii) a second magnetic shunt 116 positioned below the second stage 74 between the lower Y mover 90B and the optical base 28 or the longitudinal axis 108. In this embodiment, each magnetic shunt 114, 116 is substantially flat plate shaped and extends substantially perpendicular to the second stage 74 and substantially parallel with a longitudinal axis 108 of the optical assemblies 24, 26. Further, the first magnetic shunt 114 is secured to and extends downward from the top of the second container 96 between the sides of the second container 96 towards the second stage 74, and the second magnetic shunt 116 is secured to and extends upward from the bottom of the second container 96 between the sides of the second container 96 towards the second stage 74. Alternately, for example, the second magnetic shunt subassembly 102 can include only one of the magnetic shunts 104, 106. In the embodiment illustrated in FIG. 1A, an upper gap 120A exists between the bottom of the first magnetic shunt 114 and the second stage 74 and a lower gap 120B exists between the top of the second magnetic shunt 116 and the second stage 74 to allow for easy movement of the second stage 74 relative to the magnetic shunts 114, 116. The size of each gap 120A, 120B can be varied to suit the design requirements of the second stage assembly 18 and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, each gap 120A, 120B is between approximately 1 mm and 10 mm. However, each gap 120A, 120B can be greater or less than this range. Further, in the embodiment illustrated in FIG. 1A, an upper side gap 122A exists between the first magnetic shunt 114 and the projection optical assembly 26 and a lower side gap 122B exists between the second magnetic shunt 116 and the projection optical assembly 26. The size of each gap 122A, 122B can be varied to suit the design requirements of the second stage assembly 18 and the rest of the exposure apparatus 10. In the embodiment illustrated in FIG. 1A, each gap 122A, 122B is between approximately 5 mm and 25 mm. However, each gap 122A, 122B can be greater or less than this range. Each of the magnetic shunts 114, 116 of the second magnetic shunt subassembly 102 can be made of similar materials as the magnetic shunts 104, 106 of the first magnetic shunt subassembly 100. With this design, the magnetic shunts 114, 116 provide a low magnetic reluctance path that redirects the magnetic fields from the second mover assembly 20 away from the projection optical assembly 26 without significantly influencing the dynamic performance of the movers 88A, 90A, 90B. Stated another way, the magnetic shunt assembly 12 provides a low magnetic reluctance path for the magnetic flux lines to emerge from and return to the movers 88A, 90A, 90B and reduces the amount of flux from the movers 88A, 90A, 90B leaking into the second gap 67 and the housing 926 of the projection optical assembly 26. If the container 96 is made of magnetically permeable material, in addition to providing shielding from magnetic fields external to the containers, it can enhance the performance of the magnetic shunts 114, 116 by further reducing the magnetic reluctance of a path for magnetic fields from the mover assemblies exclusive of the optical assemblies, as shown in FIG. 1D. For the same reason as for the shunts above, when the container serves the present purpose, it is desirable to maintain a gap between the optical assembly housings and the containers. Therefore gap 123 between the container 96 and the projection optical assembly 26 is provided. Also, for similar reasons the optical base 28 may be magnetically isolated from the magnetic shunt 116 and the container 96. As a result thereof, the movers 88A, 90A, 90B of the second mover assembly 20 can be placed relatively close to the electron beam and the movers 88A, 90A, 90B can be integrated into the second stage assembly 18, and the size and weight of the second stage assembly 18 can be reduced. Further, because the magnetic shunts 114, 116 are not secured to the second stage 74, the magnetic shunts 114, 116 do not influence the dynamic performance of the second stage assembly 18. With this design, smaller movers 88A, 90A, 90B can be used in the second mover assembly 20, and the movers 88A, 90A, 90B can more accurately position the second device 32. FIG. 1E illustrates an alternate embodiment of the first magnetic shunt 104 and the second magnetic shunt 106 of the first magnetic shunt subassembly 100. This embodiment is somewhat similar to the embodiment illustrated in FIGS. 1A-1C. However, in this embodiment, each of the magnetic shunts 104, 106 has an xe2x80x9cLxe2x80x9d shaped cross-section. It should be noted that the magnetic shunts 114, 116 of the second magnetic shunt subassembly 102 can have a similar configuration. FIGS. 2A-2C illustrate a portion of an apparatus 10 and yet another embodiment of the magnetic shunt assembly 12. More specifically, FIGS. 2A-2C illustrate only the first stage assembly 14 and the first magnetic shunt subassembly 100. However, the second stage assembly 18 can have a similar design. Alternately, the design of the first magnetic shunt subassembly 100 can differ from the design of the second magnetic shunt subassembly 102. Still alternately, the magnetic shunt assembly 12 can include only one of the magnetic shunt subassemblies 100, 102. In this embodiment, the first stage assembly 14 is similar to the first stage assembly 14 illustrated in FIGS. 1A-1C and described above. Further, in the embodiment illustrated in FIGS. 2A-2C, the first magnetic shunt subassembly 100 again includes (i) a first magnetic shunt 104 positioned above the first stage 44 between the upper Y mover 60A and the illumination optical assembly 24 and (ii) a second magnetic shunt 106 positioned below the first stage 44 between the lower Y mover 60B and the projection optical assembly 26. In this embodiment, each magnetic shunt 104, 106 is substantially annular tube shaped and is substantially coaxial with the longitudinal axis 108 of the optical assemblies 24, 26. Further, (i) the first magnetic shunt 104 is secured to and extends downward from the top of the first container 66 towards the first stage 44 and the first magnetic shunt 104 encircles the illumination optical assembly 24, and (ii) the second magnetic shunt 106 is secured to and extends upward from the bottom of the first container 66 towards the first stage 44 and the second magnetic shunt 106 encircles the projection optical assembly 26. Alternately, for example, the first magnetic shunt subassembly 100 can include only one of the magnetic shunts 104, 106. This embodiment is particularly suitable for a stage assembly having movers on both sides of the column as discussed below. Alternately, for example, each of the magnetic shunts 104, 106 could be shaped like a rectangular tube or a sector of an annulus, or the magnetic shunts 104, 106 can include a number of flat plates or annular sectors that combine to partly or completely encircle the optical assemblies 24, 26. In the embodiment illustrated in FIGS. 2A-2C, the upper gap 110A exists between the bottom of the first magnetic shunt 104 and the first stage 44 and the lower gap 110B exists between the top of the second magnetic shunt 106 and the first stage 44 to allow for easy movement of the first stage 44 relative to the magnetic shunts 104, 106. Further, in the embodiment illustrated in FIGS. 2A-2C, the upper side gap 112A exists between the first magnetic shunt 104 and the illumination optical assembly 24 and the lower side gap 112B exists between the second magnetic shunt 106 and the projection optical assembly 26. In addition, if the container 66 is magnetically permeable, gaps 113A, 113B exist between the container 66 and the optical assemblies 24, 26. FIGS. 3A-3C illustrate a portion of an apparatus 10 and still another embodiment of the magnetic shunt assembly 12. More specifically, FIGS. 3A-3C illustrate only the second stage assembly 8 and the second magnetic shunt subassembly 102. However, the first stage assembly 14 can have a similar design. Alternately, the design of the first magnetic shunt subassembly 100 can differ from the design of the second magnetic shunt subassembly 102. Still alternately, the magnetic shunt assembly 12 can include only one of the magnetic shunt subassemblies 100, 102. In this embodiment, the second stage assembly 18 has a bridge type configuration and includes a pair of X movers 88A, 88B positioned on one side of the longitudinal axis 108 of the optical assembly 26 and two upper Y movers 90A that are positioned on opposite sides of the longitudinal axis 108 of the optical assembly 26. In the embodiment illustrated in FIGS. 3A-3C, the second magnetic shunt subassembly 102 includes a pair of first magnetic shunts 114. The first magnetic shunts 114 are positioned above the second stage 74 between the upper Y movers 90A and the projection optical assembly 26. The first magnetic shunts 114 are spaced apart, substantially parallel and positioned on opposite sides of the longitudinal axis 108 of the optical assembly 26. In this embodiment, each magnetic shunt 114 is substantially flat plate shaped and extends substantially perpendicular to the second stage 74 and substantially parallel with a longitudinal axis 108 of the optical assembly 26. Further, each first magnetic shunt 114 is secured to and extends downward from the top of the second container 96 between the sides of the second container 96 towards the second stage 74. Alternately, for example, the second magnetic shunt subassembly 102 can include both of the magnetic shunts 1014, 116. In the embodiment illustrated in FIGS. 3A-3C, the upper gap 120A exists between the bottom of each first magnetic shunt 114 and the second stage 74 to allow for easy movement of the second stage 74 relative to the magnetic shunts 114, 116. Further, in the embodiment illustrated in FIGS. 3A-3C, the upper side gap 122A exists between each first magnetic shunt 114 and the projection optical assembly 26. Additionally, referring to FIGS. 3A-3C, the second magnetic shunt subassembly 102 can include one or more container magnetic shunts 124A-124F that line the interior sides of the second container 96. This embodiment may be advantageous if the second container 96 is not made of magnetically permeable material and thus provides no magnetic shielding function. Or the second container 96 may provide some magnetic shielding, but the container magnetic shunts 124A-124F may have much higher magnetic permeability, thereby enhancing the performance of the second magnetic shunt subassembly 102. In this embodiment, the second magnetic shunt assembly 102 also includes (i) a top container magnetic shunt 124A that extends along the top of the second container 96, (ii) a bottom container magnetic shunt 124B that extends along the bottom of the second container 96, (iii) a left container magnetic shunt 124C that extends along the left wall of the second container 96, (iv) a right container magnetic shunt 124D that extends along the right wall of the first container 66, (v) a front container magnetic shunt 124E that extends along the front wall of the first container 66, and (vi) a rear container magnetic shunt 124F that extends along the rear wall of the second container 96. It should be noted that each container magnetic shunt 124A-124F can extend the entire length or only a portion of the length of the respective wall of the second container 96. The container magnetic shunts 124A-124F can each be plate shaped and can be made of a material having a relatively high permeability. In some applications, not all of the container shunt plates may be present. Further, the first magnetic shunt 114 is secured to and extends downward from the top container magnetic shunt 124A, between the sides of the second container 96 towards the second stage 74, and the second magnetic shunt 116 is secured to and extends upward from the bottom container magnetic shunt 124B between the sides of the second container 96 towards the second stage 74. Alternately, for example, the first magnetic shunt subassembly 102 can include only one of the magnetic shunts 114, 116. It should also be noted that any of the embodiments of the magnetic shunt assembly 12 can include the container magnetic shunts 124A-124F. FIGS. 4A-4C illustrate a portion of an apparatus 10 and still another embodiment of the magnetic shunt assembly 12. More specifically, FIGS. 4A-4C illustrate only the first stage assembly 14 and the first magnetic shunt subassembly 100. However, the second stage assembly 18 can have a similar design. Alternately, the design of the first magnetic shunt subassembly 100 can differ from the design of the second magnetic shunt subassembly 102. Still alternately, the magnetic shunt assembly 12 can include only one of the magnetic shunt subassemblies 100, 102. In this embodiment, the first stage assembly 14 has a bridge type configuration similar to that illustrated in FIGS. 3A-3C and described above. In the embodiment illustrated in FIGS. 4A-4C, the first magnetic shunt subassembly 100 includes a first magnetic shunt 104. The first magnetic shunt 104 is positioned above the first stage 44 between the upper Y movers 60A and the illumination optical assembly 24. Further, the first magnetic shunt 104 encircles and is substantially coaxial with the longitudinal axis 108 of the optical assemblies 24, 26. In this embodiment, the first magnetic shunt 104 is substantially annular tube shaped. Further, (i) the first magnetic shunt 104 is secured to and extends downward from the top of the first container 66 towards the first stage 44. Alternately, for example, the first magnetic shunt can include a number of flat plates or annular sectors that combine to partly or completely encircle the illumination optical assembly 24. In the embodiment illustrated in FIGS. 4A-4C, the upper gap 110A exists between the bottom of the first magnetic shunt 104 and the first stage 44 for easy movement of the first stage 44 relative to the first magnetic shunt 104. Further, in the embodiment illustrated in FIGS. 4A-4C, an upper side gap 112A exists between the first magnetic shunt 104 and the illumination optical assembly 24. FIGS. 5A and 5B are graphs that illustrate the results of mathematical simulations of the influence of the magnetic shunt subassembly 100 (the embodiment illustrated in FIGS. 1A-1C) on the reduction of magnetic fields along the longitudinal axis 108 of the projection optical assembly 26. The transverse (Bx) and longitudinal (Bz) magnetic fields from the permanent magnets of the movers 62A, are reduced to 9.1% and 2.4% respectively of their values when the magnetic shunts 104, 106 are present. The actual values of the magnetic fields along the axis 108 are also influenced by the magnetic design of the optical assemblies 24, 26. FIGS. 6A and 6B illustrate the effect of the magnetic shunt subassembly 100 on the DC magnetic fields from the movers 62A, along the longitudinal axis 108, with a layout of the stage assembly similar to that in FIGS. 3A-3C. In the simulation the two movers 60A are located at distances 366.56 mm and 766.56 mm from the longitudinal axis 108. The effects of the magnetic field changes described in FIGS. 6A, 6B can be represented by calculating the corresponding deflection of the electron beam from the stray fields of the movers at the wafer plane for various stage positions. The effect of Bx is a transverse deflection of the beam; the effect of Bz is represented by a rotation of a corner of a 1.0 mm square subfield projected from the reticle to the wafer (where it is reduced in size to 0.250 mm). The deflections at two extreme stage positions (representing the closest and farthest distances from the column for the two linear motors) were calculated and are illustrated in Table 1 below. These results are based on simulations with a single mover 60A; two single mover solutions are summed appropriately to give the total contribution from the stage movers. (From symmetry, the total Bz component of the stray field from the two movers is the same at the two positions. Some components of the magnetic field from motors can be reduced in designs where identical motors are symmetrically located relative to the optical assembly.). While the absolute deflection of the e-beam by the linear motor DC magnetic field is significant even with the addition of the magnetic shunts, the change in deflection as the stage moves is much less than in the prior art; the e-beam shift caused by stage motion is reduced from 67.8 nm to 1.2 nm (for the Bx component of field). The explanation for this behavior seems to be that the magnetic shunts represent the lowest magnetic reluctance path for the linear motor DC magnetic fields regardless of their position relative to the column (and the magnetic shunt plates). Consequently, the flux through the magnetic shunts, and the magnetic fields near the magnetic shunts, and the column, are approximately independent of the mover position. It should be noted that magnetic shunt assembly 12 changes the stray magnetic field along the optical assemblies 24, 26 not just close to the gaps 37, 67, where the movers are positioned. The total effect of the magnetic shunt assembly 12 on the shielding of the optical assemblies 24, 26 is therefore distributive. However, the amount of redirecting of the magnetic fields will vary upon the design of the magnetic shunt assembly, the design of the stage assembly, and the design of the optical assemblies 24, 26. FIG. 7A illustrates another embodiment of the magnetic shunt assembly 12. More specifically FIG. 7A illustrates only part of the first stage assembly 14 and the first magnetic shunt ring 728. This embodiment may be employed in addition to any of the other embodiments of the invention. It may be advantageous in situations where the gap 37 must significantly exceed the vertical height of the device table 54A, in order to provide room for metrology or alignment sub-assemblies in proximity to the first stage 44. The enlarged gap reduces the intrinsic magnetic shielding of the optical assembly housings, allowing magnetic fields from the first stage mover assembly 16 to enter the gap 37 and adjacent regions of the optical assemblies 24, 26 more freely. If room permits, installation of a high magnetic permeability structure within the optical housings 724, 726 may reduce somewhat the stray magnetic field reaching the axis 108 of the optical system. The structure 728 may be a ring or it may have another shape, such as a sector of an annulus. In addition to having high magnetic permeability, it may be advantageous in some applications for the shunt ring 728 to have a high electrical resistance. Otherwise, rapidly changing magnetic fields within the optical assemblies, originating from magnetic deflection systems, might induce eddy currents in the shunt ring and produce additional stray time dependent magnetic fields. An appropriate material for such an application is a ferrite. FIGS. 7B and 7C illustrate the expected behavior of the shunt ring 728. In the absence of the shunt ring 728 (FIG. 7B) magnetic field lines from the mover assembly penetrate the gap 37 and to some extent the optical housings 724, 726, reaching the axis 108 of the optical system. Illustrated are magnetic field lines 727 from one of the mover magnet components 62A. When the shunt ring 728 is present (FIG. 7C), its lower magnetic reluctance path attracts some of the magnetic field lines 727 to it, thereby reducing the stray magnetic field intensity along the optical system axis 108. The ring should not be too close to the optical system axis 108, however, or it might perturb the magnetic fields from the magnetic lenses in the optical assemblies 24, 26 and change the optical properties of the optical system. In summary, the magnetic shunt assembly provided herein reduces the magnitude of stray magnetic fields in the respective gap without influencing the dynamic performance of the respective stage assembly. As provided herein, a reduction of the transverse component of the stray time dependent magnetic field in the gap can be greater than a factor of at least 10, and more preferably a factor of at least approximately 25, and even more preferably a factor of at least approximately 50 and still more preferably a factor of at least approximately 100. Stated another way, the magnetic shunt assembly redirects at least approximately 10 percent, and more preferably at least approximately 50 percent, and even more preferably at least approximately 90 percent or more of the stray magnetic field away from the gap. While the particular magnetic shunt assembly 12 as herein shown and disclosed in detail are fully capable of obtaining the objects and providing the advantages herein before stated, it is to be understood that they are merely illustrative of embodiments of the invention and that no limitations are intended to the details of construction or design herein shown other than as described in the appended claims. Additional variations to the motor 10 are possible. For example, shields (not shown) made of magnetically permeable material can be positioned near the motor to further block stray magnetic fields.
summary
claims
1. A variable angle slant hole collimator, comprising:a frame including opposing sides, opposing ends, and a front panel having an opening therein;a plurality of substantially planar leaves stacked against said front panel in said frame, said stack including a top leaf with a face;an array of apertures arranged in an identical pattern in each of said leaves, said leaves capable of alignment in an initial position wherein said apertures in said leaves are axially aligned with one another and at 90° with respect to the face of the top leaf;a means for positioning each of said leaves in said stack of said leaves in a controlled manner such that each leaf slides at a predetermined rate with respect to the surrounding leaves in the stack; andsaid means for positioning said stack includes a lead screw and two wedge blocks on each end of said frame and an actuator for driving said lead screws. 2. The variable angle slant hole collimator of claim 1, further comprisingtwo ends and two sides on each leaf in said stack of leaves; andsaid ends of each leaf include an angled cut that is unique to that leaf. 3. The variable angle slant hole collimator of claim 1, includinga bottom leaf in contact with said front panel; andsaid bottom leaf includes ends and sides that are at a 90° angle to each other. 4. The variable angle slant hole collimator of claim 1, further comprisinga rail extending along each side of said frame;an end bearing at opposing ends of said rails forming a pair of axially aligned end bearings at each end of said frame; andone of said lead screws extending through each of said pairs of end bearings. 5. The variable angle slant hole collimator of claim 1, wherein said actuator further comprisesa motor;a gearbox; anda computer interface for controlling the direction of rotation of said motor. 6. The variable angle slant hole collimator of claim 1, further comprisingscrew threads on said lead screws; anda drive nut embedded in each of said wedges to accommodate the screw threads of the lead screws. 7. The variable angle slant hole collimator of claim 6, further comprising a pillow block secured to said front panel for supporting said lead screw between each of said wedges. 8. The variable angle slant hole collimator of claim 7, wherein the screw threads on one half of said lead screws are right-hand threaded and the screw threads on the opposing half of said lead screws are left-hand threaded. 9. The variable angle slant hole collimator of claim 1, wherein each of said wedges includes a wedge body having a flat bottom surface, two sides, a top, and first end and a second end. 10. The variable angle slant hole collimator of claim 9, further comprising a plate extending from said top of said wedge block. 11. The variable angle slant hole collimator of claim 10, further comprising a stepped edge on said first end of said wedge block. 12. The variable angle slant hole collimator of claim 11, wherein the length of each step in said stepped edge of said wedge matches the width of a corresponding leaf in said stack of leaves. 13. The variable angle slant hole collimator of claim 2, wherein said angled cut on said ends of said leaves includes a substantially central apex and two angled surfaces extending to said sides of said leaves. 14. A method for aligning a multi-leaf collimator, comprising the steps of:providing a frame including side rails, and two ends;providing twin lead screws, two wedge blocks on each lead screw, coupling nuts, and a plurality of leaves with an array of apertures therein;moving said wedge blocks and coupling nuts to the side rails of said frame;fixing the wedge blocks to the coupling nuts;stacking said leaves in said frame to form a multi-leaf stack;adjusting the position of two wedge blocks on a first end of said frame toward each other until they are in contact with each other; andmoving the four wedge blocks in the desired direction with the desired distance, at the same speed, for setting a slant angle of the multi-leaf stack. 15. The method of claim 14 further wherein fixing the wedge blocks to the coupling nuts includesproviding a plurality of set screws;setting the initial positions of said wedge blocks by sliding the wedge blocks on the coupling nuts; andsecuring two set-screws through each wedge block to lock said wedge block to said coupling nut. 16. The method of claim 14 wherein said moving of said wedge blocks includes matching the speed and distance traversed of each wedge block. 17. The method of claim 14 which includes synchronizing the counter motion of each of said wedge blocks to avoid a gap between the edge of each leaf and each wedge block. 18. The method of claim 14, further comprisingproviding a stepped edge on a first end of said wedge block; andmatching the length of each step on said stepped edge of said wedge block equal to the width of a corresponding leaf in said stack of leaves. 19. The method of claim 14, further comprisingproviding an end on each leaf in said stack of leaves; andproviding an angled cut on the end of each leaf. 20. The method of claim 19 wherein said angled cut on said ends of said leaves includes a substantially central apex and two angled surfaces extending to said sides of said leaves.
summary
051261014
abstract
An apparatus for cleaning up reactor coolant includes a piping for taking out water in a reactor core, and this piping is provided separately from a primary loop recirculation piping and has its open end located at the bottom of a reactor pressure vessel. The taking-out piping extends upward from the open end within the reactor pressure vessel and extends through and outside of the side wall of the reactor pressure vessel. In a preferred form, the taking-out piping is provided near the highest position of its portion outside the reactor pressure vessel with a siphon brake valve.
06320925&
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now in detail to the figures of the drawings, in which identical elements bear the same reference symbols, and first, particularly, to FIG. 1 thereof, there is seen a portion of a spacer 1 of a fuel assembly, th e remainder of which is not illustrated. The spacer 1 is constructed as a matrix which is formed from a plurality of intersecting webs 3. These intersecting webs 3 form cells 5 having an essentially square base area, through which non-illustrated fuel rods of the fuel assembly project. The cells 5 of the spacer matrix 1 surround the outer circumference of the fuel rods which are thus held in their position relative to a longitudinal axis of the fuel assembly. For this purpose, as a rule the webs carry bosses, springs or other auxiliary members which engage the fuel rods. Such known engagement aids, in particular springs 54 and bosses 56, are illustrated once in FIG. 13 as an example for the other figures in which they are omitted. In the present exemplary embodiment, the spacer matrix 1 is formed by two groups of identical intersecting parallel webs. Each web of one group intersects each web of the other group at right angles at precisely one intersection location or point 7. Each web 3 has an assembly gap 9 which is disposed at an intersection location 7 and receives part of a wall of the respectively intersecting web. Moreover, a metallurgical connection 60 of the intersecting webs is made in the vicinity or region of the intersection location 7. As a rule, these connections are welded connections. However, other connections may also be envisaged, which fix the intersecting webs closely to one another. The firm connections are preferably made in each case in the vicinity or region of the intersection location at an upper edge of the spacer matrix standing on edge and correspondingly at a lower edge of the spacer matrix standing on edge. However, the metallurgical connections are advantageously not restricted only to the edge of the spacer matrix. The connections are also advantageously continued virtually along the entire length of that part of each assembly gap having a width which corresponds essentially to the wall thickness of the other web. FIG. 2 illustrates two intersecting webs 11, 13 with their associated assembly gaps 15, 17 and with their intersection location 7. For greater clarity, the intersecting webs 11, 13 are shown in the state prior to assembly. The upper web 11 having a center axis 19 is taken from the first group of webs and the lower web 13 having a center axis 21 is taken from the second group. The webs 11, 13 are assembled along an assembly axis 23 which is perpendicular to the two center axes 19, 21. The assembly gap 15 of the upper web 11 extends along the assembly axis 23 from the center axis 19 as far as the lower edge of the upper web 11, while the assembly gap 17 of the lower web 13 runs similarly from the center axis 21 toward the upper edge of the web 13 in the opposite direction. If the two webs 11, 13 are assembled along the assembly axis 23, each of the assembly gaps 15, 17 in each case receives part of the wall of the intersecting web. The total height of the spacer matrix 1 which is thus formed then corresponds to the width of the individual webs 11, 13. FIG. 3 shows a portion of a spacer provided for a fuel assembly of a nuclear power station, with two mutually parallel first outer strips 20A, 20B and two mutually parallel second outer strips 22A, 22B that are disposed perpendicularly to the first outer strips 20A, 20B. The spacer also has first webs 13 standing on edge and disposed parallel to the first outer strips 20A, 20B and second webs 11 standing on edge, disposed parallel to the second outer strips 22A, 22B and intersecting the first webs 13. In this case, the stated object is achieved, according to the invention, in such a way that: a) each end of each web in each case engages into an outer strip 20A, 20B, 22A, 22B and is fixed there; PA1 b) th e first webs 13 have an upper edge 39 running from one outer strip 22A to the other outer strip 22B with an assembly gap 17 that is disposed at an intersection location 7 with a second web 11, is directed toward a lower edge 40 and through which part of an intersecting second web 11 passes; PA1 c) the second webs 11 each have an assembly gap 15 which is disposed at their corresponding lower edge 40 at an intersection location 7 with a first web 13, is directed toward their upper edge 39 and through which part of an intersecting first web 13 passes; PA1 d) each assembly gap 15, 17 is closed at the edge of each web through the use of a metallurgical connection 60 of the web (see FIGS. 1 and 12) with the intersecting web; and PA1 e) each assembly gap 17 has a region 30 (see FIG. 13) through which part of the intersecting web passes and which is so wide that the two webs do not touch one another. In FIG. 3, each end of each web has a latch 26 each engaging into a slot 24 in a respective outer strip and preferably being welded there. FIG. 4 shows a side view of the web 13 after it has been assembled together with the web 11. The assembly gap 17 has received the wall of the web 11 along the assembly axis 23. The assembly gap 17 has a width in the vicinity of its upper and lower end or regions 25, 27 which corresponds to the wall thickness of the intersecting web 11, as well as a greater width in a region 29 between the ends 25, 27. A gap 31 is thus formed between the edge of the assembly gap 17 and the wall of the web 11 on both sides of the assembly axis. The width of the gap 31 is selected in such a way that corrosion layers, which occur at the edge of the assembly gap 17 and the wall of the intersecting web 11 and continually reduce the size of the gap 31 during operation, cannot completely clog this gap 31 over the entire operating period of the spacer. This prevents the possibility of an undesirable solid pressure building up on the web 13 outside the end regions 25, 27 of the assembly gap 17. FIG. 5 shows a modified embodiment of the web 13 illustrated in one of FIGS. 2 to 4. The position and extent of an assembly gap 63 of a web 65 correspond to the structures shown in FIGS. 2 to 4. As in FIG. 4, the assembly gap 63 has a width in the vicinity of its upper end 67 which corresponds to the wall thickness of an intersecting web 71. The assembly gap tapers in a V-shaped manner in the vicinity of its lower end 69. In this region, the wall of the intersecting web 71 is likewise integrally formed in a V-shaped manner and engages, in the same way as in a knife-edge bearing, in the V-shaped end of the assembly gap 69. As a result, this end of the wall of the intersecting web 17 is fixed. The solid pressure possibly occurring in this region as a result of corrosion is greatly reduced due to the small bearing surface. FIG. 6 shows a further embodiment of a web 33. An assembly gap 35 extends from a center axis 37 along the assembly axis 23 as far as the upper edge 39 of the web 33. As in the exemplary embodiment shown in FIG. 4, the assembly gap 35 has a width in the vicinity of its lower and upper ends 41, 43 which corresponds essentially to the wall thickness of an intersecting web 45. In a remaining region, the assembly gap 35 is constructed to be widened in a similar way to the assembly gap 17 in FIG. 3. In order to reduce the longitudinal expansion of the web 33 occurring as a result of corrosion in the region of the lower end 41 of the assembly gap 35, two recesses 47, 49 are provided in this region on both sides of the assembly gap 35. These recesses 47, 49 absorb the deformation of the web 33 caused by a change in length. Two indentations 51, 53 are provided on both sides in the region of the upper end 43 of the assembly gap 35 and absorb undesirable longitudinal expansion in this region in a similar way to the recesses 47, 49. FIG. 7 illustrates a further embodiment of a web 55 of a spacer. In contrast to the preceding exemplary embodiments, an assembly gap 57 extending along the assembly axis 23 from a center axis 59 upward does not have a region at which its width corresponds essentially to the wall thickness of an intersecting web 46. The assembly gap 57 is constructed in such a way that an edge thereof touches the wall of the intersecting web 46 at a support location 61, in each case on only one side of the assembly axis 23. In contrast, an edge of the assembly gap 57 which is located opposite the support location 61 does not touch the wall of the intersecting web 46. Altogether, two support locations 61 are provided on each of the two sides of the assembly axis. The support locations in each case alternately touch one side of the wall of the intersecting web and thus fix the latter in its position in the assembly gap 57. The structure of the assembly gaps in these exemplary embodiments minimizes the contact surface of the two intersecting webs at the intersection location, in such a way that a stable connection of the two webs engaging one into the other is still ensured. Nevertheless, there is sufficient space outside the contact regions, so that corrosion of the webs in the assembly gap does not lead to deformations and growth of the webs. In this case, the term "assembly gap" refers only to those regions of a gap in a web through which parts of the other web pass. However, in cases in which the assembly gap opens into a wide aperture in the web, solid parts of the other web do not pass through this aperture. In other words, the assembly gap terminates where it opens into the aperture. Such apertures have sometimes been provided in the prior art for other reasons. Thus, the intersecting webs of the spacer according to German Published, Prosecuted Patent Application DE 25 50 932 B2 possess a middle region between their upper edge and their lower edge. The middle region is curved into grid meshes of the grid-like spacer and carries bosses on which the fuel rods are supported. In order to ensure that those curved webs can be inserted one into the other, the middle regions of two webs carry an aperture in each case at the intersection location. No solid parts of the webs pass through one another in the region of those two middle parts, but instead a common orifice is obtained in the two webs. Consequently, in one of the two webs, the assembly gap leads from the upper edge of one web as far as this aperture, while the other web has an assembly gap which reaches from the lower edge of the other web as far as this aperture. Similar apertures, which form a common orifice passing through both webs at the intersection location of two webs, are also provided in U.S. Pat. Nos. 3,933,583 and 4,124,444. Outside those apertures, which perform a particular function, the intersecting webs possess assembly gaps having a uniform width which is virtually equal to the wall thickness of the webs and therefore does not prevent the webs from being spread and experiencing a growth in length as a result of corrosion taking place in the assembly gap. Assembly gaps, which are associated with apertures provided for the use of bosses and springs for supporting the fuel rods, are also known from European Patent 0 527 244 B1. There, a web carries two apertures which are disposed on one side of the assembly gap and in which profiled ends of an inserted supporting spring are held. In order to ensure that those profiled spring ends can be inserted, a larger orifice of the web is provided on the other side of the assembly gap. In that case, the ends of the spring are inserted first of all into the larger apertures and are then pushed into the two apertures beyond the assembly gap on the other side of the latter and interlocked by the two webs being inserted one into the other. Therefore, in that prior art, only one web carries an assembly gap with apertures, while the assembly gap of the other web has a uniform width at that intersection location. FIG. 8 shows that, in that case too, a variable width of both assembly gaps is advantageous. FIG. 8 shows two webs 73, 74, before they are inserted one into the other in the direction of an arrow 75 and connected metallurgically to one another. In this case, upper edges and lower edges of the two webs each carry indentations 76 which can receive appropriate soldering material or welding material during metallurgical connection. Furthermore, bosses 77, which serve for fixing a fuel rod laterally together with a non-illustrated spring supported on the opposite web, are shown on the web 73. Such a non-illustrated supporting spring is held with its profiled ends at corresponding apertures 78 on one side of an assembly gap 80 of the web 73. A larger mounting aperture 79 is located on the other side of the assembly gap 80. During the mounting operation, spring ends which are first inserted into the mounting aperture 79 are pushed laterally into the apertures 78 beyond the gap 80 and are interlocked there as soon as corresponding parts of the web 74 are introduced into the assembly gap 80. These parts of the web 74 which are introduced into the assembly gap 80 and, after mounting, pass through the latter, are illustrated on the web 73 by a broken contour 81. In this case, the total length of the assembly gap 80 is illustrated by reference symbol L and is defined by the dimensions of the parts of the web 74 which pass through the assembly gap 80. Therefore, in the web 73, the assembly gap only has the total length L through which parts of the other web 74 pass. The width of this assembly gap 80 is not constant. In contrast, the other web 74 does not have any aperture at all which would be necessary for inserting the spring. Nevertheless, a corresponding assembly gap 82 of this other web 74 is likewise not constructed with a constant width. Instead, the width of the gap 82 only corresponds to the wall thickness of the web 73 at a few points, and widenings 84 of the assembly gap 82 are provided therebetween. Consequently, if corrosion layers form in the assembly gap 82, these can only lead to stresses and deformations at the few narrower points of this assembly gap. However, the stresses and deformations are compensated in the web, without an occurrence of macroscopic deformations and a growth in length of the web 74. Corresponding widenings 85 are also provided in the assembly gap 80 of the web 73 outside the apertures 78 and 79. Furthermore, FIG. 8 also shows that the parts of one web which are in each case introduced into an assembly gap of the other web carry lateral buttons 86. The lateral buttons 86 guide the other web and fix it laterally while the two webs are being assembled, until the soldering points, weld seams or other metallurgical connections are made. Thus, an appreciable part of the growth in length of spacers can be prevented by the very shaping of the assembly gaps, without the structure or metallurgy of the spacer having to be modified. FIG. 9 shows a portion of a spacer matrix 2 with intersecting webs 14, 16 which include two web plates 10A, 10B shown in FIG. 10. These spacers have proved particularly appropriate, especially in pressurized water reactors. The web plates 10A, 10B bear against one another at least in the vicinity of an intersection location 7 with an intersecting web. In a remaining region, the web plates 10A, 10B are deformed and/or bent relative to one another, so that flow ducts 4 are obtained therebetween. A fuel rod 8 or a guide tube 6 in each case projects through the cells of the spacer matrix. The webs 14, 16 are fastened to two respective outer strips through a fixing 28 similar to the latch and slot already explained with reference to FIG. 3. FIG. 10 shows an embodiment of a web 14 of a spacer according to FIG. 9. Like the previous embodiments, this web 14 also has an assembly gap 36 which receives the intersecting web at an intersection location with an intersecting web 16. The intersecting web includes the two web plates 10A, 10B which bear against one another in the intersecting region and the common thickness of which at the intersection location corresponds to the wall thickness of the intersecting web. The assembly gap 36 has a width, only over a fraction of its total length through which parts of the other web pass, which corresponds essentially to the wall thickness of the intersecting web. In a remaining region, the assembly gap is so wide that the two intersecting webs 14, 16 do not touch one another. In contrast to the embodiments of FIGS. 1 to 7, the assembly gap 36 has a mouth 52 which has an aperture 48 adjoining it. This aperture is substantially wider than the assembly gap 36 and extends beyond a web center indicated by a center axis 21 of the web. Such an aperture is preferably located in the two intersecting webs 14, 16. However, such an aperture may also be made only in one web 14 of the intersecting webs 14, 16. Through the use of the aperture 48, web locations which are in danger of corrosion are cut out. A plurality of apertures of various shapes are also possible at these locations in order to achieve the object of the invention. Preferably, the web has at least one further aperture 50 disposed along an assembly axis 23 of each assembly gap 36. The apertures 48, 50 cut out web locations which are in particular danger of corrosion and which consequently bring about the longitudinal expansion of the web. Furthermore, the web 14 carries elevations 58 between which the intersecting web is held. These elevations are made on a web part which passes through the assembly gap of the other web. By virtue of the elevations, the inserting webs are held in a more stable manner should the webs not be supported sufficiently because of the presence of the apertures 48, 50, in particular while the spacer is being mounted prior to the metallurgical connection of the webs. FIG. 11 shows a cell of a spacer 2. As already shown in FIG. 9, a web 14 of the spacer includes at least two web plates 10A, 10B bearing against one another at least in the vicinity or region of an intersection location 7. In order to form flow ducts 4, the web plates are bent/deformed relative to one another in a remaining region. In a similar way to the embodiment of a spacer 1 having webs 3 which include an individual web plate, in this case too, each web 14 is metallurgically connected to an intersecting web 16 through the use of at least one connection point 60. In this spacer embodiment, which is preferably used for pressurized water reactors, it is advantageous if both the intersecting webs 14, 16 and the web plates bearing against one another are metallurgically connected to one another in the region of the intersection location 7 through. the use of the metallurgical connection 60. It is advantageous, in particular, if the metallurgical connection extends to regions which are particularly susceptible to corrosion. These are, in particular, the regions of the assembly gaps which have essentially the width of the wall thickness of the intersecting web. Accordingly, FIG. 12 shows a portion of a web having an advantageous structure of metallurgical connections, in this case welded connections 60, 62, particularly for preventing corrosion at those locations of webs and assembly gaps in the spacer 2 which are in particular danger of corrosion. Furthermore, in this embodiment, the web plates of the upright web which bear against one another are in particular metallurgically connected to the intersecting web through the use of a connection location 60 at the upper edge 39 and at the lower edge 40 of the web. In addition, FIG. 12 essentially shows features which have already been explained with reference to FIG. 10. The web plates are connected to one another over a large area through the use of a further metallurgical connection 62, preferably a weld spot, in the region in which the web plates bear against one another over a large area. The weld spot 62 is advantageously applied at the location at which corrosion is particularly pronounced. This is often a location at which the web plates have relatively high radii of curvature. Alternatively to this embodiment, it is possible to make a recess 50 according to FIG. 10 at the same location. Furthermore, FIG. 12 shows that the welded connection in the region of the intersection location 7 of the intersecting webs is not limited only to the upper edge 39 or lower edge 40 of the intersecting webs. In order to avoid longitudinal expansion, which occurs particularly as a result of corrosion in a narrow region 32 of each assembly gap 36, the welded connection 60 extends virtually along the entire length of that part 32 of the assembly gap 36 having a width which corresponds essentially to the wall thickness of the intersecting web. The elevations 58, which were already explained with reference to FIG. 10, are made in such a way that the respectively intersecting web is held between them. In this embodiment, flow ducts 66 serve in each case for cushioning the fuel rods 8 guided in the cells of the spacer matrix. In order to provide for the advantageous mounting of the fuel rods guided in the cells of the spacer matrix along two lines, in each case there is a recess 64 in the region of a respective flow duct 66. In each case the fuel rod bears against the edges of these recesses 64. A particular embodiment of a web 13, which is further modified relative to the structures shown in FIGS. 4 to 8, is shown in FIG. 13. In this particular embodiment, an assembly gap 18 carries a metallurgical connection 60 of the intersecting webs 13, 11 in one region 32. In a further region 30, the assembly gap 18 is so wide that the two webs 11, 13 do not touch one another. In particular, the assembly gap 18 is also so long that the two webs 11, 13 do not touch one another. In order to provide for the cushioning of the fuel rods which pass through the cells of the spacer matrix, each web 13 carries at least one spring 54 stamped out of the web for each cell, and for the same purpose the web 13 also carries at least one pair of stamped-out rigid bosses 56. In a similar way to the embodiment of a spacer 2 which has already been explained with reference to FIG. 12, in this embodiment of a spacer 1, 2 as well, the welded connection 60 in the region of an intersection location of the webs 11, 13 extends at least over that part of the assembly gap which corresponds essentially to the wall thickness of the intersecting web 11. Furthermore, in this embodiment, the intersecting web 11 is held between two elevations 58 of the other web 13.
claims
1. A method for optimizing utilization of one or more assets, the method comprising:obtaining at an optimization processing apparatus operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting with the optimization processing apparatus one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the retrieved specification data;conducting with the optimization processing apparatus one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data and the life-cycle data;determining one or more optimization instructions with optimization processing apparatus for the at least one asset based on the conducted diagnostics and prognostics, wherein at least one of the conducting one or more diagnostics and the conducting one or more prognostics further comprises determining one or more maintenance needs related to at least one of the one of the one or more elements of the at least one of the assets in a near term comprising before a first designated period of time and over a long term comprising after the first designated period of time and wherein the one or more optimization instructions are further based on the determined near term and long term maintenance needs; anddisplaying with the optimization processing apparatus the determined one or more optimization instructions. 2. The method as set forth in claim 1 wherein the operational data comprises data on the performance of the one or more elements. 3. The method as set forth in claim 1 wherein the operational data comprises data on a status of one or more consumables in the at least one asset. 4. The method as set forth in claim 1 wherein the condition data comprises data on at least one of status of degradation and fault detection in the one or more elements in the at least one asset. 5. The method as set forth in claim 1 wherein the obtaining further comprises obtaining the operational data and the condition data and wherein the conducting one or more diagnostics and the conducting one or more prognostics is further based on the obtained operational and condition data. 6. The method as set forth in claim 1 further comprising recording and storing additional historical maintenance data and additional life cycle data for the one or more of the elements of the at least one of the assets with the historical maintenance data and the life-cycle data. 7. The method as set forth in claim 6 wherein the recording and storing further comprises recording and storing additional historical maintenance data and additional life cycle data for the one or more of the elements of two or more of the assets with the historical maintenance data and the life-cycle data. 8. The method as set forth in claim 1 further comprising:testing one or more elements which are substantially the same as the one or more elements of the at least one of the assets being optimized to obtain the historical maintenance data and the life-cycle data for the one or more elements of the at least one of the assets; andstoring the obtained historical maintenance data and life-cycle data for the one or more elements. 9. The method as set forth in claim 1 further comprises obtaining location related data about at least one of the assets, wherein the determining the one or more optimization instructions is further based on the obtained location related data. 10. The method as set forth in claim 9 wherein the location related data comprises at least one of a current location of the at least one of the assets, a destination of the at least one of the assets, and a proximity of the at least one of the assets to one or more service centers. 11. The method as set forth in claim 1 further comprising implementing one or more of the optimization instructions on the at least one asset. 12. The method as set forth in claim 1 further comprising obtaining data on one or more upgrades for one or more of the elements of the at least one asset, the one or more upgrades have at least one property which is different than the one or more elements had when new, wherein the determining one or more optimization instructions for the at least one asset is further based on the obtained data on the one or more upgrades. 13. The method as set forth in claim 1 further comprising obtaining cost data for replacing one or more of the elements of the at least one asset, wherein the determining the one or more optimization instructions for the at least one asset is further based on the obtained cost data. 14. The method as set forth in claim 13 further comprising obtaining data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements, wherein the determining one or more optimization instructions for the at least one asset is further based on the obtained replacement data. 15. The method as set forth in claim 1 wherein the retrieving specification data further comprises retrieving specification data for at least one of the one or more elements generated during the original manufacture of the at least one of the one or more elements. 16. The method as set forth in claim 1 wherein the obtaining the physical condition data further comprises obtaining one or more images of the one or more elements of the at least one of the assets and wherein the determining the one or more optimization instructions with the optimization processing system for the at least one asset based on the conducted diagnostics and prognostic which are further based on the obtained one or more images. 17. A non-transitory computer readable medium having stored thereon instructions for optimizing utilization of one or more assets comprising machine executable code which when executed by at least one processor, causes the processor to perform steps comprising:obtaining operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the retrieved specification data;conducting one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data and the life-cycle data;determining one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics, wherein at least one of the conducting one or more diagnostics and the conducting one or more prognostics further comprises determining one or more maintenance needs related to at least one of the one of the one or more elements of the at least one of the assets in a near term comprising before a first designated period of time and over a long term comprising after the first designated period of time and wherein the one or more optimization instructions are further determined based on the determined near term and long term maintenance needs; anddisplaying the determined one or more optimization instructions. 18. The medium as set forth in claim 17 wherein the operational data comprises data on the performance of the one or more elements. 19. The medium as set forth in claim 17 wherein the operational data comprises data on a status of one or more consumables in the at least one asset. 20. The medium as set forth in claim 17 wherein the condition data comprises data on at least one of status of degradation and fault detection in the one or more elements in the at least one asset. 21. The medium as set forth in claim 17 wherein the obtaining further comprises obtaining the operational data and the condition data and wherein the conducting one or more diagnostics and the conducting one or more prognostics is further based on the obtained operational and condition data. 22. The medium as set forth in claim 17 further comprising recording and storing additional historical maintenance data and additional life cycle data for the one or more of the elements of the at least one of the assets with the historical maintenance data and the life-cycle data. 23. The medium as set forth in claim 22 wherein the recording and storing further comprises recording and storing additional historical maintenance data and additional life cycle data for the one or more of the elements of two or more of the assets with the historical maintenance data and the life-cycle data. 24. The medium as set forth in claim 17 further comprising:testing one or more elements which are substantially the same as the one or more elements of the at least one of the assets being optimized to obtain the historical maintenance data and the life-cycle data for the one or more elements of the at least one of the assets; andstoring the obtained historical maintenance data and life-cycle data for the one or more elements. 25. The medium as set forth in claim 17 further comprises obtaining location related data about at least one of the assets, wherein the determining the one or more optimization instructions is further based on the obtained location related data. 26. The medium as set forth in claim 25 wherein the location related data comprises at least one of a current location of the at least one of the assets, a destination of the at least one of the assets, and a proximity of the at least one of the assets to one or more service centers. 27. The medium as set forth in claim 17 further comprising implementing one or more of the optimization instructions on the at least one asset. 28. The medium as set forth in claim 17 further comprising obtaining data on one or more upgrades for one or more of the elements of the at least one asset, the one or more upgrades have at least one property which is different than the one or more elements had when new, wherein the determining one or more optimization instructions for the at least one asset is further based on the obtained data on the one or more upgrades. 29. The medium as set forth in claim 17 further comprising obtaining cost data for replacing one or more of the elements of the at least one asset, wherein the determining the one or more optimization instructions for the at least one asset is further based on the obtained cost data. 30. The medium as set forth in claim 29 further comprising obtaining data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements, wherein the determining one or more optimization instructions for the at least one asset is further based on the obtained replacement data. 31. The medium as set forth in claim 17 wherein the retrieving specification data further comprises retrieving specification data for at least one of the one or more elements generated during the original manufacture of the at least one of the one or more elements. 32. The medium as set forth in claim 17 wherein the obtaining the physical condition data further comprises obtaining one or more images of the one or more elements of the at least one of the assets and wherein the determining the one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics which are further based on the obtained one or more images. 33. A system for optimizing utilization of one or more assets, the system comprising:one or more data acquisition systems that obtain at least one of operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data, and life-cycle data for the one or more elements of the at least one of the assets;at least one diagnostic processing system that conducts one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the specification data on the one or more elements;at least one prognostic processing system that conducts one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data, and the life-cycle data;an optimization processing system that determines one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics from the at least one diagnostic processing system and the at least one prognostic processing system, wherein at least one of the diagnostic processing system and the prognostic processing system determines one or more maintenance needs related to at least one of the one of the one or more elements of the at least one of the assets in a near term comprising before a first designated period of time and over a long term comprising after the first designated period of time and wherein the optimization processing system determines the one or more optimization instructions further based on the determined near term and long term maintenance needs; anda display system that displays the determined one or more optimization instructions. 34. The system as set forth in claim 33 wherein the one or more sensor systems obtain the operational data which comprises data on the performance of the one or more elements. 35. The system as set forth in claim 33 wherein the one or more sensor systems obtain the operational data which comprises data on a status of one or more consumables in the at least one asset. 36. The system as set forth in claim 33 wherein the one or more sensor systems obtain the condition data which comprises data on at least one of status of degradation and fault detection in the one or more elements in the at least one asset. 37. The system as set forth in claim 33 wherein the one or more sensor systems obtain the operational data and the condition data and wherein the at least one diagnostic processing system conducts the one or more diagnostics and the at least one prognostic processing system conducts one or more prognostics further based on the obtained operational and condition data. 38. The system as set forth in claim 33 wherein the optimization processing system records and stores additional historical maintenance data and additional life cycle data for the one or more of the elements of the at least one of the assets in the one or more databases with the historical maintenance data and the life cycle data. 39. The system as set forth in claim 38 wherein the optimization processing system records and stores additional historical maintenance data and additional life cycle data for the one or more of the elements of two or more of the assets in the one or more databases with the historical maintenance data and the life cycle data. 40. The system as set forth in claim 33 further comprising at least one testing system that test one or more elements which are substantially the same as the one or more elements of the at least one of the assets being optimized to obtain the historical maintenance data and the life-cycle data for the one or more elements of the at least one of the assets, wherein the obtained historical maintenance and the life-cycle data for the one or more elements of the at least one of the assets are stored in the one or more databases. 41. The system as set forth in claim 33 further comprises a monitoring system that obtains location related data about at least one of the assets, wherein the optimization processing system determines the one or more optimization instructions further based on the obtained location related data. 42. The system as set forth in claim 41 wherein the location related data comprises at least one of a current location of the at least one of the assets, a destination of the at least one of the assets, and a proximity of the at least one of the assets to one or more service centers. 43. The system as set forth in claim 33 wherein the optimization processing system initiates an implementation of one or more of the optimization instructions on the at least one asset. 44. The system as set forth in claim 33 wherein the optimization processing system determines the one or more optimization instructions for the at least one asset further based on obtained data on one or more upgrades for one or more of the elements of the at least one asset, the one or more upgrades have at least one property which is different than the one or more elements had when new. 45. The system as set forth in claim 33 wherein the optimization processing system determines the one or more optimization instructions for the at least one asset further based on obtained cost data for replacing one or more of the elements of the at least one asset. 46. The system as set forth in claim 45 wherein the optimization processing system determines the one or more optimization instructions for the at least one asset further based on obtained data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements. 47. The system as set forth in claim 33 wherein the one or more databases that store specification data further comprises storing specification data for at least one of the one or more elements generated during the original manufacture of the at least one of the one or more elements. 48. The system as set forth in claim 33 wherein the one or more data acquisition systems obtain one or more images of the one or more elements of the at least one of the assets and the optimization processing system that determines the one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics which are further based on the obtained one or more images. 49. A method for optimizing utilization of one or more assets, the method comprising:obtaining at an optimization processing apparatus operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting with the optimization processing apparatus one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the retrieved specification data;conducting with the optimization processing apparatus one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data and the life-cycle data;obtaining at the optimization processing apparatus cost data for replacing one or more of the elements of the at least one asset, wherein the obtaining cost data further comprises obtaining a maintenance budget for the at least one of the assets, wherein at least one of the conducting one or more diagnostics and the conducting one or more prognostics determines a risk of failure of at least one of the one or more elements of the at least one of the assets;determining one or more optimization instructions with the optimization processing apparatus for the at least one asset based on the conducted diagnostics and prognostics, the obtained cost data, the obtained maintenance budget and the determined risk of failure;displaying with the optimization processing apparatus the determined one or more optimization instructions. 50. A non-transitory computer readable medium having stored thereon instructions for optimizing utilization of one or more assets comprising machine executable code which when executed by at least one processor, causes the processor to perform steps comprising:obtaining operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the retrieved specification data;conducting one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data and the life-cycle data;obtaining cost data for replacing one or more of the elements of the at least one asset, wherein the obtaining cost data further comprises obtaining a maintenance budget for the at least one of the assets, wherein at least one of the conducting one or more diagnostics and the conducting one or more prognostics determines a risk of failure of at least one of the one or more elements of the at least one of the assets;determining one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics, the obtained cost data, the obtained maintenance budget and the determined risk of failure; anddisplaying the determined one or more optimization instructions. 51. A system for optimizing utilization of one or more assets, the system comprising:one or more data acquisition systems that obtain at least one of operational data and physical condition data for one or more elements of at least one of the assets and specification data, historical maintenance data, and life-cycle data for the one or more elements of the at least one of the assets;at least one diagnostic processing system that conducts one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained the operational data, the physical condition data, and the specification data on the one or more elements;at least one prognostic processing system that conducts one or more prognostics on the one or more elements of the at least one of the assets based on the obtained operational data, the physical condition data, the specification data, the historical maintenance data, and the life-cycle data;an optimization processing system that determines one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics from the at least one diagnostic processing system and the at least one prognostic processing system, wherein the optimization processing system determines the one or more optimization instructions for the at least one asset further based on an obtained maintenance budget for the at least one assets and a risk of failure of at least one of the one of the one or more elements of the at least one of the assets determined by at least one of the prognostic processing system and the diagnostic processing system; anda display system that displays the determined one or more optimization instructions. 52. A method for optimizing utilization of one or more assets, the method comprising:obtaining at an optimization processing apparatus at least one of operational data and condition data for one or more elements of at least one of the assets, data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements, and at least one of specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting with the optimization processing apparatus one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained at least one of the operational data and the condition data;conducting with the optimization processing apparatus one or more prognostics on the one or more elements of the at least one of the assets based on the obtained at least one of the operational data and the condition data and the obtained at least one of the specification data, the historical maintenance data and the life-cycle data;determining with the optimization processing apparatus one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics and the obtained replacement data; anddisplaying with the optimization processing apparatus the determined one or more optimization instructions. 53. A non-transitory computer readable medium having stored thereon instructions for optimizing utilization of one or more assets comprising machine executable code which when executed by at least one processor, causes the processor to perform steps comprising:obtaining at least one of operational data and condition data for one or more elements of at least one of the assets, data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements, and at least one of specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;conducting one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained at least one of the operational data and the condition data;conducting one or more prognostics on the one or more elements of the at least one of the assets based on the obtained at least one of the operational data and the condition data and the obtained at least one of the specification data, the historical maintenance data and the life-cycle data;determining one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics and the obtained replacement data; anddisplaying the determined one or more optimization instructions. 54. A system for optimizing utilization of one or more assets, the system comprising:one or more data acquisition systems that obtain at least one of operational data and condition data for one or more elements of at least one of the assets, data on one or more upgrades for replacing two or more of the elements of the at least one asset with a smaller number of one or more upgraded elements, and at least one of specification data, historical maintenance data and life-cycle data for the one or more elements of the at least one of the assets;at least one diagnostic processing system that conducts one or more diagnostics on the one or more elements of the at least one of the assets based on the obtained at least one of the operational data and the condition data;at least one prognostic processing system that conducts one or more prognostics on the one or more elements of the at least one of the assets based on obtained at least one of the operational data and the condition data and the obtained at least one of the specification data, the historical maintenance data and the life-cycle data;an optimization processing system that determines one or more optimization instructions for the at least one asset based on the conducted diagnostics and prognostics from the at least one diagnostic processing system and the at least one prognostic processing system and the obtained replacement data; anda display system that displays the determined one or more optimization instructions.
summary
claims
1. An apparatus for generating excimer radiation, the apparatus comprising:a housing wall;an elongated reflector in the shape of a elongated cuboid having a semi-cylindrical cut-out along the major dimension of the elongated reflector;an elongated electrode disposed parallel to the major dimension of the elongated reflector; anda tubular body around the elongated electrode, the tubular body including an outer wall and an inner wall, wherein the tubular body has a first end extending out of the housing wall of the apparatus, and a second end extending into an interior region of the apparatus, and wherein at least one inert gas disposed between the outer wall and the inner wall, wherein the elongated reflector and the elongated electrode are configured to excite the inert gas to illuminate an excimer light,wherein the tubular body includes a partition wall, substantially adjacent to the housing wall, that separates the tubular body into a first area adjacent to the first end extending out of the housing wall from a second area adjacent to the second end extending into the interior region, and wherein the first area is filled with a gas that does not generate excimer light;wherein the elongated electrode is surrounded by the tubular body such that the elongated electrode and the elongated reflector are in separate compartments,wherein the semi-cylindrical cut-out surrounds a portion of the outer wall of the tubular body, andwherein the elongated reflector is configured to reflect the excimer light. 2. The apparatus of claim 1 wherein the elongated reflector is electrically coupled with the housing wall. 3. The apparatus of claim 1 wherein a pressure is provided within a space between the electrode and the tubular body, such that the electrode and the housing wall are substantially free from exciting gas within the space. 4. The apparatus of claim 1 wherein the partition wall contacts the inner wall and the outer wall of the tubular body. 5. The apparatus of claim 1 wherein the tubular body includes a dielectric material region having an edge adjacent to the housing wall. 6. An apparatus for excimer curing dielectric material, the apparatus comprising:a chamber defining a substrate processing region;a substrate support configured within and at a bottom region of the chamber; andat least one excimer lamp separated from the substrate support and configured to generate and transmit radiation to a substrate positioned over the substrate support, each of the at least one excimer lamp comprising:an elongated electrode;a tubular body around the elongated electrode, the tubular body including an outer wall and an inner wall, wherein the tubular body has a first end extending out of the housing wall of the apparatus, and a second end extending into an interior region of the apparatus, and wherein at least one inert gas disposed between the outer wall and the inner wall; andan elongated reflector in the shape of a elongated cuboid having a semi-cylindrical cut-out along the major dimension of the elongated reflector, wherein the elongated reflector is disposed adjacent to the outer wall of the tubular body, wherein the reflector and the electrode are configured to excite the inert gas to illuminate an excimer light for curing the dielectric material,wherein the tubular body includes a partition wall, substantially adjacent to the housing wall, that separates the tubular body into a first area adjacent to the first end extending out of the housing wall from a second area adjacent to the second end extending into the interior region, and wherein the first area is filled with a gas that does not generate excimer light; andwherein the semi-cylindrical cut-out surrounds a portion of the outer wall of the tubular body. 7. The apparatus of claim 6 wherein a pressure is provided within a space between the elongated electrode and the tubular body, such that the elongated electrode and the elongated reflector are free from exciting gas within the space. 8. The apparatus of claim 6 wherein the tubular body is around the elongated electrode, such that the elongated electrode is free from being exposed within the chamber. 9. The apparatus of claim 6 wherein the tubular body includes a dielectric material region having an edge adjacent to a chamber wall of the chamber. 10. The apparatus of claim 6 wherein the second end extends through the interior region of the apparatus and out of the housing wall in a different location than the first end. 11. The apparatus of claim 6 wherein the at least one excimer lamp includes a first excimer lamp and a second excimer lamp, the first excimer lamp having a first end extending out of the housing wall at a first location on the apparatus, and a second end extending toward the center of the chamber, and the second excimer lamp having a first end extending out of the housing wall at a second location opposite the first location, and a second end extending toward the center of the chamber. 12. The apparatus of claim 6 wherein the at least one excimer lamp comprises a first excimer lamp and a second excimer lamp, and the first excimer lamp and the second excimer lamp are substantially parallel configured in the interior of the chamber. 13. The apparatus of claim 12 wherein the first excimer lamp and the second excimer lamp are configured near to the center of the chamber. 14. The method of claim 6, wherein the excimer light has a range of bandwidth substantially concentrating at about 152 nm, 172 nm, 193 nm, 222 nm, 248 nm or 303 nm.
summary
049869566
summary
FIELD OF INVENTION The present invention relates to the containment systems in nuclear reactor facilities and more specifically to pressure suppression systems having heat removal capabilities during a loss of coolant accident. BACKGROUND OF THE INVENTION Commercial nuclear reactor power plants require a reactor compartment which surrounds the nuclear reactor vessel and its primary coolant piping. In the event of a piping failure, primary coolant would be released from the reactor into the reactor compartment atmosphere. The reactor compartment is designed to withstand the maximum pressure and temperature resulting from such a "loss of coolant" accident ("LOCA"). A low leakage structure is specified so that radioactivity released from the nuclear fuel rods in the reactor during this LOCA event will be retained in the reactor compartment. In order to mitigate the consequences of a LOCA and for the reactor compartment to continue to reliably maintain its integrity over a long term period, several supporting systems are required. These include systems to remove heat from the reactor compartment; remove fission products from the reactor compartment; and provide a source of cooling water for injection into the reactor vessel. In addition, containment systems which feature a pressure suppression system need to maintain this capability throughout the LOCA event. Prior art containment systems have required active components such as mechanical pumps to provide most of the above supporting system functions. The resulting design is complex, costly, and requires timely and correct operator action. In addition, these active components in turn need reliable onsite diesel generators to provide AC power throughout the accident period. As a result, a hazardous situation can occur if these diesel generators are not available due to a common cause failure. Prior art containments have been large integrated structures which are costly and difficult to construct. Before the reactor can be installed a large portion of the integrated containment structure must first be completed. Such a construction sequence serves to lengthen the overall plant construction period. Also, most prior art containment designs result in a continual leakage of radioactivity to the environment throughout the accident period since the containment pressure is above atmospheric. This has resulted in the need for controversial provisions for evacuating the public. Commercialization of boiling water reactors in the U.S. began in the mid-1960's with a dispersed type of containment design, termed the Mark I plant. This design features a steel vessel for the reactor compartment which is interconnected with a separate steel suppression chamber by means of large diameter vent headers and bellows assemblies. Separate structural supports were provided for each vessel. As advancements were sought to reduce plant costs and simplify construction, a Mark II type of containment was introduced in 1968. U.S. Pat. No. 3,713,968, Kennedy et al, shows a Mark II type containment comprising an integrated reinforced concrete structure. Supporting mechanical systems remove heat from the water pool and separate pumping systems inject water into the reacter vessel. The arrangement of the Mark I design was not modular and, therefore, vessel fabrication delays or difficulties would directly impact the overall construction schedule. With the Mark II reinforced concrete containment, the contractor had more flexibility and was not limited by the availability of skilled vessel welders. It soon became evident that the reactor compartment configuration for the Mark II design, even though it was larger than the Mark I plant, was too small to accommodate all of the added structures needed to accommodate pipe whip and jet impingement. Thus, a third generation of pressure suppression containment, Mark III, was introduced to the marketplace. Major features of the Mark III system include horizontal weir type openings instead of downcomer piping and an annular pool which surrounds the drywell wall. The suppression chamber exterior wall can either be a reinforced concrete structure or a steel shell surrounded by a concrete shield wall. The prior art designs, however, have the pressure suppression portion of the containment integrated with the reactor compartment thereby impacting the facility construction schedule. Furthermore, each of the prior art designs require active support components, such as pumps, to operate during an accident. It is therefore an object of the present invention to provide a simple, passive containment system that requires no mechanical support systems and can function after a LOCA without operator intervention. It is also an object of the present invention to provide a passive containment system which has its pressure suppression function segregated from the reactor compartment portion of the containment structure thus enabling a large fraction of the containment to be fabricated offsite in a modular design to expedite facility construction. Another object of the present invention is to limit design complexities and analytical difficulties in the system by decoupling the dynamic and hydrodynamic forces occuring during the pressure suppression process from the nuclear island where the reactor compartment is located. It is a further object of this invention to provide a containment system than can remove soluble fission products and heat from the containment environment throughout the LOCA event and passively transfer heat from the system for an indefinite period of time without operator action. A further object of the present invention is to provide a system to passively inject water into the reactor to keep the reactor vessel in a flooded state. SUMMARY OF THE INVENTION The present invention comprises a passive nuclear reactor pressure suppression containment system comprising a reactor compartment, at least one vent line extending from the reactor compartment, a plurality of suppression tanks elevated above the reactor compartment and partially filled with water, at least one downcomer line from said vent line directed into each suppression tank and terminating below the water level in said suppression tank, interconnect lines connecting the gaseous space in each suppression tank and at least one return line from each suppression tank, located below the water line on said suppression tank when the system is in a pre-accident state, to return water to the reactor vessel wherein, upon failure in the reactor causing heat and increased pressure, steam and noncondensable gases will be directed through said vent line and said downcomer lines into the water in the suppression tanks, the gases being filtered and the steam condensed by the water in said suppression tanks, and the noncondensable gases vented into the gaseous space in said suppression tanks causing water flow through the return lines into the reactor. The system described is a closed system sealed entirely from the environment. This allows for long term containment heat removal, aided by increased surface area suppression tanks, i.e. having fins on the exterior of said tanks, in an open or vented suppression structure providing circulation of ambient air over the tanks. The closed system eliminates the need for replenishment of a water pool for containment heat removal wherein the condensate is retained in the system. The elevated nature of the suppression tanks, generally located at or above grade, over the reactor compartment, generally located below grade, results in a large static head of water which is sufficient for gravity circulation of water flow from the suppression tanks to flood the reactor vessel. The pressure suppression portion of the containment system is further contemplated to be segregated from the nuclear island where the reactor compartment is located. This design allows for modular offsite construction, wherein the pressure suppression components may be produced modularly and brought to the facility site and installed in parallel with ongoing construction efforts on the nuclear island, thereby reducing the overall construction time necessary for the facility. This arrangment further reduces dynamic loads to the nuclear island components and structures caused by hydrodynamic forces associated with pressure suppression by segragating the pressure suppression tanks from the reactor compartment. Relative movements between the reactor compartment and suppression tanks due to thermal expansion or seismic forces can be accommodated by seismic anchors and expansion bands for small bore piping. For the large ducts, i.e., vent lines, a bellows assembly may be used or, alternatively, expansion loops can be provided in each branch line. Furthermore, one or more vacuum tanks can be used in the present system, being evacuated to decrease the pressure in the closed suppression system to subatmospheric conditions during a LOCA. Since the system is closed and has low leakage characteristics it can retain subatmospheric conditions thereby reducing the possiblity of radioactive escape from the system due to high pressure containment.
summary
059616792
abstract
A process for recovering fissile materials such as uranium, and plutonium, and rare earth elements, from complex waste feed material, and converting the remaining wastes into a waste glass suitable for storage or disposal. The waste feed is mixed with a dissolution glass formed of lead oxide and boron oxide resulting in oxidation, dehalogenation, and dissolution of metal oxides. Carbon is added to remove lead oxide, and a boron oxide fusion melt is produced. The fusion melt is essentially devoid of organic materials and halogens, and is easily and rapidly dissolved in nitric acid. After dissolution, uranium, plutonium and rare earth elements are separated from the acid and recovered by processes such as PUREX or ion exchange. The remaining acid waste stream is vitrified to produce a waste glass suitable for storage or disposal. Potential waste feed materials include plutonium scrap and residue, miscellaneous spent nuclear fuel, and uranium fissile wastes. The initial feed materials may contain mixtures of metals, ceramics, amorphous solids, halides, organic material and other carbon-containing material.
summary