patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
048308153
description
DETAILED DESCRIPTION OF THE DRAWINGS The invention will now be explained by reference to the prior art cooling systems and then by reference to various embodiments and advantages of the invention. Referring to FIG. 1, FIG. 1 shows a nuclear power generating system 2 having a nuclear boiling water reactor 10 according to the prior art. The nuclear boiling water reactor 10 includes a reactor core 20 which heats water to generate a two-phase steam/water mixture. A core shroud head 22 overlies the reactor core 20 and receives the two-phase steam/water mixture. A plurality of standpipes 18 convey the steam/water mixture to a steam separator assembly 16. The steam separator assembly 16 classifies the steam from water. The separated steam is piped from an outlet 26 over pipeline 5 through a valve 6 to a turbine generator 7. The spent steam from turbine generator 7 is provided to a condenser 8. Condensate from condenser 8 is returned to the reactor 10 at an inlet 15 by a feedwater injection system that includes a pump 9. The water separated by separator assembly 16 flows back into the reactor pressure vessel and forms a part of the reactor coolant inventory 24. FIG. 1 also shows an isolation cooling system and a shutdown cooling system that include elements that are common to the prior art as shown in this FIG. 1 and to one embodiment of the invention as shown in FIG. 2. These common elements include a piping network for conveying pressurized steam from the reactor during isolation cooling; a condenser for receiving the heated steam during isolation cooling; a piping network for conveying heated reactor cooling water from the reactor during shutdown cooling; a secondary heat exchanger for removing heat from the reactor cooling water during shutdown cooling; a cooling pond for providing an additional means for removing heat from the reactor cooling water during shutdown cooling; and a recirculation system for conveying cooled/condensed steam and cooled reactor coolant back to the nuclear reactor. The piping network for conveying heated steam from the reactor includes a pipeline 30 which conducts steam from nuclear reactor steam outlet 12 to an isolation valve 31 during an isolation cooling mode. Isolation valve 31 is opened and closed by a motor 32 which is responsive to a remote motor control unit 33. The nuclear reactor vessel 10, the pipeline 30 and the valve, motors and remote control units are typically located inside the reactor containment 4. Pipeline 30 continues from valve 31 to another isolation valve 34 which is located outside the reactor containment 4. Isolation valve 34 is controlled by a motor 35 which is responsive to a remote motor control unit 36. An output of valve 34 is coupled to a pipeline 50 which conveys steam to a supply side of isolation condenser 100. Isolation condenser 100 includes a housing or shell 104, a quantity of isolation condenser coolant 102, and a heat exchange surface 54. Isolation condenser 100 is also provided with a vent or duct system 99 which conveys steam to the atmosphere. Heat exchange surface 54 includes an input side 53 and a discharge side 55. The discharge side 55 is coupled to a return pipeline 68 which conveys cooled, condensed steam to the reactor pressure vessel. Pipeline 68 is connected to an input of an isolation valve 61. Isolation valve 61 is controlled by a motor 62 which is responsive to a remote motor control unit 69. The output of isolation valve 61 is connected to a recirculation pipeline 81 inside the reactor containment 4. Recirculation pipeline 81 is connected from an outlet 80 of the reactor pressure vessel to an input side of a recirculation pump 82. Recirculation pump 82 continuously circulates coolant inside the reactor when the reactor is in a cooling mode. An output of recirculation pump 82 is connected by a recirculation line 83 to an inlet 84 to the reactor vessel. Referring still to FIG. 1 there is shown a separate shutdown cooling system according to the prior art. As shown in FIG. 1, a coolant outlet 14 for the reactor 10 conveys coolant from the reactor 10 to a reactor coolant isolation valve 41. Isolation valve 41 has an output coupled to reactor coolant circulation pump 42 by a pipeline 40. Pump 42 circulates reactor coolant from the reactor 10 to a shutdown cooling system when the reactor is in a shutdown cooling mode. Coolant pump 42 is connected by pipeline 43 to inlet 210 of shutdown cooling exchanger 200. The reactor coolant is circulated through the tube side of a heat exchange surface 204. Heat exchange surface 204 is immersed in an intermediate coolant 202. The reactor coolant is removed from exchanger 200 at outlet 212. The cooled reactor coolant is circulated from outlet 212 by pipeline 213 to return pipeline 68. The returned cooled reactor coolant is thereafter circulated back into the reactor in the same manner as the cooled condensed steam. Referring still to FIG. 1. a secondary heat exchanger 120 is used in certain nuclear power station applications as a buffer between the shutdown cooling heat exchanger 200 and a cooling pond 130. For these configurations, the intermediate coolant 202 in the shutdown cooling heat exchanger 200 is conveyed from a discharge outlet 206 by pipeline 218 to a valve 220. Valve 220 is controlled by motor 222 which is responsive to remote motor control unit 224. The output of valve 220 is connected by pipes to secondary heat exchanger 120. Secondary heat exchanger 120 includes a heat exchange surface 122. The intermediate coolant 202 from shutdown cooling heat exchanger 200 is circulated to the shell side of heat exchange surface 122 and is removed from secondary heat exchanger 120 at an outlet 125. Baffles 124 mix coolant 202. Outlet 125 is connected to a circulation pump 140. The output of circulation pump 140 is coupled to an isolation valve 141. Isolation valve 141 is controlled by a motor 142 which is responsive to a remote motor control unit 143. The cooled intermediate coolant is conveyed from the output side of valve 141 to inlet 208 of shutdown cooling heat exchanger 200 where it is used again to cool the reactor coolant injected at inlet 210. Coolant 202 is commonly maintained at an operating pressure higher than the pressure of the reactor coolant in reactor 10 during the operating mode. The coolant 202 is maintained at this higher pressure in order to prevent any outward transport of radioactivity that might otherwise occur if tube leaks in heat transfer surface 204 were to develop. Since intermediate coolant 202 is commonly operated at such a higher pressure, all equipment associated with intermediate coolant 202 must be designed to withstand such higher pressure conditions. Secondary heat exchanger 120 includes a quantity of raw water coolant 132 from cooling pond 130. Coolant 132 flows through the tube side of heat exchange surface 122. The raw water coolant 132 in cooling pond 130 is circulated by a circulation pump 134 through pipeline 136 to an inlet 126 to heat exchange surface 122. An outlet 127 provides return, heated raw water coolant to a pipeline 131 which conveys the heated raw water coolant back to cooling pond 130. Refer now to FIG. 3. FIG. 3 is a partial illustration of a nuclear power generating system having a boiling water reactor 10 and a gravity-driven cooling system 150. Such a system is representative of advanced nuclear boiling water reactor designs having the isolation cooling system integrated into the containment pressure suppression pool 156. As shown in FIG. 3, the gravity-driven cooling system 150 includes a suppression pool 156 which contains a quantity of emergency water coolant 158. This isolation cooling system design includes a steam supply line 153, heat exchange surfaces 155 and condensate discharge line 157. Steam supply line 153 is connected to the tube side of a plurality of heat exchange surfaces 155. Steam supply line 153 conveys steam from reactor pressure vessel 10 to the inlet to heat exchange surfaces 155. Heat exchange surfaces 155 have a discharge side connected to pipeline 157. Pipeline 157 is connected back to reactor 10 to provide cooled condensed steam for replenishing reactor coolant and thus for cooling reactor core 20. As previously explained, the prior art systems as depicted in FIGS. 1 and 3 suffer from several drawbacks. The system of FIG. 1 requires a number of shells for the various shutdown heat exchangers. These shells must meet costly high pressure design requirements. The heat exchange surfaces operate with a low heat transfer temperature differential. Thus, the shells and surfaces are large and expensive. The shells are generally included within the reactor building and therefore occupy a large amount of space. Even more space must be provided to permit maintenance of the heat exchange surfaces included in the heat exchangers and the isolation condenser. The gravity-driven cooling system design requires an increase in the size of the reactor containment which adds significantly to the overall nuclear power generating system costs. Refer now to FIG. 2 which is a detailed diagram of a nuclear power generating system 2 having improved isolation cooling and shutdown cooling systems according to one embodiment of the invention. This system includes the isolation condenser 100, the secondary heat exchanger 120, a cooling pond 130, and circulation pipe, pumps and valves in common with the prior art system of FIG. 1. However, the system according to the invention dispenses with the separate shutdown cooling heat exchanger 200, permitting significant cost, maintenance and space savings. The arrangement of the improved cooling system will now be explained first by reference to FIG. 2 and then by reference to FIG. 4. It should be understood, however, that other arrangements or embodiments are possible without departing from the scope or spirit of the invention. As shown in FIG. 2, the isolation condenser 100 now includes a plurality of heat exchange surfaces 54, 64 and 74. These heat exchange surfaces are immersed in isolation condenser water coolant 102 and are sized for shutdown cooling duty. As used herein, "isolation condenser water coolant" means the initial water inventory in isolation condenser 100 as well as makeup water added to offset boiling and venting. Steam supply line 50 is coupled to an input 53 of heat exchange surface 54. Cooled condensed reactor steam is coupled from an outlet 55 of heat exchange surface 54 over pipeline 56 to return line 68. The reactor coolant pipeline 43 from the nuclear reactor 10 now branches into three reactor coolant input pipelines 44, 45, and 46 which are in turn coupled to inputs to the heat exchange surfaces 54, 64, and 74 included in isolation condenser 100. Pipelines 44, 45 to 46 each carry about 1/3 the capacity of line 43 and thus may be of smaller size than line 43. Pipeline 46 branches from pipeline 43 to the input side of an isolation valve 47. The output of isolation valve 47 is coupled to pipeline 50 which, as previously mentioned, couples heated steam from the nuclear reactor 10. Thus, heated reactor coolant conveyed over pipeline 46 is coupled to input 53 of heat exchange surface 54. Pipeline 45 is connected to input 63 of heat exchange surface 64. The output 65 of heat exchange surface 64 is coupled by pipeline 66 to return pipeline 68. Likewise, pipeline 44 is connected to input 73 of heat exchange surface 74. The output 75 of heat exchange surface 74 is connected by pipeline 76 to return line 68. Isolation condenser 100 (i.e., the cooling apparatus) also has a shell or housing 104 which contains isolation condenser coolant 102. Housing 104 may be a conventional "shell and tube, multiple water box" heat exchanger. Heat exchange surfaces 54, 64 and 74 are immersed in isolation condenser coolant 102. Cooling apparatus 100 also has a vent or duct system 99 which conveys isolation coolant 102 that has been heated into steam to the atmosphere. Isolation condenser coolant 102 has a free surface 103 to enhance steam boiloff when isolation condenser 102 is rapidly heated during the isolation cooling mode and during the early phases of the shutdown cooling mode. Cooling apparatus 100 may also include a draining system 90. Drain system 90 may be a conventional drain system and include a pipeline and associated drain valves. Condensed steam and reactor coolant are connected by pipeline 68 back to the recirculation line 81 according to the prior art. The isolation condenser 102 in apparatus 100 is used to remove heat from the hot steam and reactor coolant. The isolation condenser coolant 102 and cooling apparatus 100 may be demineralized water, for example, reactor cooling water or water from the station makeup water system that has been purified. The isolation condenser coolant 102 in cooling apparatus 100 is itself cooled by circulation through a secondary heat exchanger 120. The isolation condenser 102 is conveyed from an outlet 112 on housing 104 through a pipeline 121 to an input 123 of secondary heat exchanger 120. Since coolant 102 is clean, it may be provided on the shell side of heat exchange surface 122 in secondary heat exchanger 120. Heat exchange surface 122 is thus disposed in isolation condenser coolant 102. The warm isolation condenser coolant is cooled as it flows in intimate contact with heat exchange surface 122 as directed by internal baffle plates 124. The cooled isolation condenser coolant 102 is returned to an inlet 110 of cooling apparatus 104 using pumps 140 and associated pipelines and valves as previously discussed for the prior art. Secondary heat exchanger 120 uses a quantity of raw water coolant 132 obtained from cooling pond 130 for cooling the isolation condenser coolant 102. This raw water coolant is circulated as previously discussed for the prior art. As shown in FIG. 2, the nuclear reactor 10, the recirculation loop 140, and the gravity driven cooling system 150 and associated pipes and isolation valves are generally contained within the reactor containment 4. The remainder of the cooling system is contained within the reactor building 3 with the exception of the cooling pond and associated pipes and pumps. Although the embodiment shown in FIG. 2 includes only one cooling apparatus, in normal practice a plurality of such cooling apparatus may be used within the scope or spirit of the invention. In like fashion, a greater plurality of heat exchange surfaces may also be used inside the cooling apparatus 100 within the scope and spirit of the invention. Refer now to FIG. 4 which shows a mechanical diagram of a cooling apparatus according to one embodiment of the invention. As shown in FIG. 4, cooling apparatus 100 includes a shell 104 having ends 105 and 107, and including isolation condenser coolant 102 up to a free water level 103. A plurality of vents 99, 99A and 99B permit steam which is generated from boiling isolation condenser coolant 102 to be conveyed to the atmosphere. A steam dryer assembly 98 conveys moisture classified by the dryer from the vapor/moisture mixture rising from free surface 103 back into housing 104. The cooling apparatus 100 in FIG. 4 also includes a plurality of heat exchange surface assemblies 54, 64, 74 and 54A, 64A, and 74A. Each heat exchange surface assembly includes an integral tube sheet-and-water box member together with a tube bundle comprised of a plurality of U tubes. The tube sheets, water boxes. and U tubes may be fabricated and configured as for conventional isolation condensers and will include additional parts not shown according to the technology, design and manufacturing practices of conventional equipment suppliers. It should be understood that the embodiment in FIG. 4 employs six total tube sheet assemblies. Assemblies 54, 64 (not shown) and 74 are disposed at end 107 of cooling apparatus 100 in an inverted triangular pitch configuration with assembly 54 being the lowermost positioned assembly at end 107. Assemblies 54A, 64A and 74A (not shown) are disposed at opposing end 105 of housing 104 in an opposing triangular configuration to heat exchange surface assemblies 54, 64 and 74 respectively. Isolation condenser coolant 102 is provided to shell 104 by an input pipe 110. Input pipe 110 connects to end 107 of apparatus 100, somewhat above the horizontal center line of shell 104. Input line 110 conveys coolant from the secondary heat exchange system (not shown) to the cooling apparatus 100. A return pipeline 112 is coupled to the bottom 108 of apparatus 100. Return line 112 returns heated isolation condenser coolant 102 to a secondary heat exchange system (not shown) for heat removal. For a conventional reactor, the heat exchange surface area required for shutdown cooling is about three times the heat exchange surface area required for isolation condensing cooling. Thus, for the embodiment shown in FIG. 4, heat exchange surfaces 54 and 54A may be used for isolation cooling whereas all six transfer surfaces may be used for shutdown cooling duty. The shell of a conventional isolation condenser defines a volume large enough to house the shutdown cooling heat exchange surfaces. The positioning of the isolation condenser cooling heat exchange assemblies toward the bottom of the isolation condenser shell provides the longest operation period for isolation cooling heat exchange. Following a reactor isolation condition, isolation coolant inventory draw-down is caused by steam generation and venting of isolation coolant to atmosphere. This isolation coolant draw-down could gradually uncover upper tubes in the U-tube assembly and require replenishment of isolation coolant. Thus, the isolation condenser heat exchange assemblies may be positioned toward the bottom of the isolation condenser shell to provide the longest operation period possible before it is necessary to replenish isolation condenser coolant. Valves may also be included in the system to permit the steam to be provided exclusively to the lowermost heat exchange surfaces during isolation cooling. In this connection, it should be observed that isolation cooling occurs at very high pressures and temperatures, potentially up to reactor design pressures of 1250 psig and over 500.degree. F. As a result, the heat exchange assemblies for isolation cooling, i.e., tube assemblies, must be of a material and wall thickness capable of withstanding these operating conditions. On the other hand, the shutdown cooling mode occurs under moderately high pressures and temperatures, for example, 175 psig and below 212.degree. F. Thus, the heat exchange assemblies that are used exclusively for shutdown cooling may be fabricated from materials having lower pressure ratings and temperatures than those used for isolation cooling. Valves could also be used, (i.e.. pressure relief valves) to prevent exposure of the lower rated shutdown cooling heat surfaces to the isolation cooling operating conditions. It is to be appreciated that the invention capitalizes on the fact that the reactor does not require simultaneous shutdown cooling and isolation cooling. It is possible, however, for the shutdown cooling mode to follow an isolation cooling mode if the plant were shutdown because of the load rejection event. The conventional reactor does not normally initiate shutdown cooling until the reactor has been significantly depressurized and cooled down by the isolation condenser (or by some other pressure unloading system). This depressurization and cool down normally requires up to two or three hours. Thus, there is ample time for smoothly switching the reactor decay removal function from the isolation cooling mode to the shutdown cooling mode. Although the invention has been explained with reference to the foregoing embodiments, it should be understood that other changes and modifications may be made to the foregoing embodiments without departing from the scope or spirit of the invention. Alternative configurations may be used, such as a greater or smaller number of heat exchange surface assemblies, without departing from the scope of the invention. A plurality of cooling apparatus could be used depending on design requirements and overall cost considerations. The isolation condenser coolant and the cooling apparatus could be raw water coolant and could be piped to the shell side of the heat exchange surfaces under emergency conditions to give greater long term capacity for decay heat removal when auxiliary power supplies are limited. Secondary coolant could be piped to the shell side of the cooling apparatus or the secondary heat exchanger rather than to the tube side as previously discussed. The placement of isolation condenser coolant inlets, discharges, steam vent lines, drain lines, and so forth could also be optimized to meet specific applications. The isolation condenser coolant may also be circulated through the secondary heat exchange coolant during the isolation cooling mode to provide increased cooling of the hot reactor steam before the condensate is returned to the reactor as makeup coolant. This approach would augment the effectiveness of other emergency core cooling systems employed during shutdown. It should thus be understood that the invention is limited only by the appended claims.
claims
1. An X-ray imaging apparatus, comprising:a radiator housing;an X-ray tube being rotatable about a longitudinal axis defined therethrough and being disposed at least partially within the radiator housing, the X-ray tube including a first portion and a second portion;a source of X-ray beams which emits at least one X-ray beam at least partially through the X-ray tube and exiting the X-ray tube at an annular X-ray window peripherally disposed on the X-ray tube adjacent the second portion;at least one filtration material disposed on the X-ray tube and at least partially covering a portion of the annular X-ray window, wherein the X-ray beam becomes filtered as it passes through the filtration material; andwherein rotation of the X-ray tube causes the X-ray beam to pass through a plurality of locations in the annular X-ray window and wherein at least a portion of the X-ray beam is filtered by the filtration material,wherein the X-ray imaging apparatus includes a first filtration material and a second filtration material, a plurality of the first filtration material and a plurality of the second filtration material being disposed in an alternating orientation at least partially covering the annular X-ray window. 2. The X-ray imaging apparatus of claim 1, wherein the at least one filtration material is disposed in a plurality of spaced-apart locations on the X-ray tube. 3. The X-ray imaging apparatus of claim 1, wherein the X-ray tube includes an interior surface and an exterior surface and wherein the at least one filtration material is disposed on an interior surface of the X-ray tube. 4. The X-ray imaging apparatus of claim 1, wherein the X-ray tube includes an interior surface and an exterior surface and wherein the at least one filtration material is disposed on an exterior surface of the X-ray tube. 5. The X-ray imaging apparatus of claim 1, wherein the at least one filtration material is essentially comprised of uranium. 6. The X-ray imaging apparatus of claim 1, wherein the at least one filtration material is essentially comprised of thorium. 7. The X-ray imaging apparatus of claim 1, wherein the first filtration material is made from a material whose K-Shell electron binding energy is outside the range of about 30 keV to about 120 keV and the second filtration material is made from a material whose K-Shell electron binding energy is within the range of about 30 keV to about 120 keV. 8. The X-ray imaging apparatus of claim 1, wherein the first filtration material is aluminum and the thickness is in the range of about 5 mm to about 7 mm. 9. The X-ray imaging apparatus of claim 8, wherein the second filtration material is uranium and the thickness is in the range of about 40 μm to about 60 μm. 10. The X-ray imaging apparatus of claim 1, wherein the X-ray tube includes a voltage setting in the range of about 40 kilovolts and 160 kilovolts. 11. The X-ray imaging apparatus of claim 1, wherein the radiator housing is at least partially filled with a coolant. 12. The X-ray imaging apparatus of claim 1 further defined as an X-ray Computed Tomography (CT) apparatus. 13. A method for rapidly switching the energy spectrum of X-ray beams, comprising:providing an X-ray imaging apparatus, including:a radiator housing;an X-ray tube being rotatable about a longitudinal axis defined therethrough and being disposed at least partially within the radiator housing;a source of X-ray beams which emits at least one X-ray beam at least partially through the X-ray tube and exiting the X-ray tube at an annular X-ray window peripherally disposed on the X-ray tube; andat least one filtration material disposed on the X-ray tube and at least partially covering a portion of the annular X-ray window; androtating the X-ray tube to cause the X-ray beam to pass through a plurality of locations in the annular X-ray window,wherein the X-ray imaging apparatus includes a first filtration material and a second filtration material, a plurality of the first filtration material and a plurality of the second filtration material being disposed in an alternating orientation at least partially covering the annular X-ray window. 14. The method of claim 13, wherein the first filtration material is made from a material whose electron binding energy is outside the range of about 30 keV to about 120 keV and the second filtration material is made from a material whose electron binding energy is within the range of about 30 keV to about 120 keV. 15. The method of claim 13, wherein the at least one filtration material is essentially comprised of an actinide.
summary
062185926
abstract
A method for the treatment of radioactive evaporator concentrates from the evaporation system of nuclear plants comprises the steps of. (a) freeing the evaporator concentrates from undissolved components, PA1 (b) crystallizing the sodium sulfate contained in the evaporator concentrates as Glauber's salt (Na.sub.2 SO.sub.4.10 H.sub.2 O) on an immersion cooler, PA1 (c) recrystallizing the Glauber's salt deposited on the immersion cooler, PA1 (d) optionally, repeating the recrystallization step (c) once or several times, PA1 (e) separating essentially inactive sodium sulfate from the process, and PA1 (f) recycling the depleted evaporator concentrates of step (a) to the evaporation system.
description
This application claims priority under 35 USC 119 from Japanese Patent Application No. 2005-075597, the disclosure of which is incorporated by reference herein. 1. Field of the Invention The present invention relates to an arrangement device and method, and more particularly relates to an arrangement device and method for arranging semiconductor integrated circuits at interposers and arranging semiconductor integrated circuit bodies at substrates. 2. Description of the Related Art Conventionally, a semiconductor integrated circuit is arranged at an interposer, which is to intermediate between the semiconductor integrated circuit and a substrate, to configure a semiconductor integrated circuit body. Then the semiconductor integrated circuit body is arranged at the substrate, and ultimately a package is fabricated. In some cases, a light-emitting element for optical communication is provided at the semiconductor integrated circuit. Light of the optical communication passes through the interposer and is guided into an optical waveguide formed in the substrate. This light is guided to a light-receiving element at another semiconductor integrated circuit body arranged at the substrate. Thus, optical communication is performed. For this optical communication, it is necessary to accurately arrange the semiconductor integrated circuit, the interposer and the substrate at pre-specified positions. Heretofore, a method has been proposed for positioning at a time of superposition of an optical element with a substrate (see Japanese Patent Application Laid-Open (JP-A) No. 09-145965). However, this positioning method is for superposing an optical element with a substrate, not for accurately arranging a semiconductor integrated circuit, an interposer and a substrate at pre-specified positions. Specifically, when a light-emitting element at a semiconductor integrated circuit is to be superposed with an optical waveguide at a substrate with an interposer being interposed therebetween, the interposer is an obstruction, so this is difficult. The present invention has been made in view of the above circumstances, and provides an arrangement device and method which are capable of positioning a semiconductor integrated circuit and a substrate in a simple manner. An arrangement device of a first aspect of the present invention is an arrangement device including: a photography section, which photographs a first mark and a second mark in a state in which a semiconductor integrated circuit to which the first mark is applied and a member to which the second mark is applied, which member is to be used in combination with the semiconductor integrated circuit, overlap; and a movement section, which relatively moves at least one of the semiconductor integrated circuit and the member with respect to the other thereof on the basis of positions of the first mark and the second mark which have been photographed by the photography section. Firstly, for the invention described above, a case of positioning a semiconductor integrated circuit and a member (an interposer) will be described (i.e., a below-mentioned first movement). First, in a state in which the semiconductor integrated circuit to which the first mark has been applied overlaps with the interposer which is to be employed in combination with the semiconductor integrated circuit and to which the second mark has been applied, the first mark and the second mark are photographed by the photography section. Herein, this state in which the semiconductor integrated circuit and the member overlap may be a state in which the semiconductor integrated circuit and the member face one another with a predetermined separation therebetween, and may be a state in which the semiconductor integrated circuit and the interposer are superposed. In accordance with the positions of the first mark and the second mark photographed by the photography section, the movement section relatively moves the at least one of the semiconductor integrated circuit and the member with respect to the other. Now, the semiconductor integrated circuit is equipped with an optical communication device for performing optical communication, the interposer is equipped with a transparent member, which includes an optical system for focusing light for the optical communication, and the substrate is equipped with an optical waveguide, through which the light for optical communication will pass. Positions of the first mark, the second mark and the third mark are specified such that, when light will pass through the interior of the optical waveguide for the optical communication, the complete distinguishing mark is formed. After the semiconductor integrated circuit and the interposer have been positioned as described above, at least one of a body including the semiconductor integrated circuit with the interposer and the substrate is relatively moved with respect to the other such that, in images acquired by the photography, the distinguishing mark and the third mark get closer together and finally the pre-specified complete distinguishing mark is formed. Thus, even though the interposer is present, positioning of the semiconductor integrated circuit at the substrate can be performed with ease. Herebelow, an embodiment of the present invention will be described in detail with reference to the drawings. As shown in FIG. 1, a semiconductor integrated circuit 12 is equipped, at one face thereof, with an optical communication element 16. As the optical communication element 16, a light-emitting element (e.g., a VCSEL), a light detection element (e.g., a photodiode (PD)) or the like may be employed. As will be discussed in more detail later, as reference points, a first semiconductor integrated circuit mark 15 and a second semiconductor integrated circuit mark 17 are applied in advance to an optical communication portion (a light-emitting portion or light-receiving portion) 14 at one face 16S of the optical communication element 16. Here, the first semiconductor integrated circuit mark 15 and the second semiconductor integrated circuit mark 17 are formed of a material which reflects X-rays. As shown in FIG. 2, at an interposer 22, which is interposed between the semiconductor integrated circuit 12 and a later-described substrate 50 (see FIG. 7), a through-hole 21 is formed. A transparent member 24, which is exposed by the through-hole, is provided at the through-hole 21. At the transparent member 24, an optical portion 26 is formed of a lens for focusing the light or the like. To form the optical portion 26, for example, laser ablation, etching, stamping or the like can be employed. Here, if intensity of the light of optical communication will be large at the optical communication element 16, the optical portion 26 is not particularly necessary. However, when the optical portion 26 is formed, it is possible for the intensity of the light to be smaller, and an energy saving can be achieved. As will be discussed in more detail later, as reference points, a first interposer mark 25 and a second interposer mark 27 are applied in advance to the optical portion 26 at one face 28 of the transparent member 24. Here, the first interposer mark 25 and the second interposer mark 27 are formed of a material which reflects X-rays. As shown in FIG. 3, in the present embodiment, the interposer 22 is arranged at the semiconductor integrated circuit 12. Next, an arrangement device for carrying out this operation will be described with reference to FIG. 10. As shown in FIG. 10, the arrangement device is equipped with suction portions 62 and 64, which apply suction to and retain the semiconductor integrated circuit 12 (and a semiconductor integrated circuit body 40, which will be described later). The suction portion 62 and the suction portion 64 can be moved in three dimensions by a movement mechanism 66 and a movement mechanism 68, respectively. The movement mechanisms 66 and 68 are connected to a control device 70. A white light photography device 30 and an X-ray photography device 35 are also connected to the control device 70. The control device 70 can be constituted by a computer, and is provided with a memory device which stores respective programs for a later-described semiconductor integrated circuit-interposer-positioning processing routine (see FIG. 11) and semiconductor integrated circuit body-substrate-positioning processing routine (see FIG. 12). By controlling the movement mechanisms 66 and 68, the control device 70 can move the suction portions 62 and 64 in three dimensions, and can apply suction to and retain the semiconductor integrated circuit 12 (or the later-described semiconductor integrated circuit body 40). Herein, a movement section is constituted by the suction portions 62 and 64 and the movement mechanisms 66 and 68, and a photography section is constituted by the white light photography device 30 and the X-ray photography device 35. Note that it is possible to provide the X-ray photography device 35 alone to serve as the photography section. Next, processing for arranging the semiconductor integrated circuit 12 at the interposer 22 ((a first movement of) a method) will be described in accordance with a semiconductor integrated circuit-interposer-positioning processing routine flowchart (see FIG. 11). When an unillustrated predetermined start button is set to ‘on’, the semiconductor integrated circuit-interposer-positioning processing routine starts. In step 102, as shown in FIG. 3, the semiconductor integrated circuit 12 is disposed above the interposer 22. Here, spatial co-ordinates (of start points and finish points) of the semiconductor integrated circuit 12 and the interposer 22, which are required for the processing of the present step 102, are stored in the memory device beforehand. In the present step 102, these spatial co-ordinates are read in and utilized, and thus the semiconductor integrated circuit 12 is disposed above the interposer 22. In step 104, the interposer 22 and, via the transparent member 24 of the interposer 22, the optical communication element 16 of the semiconductor integrated circuit 12 are photographed by the white light photography device 30. Here, the semiconductor integrated circuit marks 15 and 17 are disposed within a region which can be photographed by the white light photography device 30 via the transparent member 24. Moreover, when the optical communication element 16 of the semiconductor integrated circuit 12 is photographed by the white light photography device 30 via the transparent member 24 of the interposer 22, the semiconductor integrated circuit marks 15 and 17 are photographed, and the interposer marks 25 and 27 are located inside the region of photography at this time. In step 106, on the basis of image data obtained by the photography, respective positions of the marks 15, 17, 25 and 27, as shown in FIG. 4A, are detected. In step 108, an offset amount and an offset direction of the first semiconductor integrated circuit mark 15 and the first interposer mark 25 are detected. Now, in the present embodiment, positions of the marks 15, 17, 25 and 27 are specified such that when a first optical axis, of the optical communication element 16, and a second optical axis, of the optical portion 26, are within a predetermined range of one another, for example, coinciding, a semiconductor integrated circuit body mark 1525 is formed by the first semiconductor integrated circuit mark 15 and the first interposer mark 25 and a semiconductor integrated circuit body mark 1727 is formed by the second semiconductor integrated circuit mark 17 and the second interposer mark 27, as shown in FIG. 4D. Note that the semiconductor integrated circuit body mark 1525 and the semiconductor integrated circuit body mark 1727 correspond to a complete mark, a first distinguishing mark and a distinguishing mark. When, for example, the semiconductor integrated circuit body mark 1525 is formed, a pre-specified position P1 of the first semiconductor integrated circuit mark 15 and a pre-specified position P2 of the first interposer mark 25, which are shown in FIG. 4A, coincide at a point P, as shown in FIG. 4D. Accordingly, in the present step 108, the offset amount is found in the form of a distance L between the pre-specified position P1 of the first semiconductor integrated circuit mark 15 and the pre-specified position P2 of the first interposer mark 25 shown in FIG. 4A. When the semiconductor integrated circuit 12 is disposed above the interposer 22 as mentioned above, the pre-specified position P2 of the first interposer mark 25 is a position which serves as a reference point. Accordingly, the offset direction F is a direction from the pre-specified position P2 of the first interposer mark 25 toward the pre-specified point P1 of the first semiconductor integrated circuit mark 15. In step 110, on the basis of the offset amount L and the offset direction F, the semiconductor integrated circuit 12 is moved so as to eliminate the offset, that is, such that the pre-specified position P1 of the first semiconductor integrated circuit mark 15 and the pre-specified position P2 of the first interposer mark 25 coincide at the point P as shown in FIG. 4D. More specifically, the semiconductor integrated circuit 12 is moved such that, in the image(s), the pre-specified position P1 of the first semiconductor integrated circuit mark 15 and the pre-specified position P2 of the first interposer mark 25 approach one another as shown in FIGS. 4A to 4D and finally the semiconductor integrated circuit body mark 1525 and the semiconductor integrated circuit body mark 1727 are formed, that is, such that the first optical axis of the optical communication element 16 and the second optical axis of the optical portion 26 coincide. Then, as shown in FIG. 5, the semiconductor integrated circuit 12 is placed on an upper face of the interposer 22. Hence, as shown in FIG. 6, the semiconductor integrated circuit 12 and the interposer 22 are sealed with a sealant 32. Thus, the semiconductor integrated circuit body 40 is fabricated. Thereafter, the semiconductor integrated circuit body 40 is arranged on the substrate 50. First, however, the substrate 50 will be described. As shown in FIG. 7, the substrate 50 is provided with an optical waveguide 54 thereinside. Two ends of the optical waveguide 54 are respectively located at an upper face of the substrate 50 (one at a position of the semiconductor integrated circuit body 40). Note that, of the two ends of the optical waveguide 54, only one end 52 is shown in FIG. 7. In a vicinity of the one end 52 of the optical waveguide 54, substrate marks 55 and 57 are formed. Here, the substrate marks 55 and 57 are formed of a material which reflects X-rays. Next, processing for arranging the semiconductor integrated circuit body 40 at the substrate 50 ((a second movement of) the method) will be described in accordance with a semiconductor integrated circuit body-substrate-positioning processing routine flowchart (see FIG. 12). When an unillustrated predetermined start button is set to ‘on’, the semiconductor integrated circuit body-substrate-positioning processing routine starts. In step 122, as shown in FIG. 8, the semiconductor integrated circuit body 40 is disposed above the substrate 50. Here, spatial co-ordinates (of start points and finish points) of the semiconductor integrated circuit body 40 and the substrate 50, which are required for the processing of the present step 122, are stored in the memory device beforehand. In the present step 122, these spatial co-ordinates are read in and utilized, and thus the semiconductor integrated circuit body 40 is disposed above the substrate 50. In step 124, the semiconductor integrated circuit body 40 and the substrate 50 are photographed by the X-ray photography device 35. In step 126, on the basis of image data obtained by this photography, respective positions of the marks 1517, 2527, 55 and 57, as shown in FIG. 9A, are detected. In step 128, an offset amount and an offset direction of the semiconductor integrated circuit body mark 1525 and the substrate mark 55 are detected. Now, in the present embodiment, positions of the marks 1525, 1727, 55 and 57 are specified such that when light of optical communication from the optical communication element 16 will pass into the one end 52 at the semiconductor integrated circuit body 40 end of the optical waveguide 54, a package mark 1000 is formed by the semiconductor integrated circuit body mark 1525 and the substrate mark 55 and a package mark 2000 is formed by the semiconductor integrated circuit body mark 1727 and the substrate mark 57, as shown in FIG. 9D. Note that the package mark 1000 and the package mark 2000 correspond to a complete distinguishing mark. When, for example, the package mark 1000 is formed, a pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and a pre-specified position Q2 of the substrate mark 55, which are shown in FIG. 9A, coincide at a point Q, as shown in FIG. 9D. Accordingly, in the present step 128, the offset amount is found in the form of a distance G between the pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and the pre-specified position Q2 of the substrate mark 55 shown in FIG. 9A. When the semiconductor integrated circuit body 40 is disposed above the non-moving substrate 50 as mentioned above, the pre-specified position Q2 of the substrate mark 55 is a position which serves as a reference point. Accordingly, the offset direction K is a direction from the pre-specified point Q2 of the substrate mark 55 toward the pre-specified point Q1 of the semiconductor integrated circuit body mark 1525. In step 130, on the basis of the offset amount G and the offset direction K, the semiconductor integrated circuit body 40 is moved so as to eliminate the offset, that is, such that the pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and the pre-specified position Q2 of the substrate mark 55 coincide at the point Q as shown in FIG. 9D. More specifically, the semiconductor integrated circuit body 40 is moved such that, in the image(s), the pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and the pre-specified position Q2 of the substrate mark 55 approach one another as shown in FIGS. 9A to 9D and finally the package marks 1000 and 2000 are formed, that is, such that light of optical communication of the optical communication element 16 will be guided inside the one end 52 at the semiconductor integrated circuit body 40 end of the optical waveguide 54. Then, the semiconductor integrated circuit body 40 is placed on an upper face of the substrate 50 and fixed, and a package (a semiconductor integrated circuit device) is fabricated. As has been described above, in the present embodiment, the positioning of the semiconductor integrated circuit and the interposer is performed, and then the semiconductor integrated circuit body is moved relative to the substrate such that the semiconductor integrated circuit body mark and the substrate mark in the image(s) obtained by photography are brought together and finally a pre-specified package mark is formed. Thus, even though the interposer is present, it is possible to perform positioning of the semiconductor integrated circuit at the substrate simply. In the embodiment described above, the semiconductor integrated circuit 12 is moved and placed on the upper face of the interposer 22 such that the first optical axis of the optical communication element 16 and the second optical axis of the optical portion 26 coincide (a light path K1), as shown in FIG. 13B. However, the present invention is not limited thus. It is also possible to detect an offset amount J between the first optical axis of the optical communication element 16 (a light path K2) and the second optical axis of the optical portion 26, and on the basis of this detected offset amount, to move the semiconductor integrated circuit body 40 relative to the substrate 50 such that light of optical communication of the optical communication element 16 is guided inside the one end 52 of the optical waveguide 54. When, in this manner, the offset amount between the first optical axis of the optical communication element 16 and the second optical axis of the optical portion 26 is detected and the semiconductor integrated circuit body 40 is moved relative to the substrate 50 on the basis of the detected offset amount, there is no need to position the semiconductor integrated circuit 12 at the interposer 22 accurately. Thus, positioning of the semiconductor integrated circuit at the substrate can be performed even more simply. In such a case, the offset amount is detected on the basis of the pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and the pre-specified position Q2 of the substrate mark 55, which are detected on the basis of the image(s) obtained by the photography, and the semiconductor integrated circuit body 40 is moved relative to the substrate 50 such that a package mark which is determined in accordance with this offset amount is formed. Here, the complete distinguishing mark mentioned above is not invariable, but is specified in accordance with an offset amount in order that light of optical communication of the optical communication element 16 will be guided inside the one end 52 of the optical waveguide 54. Now, in the example described above, the photography is performed as described earlier, the pre-specified position Q1 of the semiconductor integrated circuit body mark 1525 and the pre-specified position Q2 of the substrate mark 55 are detected on the basis of the image obtained by the photography, and the offset amount is detected on the basis of the detected pre-specified positions Q1 and Q2. However, the present invention is not limited thus. A light detector may be provided at the other end of the optical waveguide 54, and the offset amount may be detected on the basis of light amounts that are sensed by this light detector. Further, because a certain amount of error can be tolerated between positions of arrangement of the semiconductor integrated circuit and the interposer, rather than applying the marks to both the semiconductor integrated circuit and the interposer, it is possible to apply a mark to only one of the semiconductor integrated circuit and the interposer, and to move the semiconductor integrated circuit body in accordance with this mark and the substrate mark. In the embodiment described above, the respective marks of the semiconductor integrated circuit, the interposer and the substrate are at different locations. Consequently, depending on photography conditions, one or other of focusing points may be shifted, and it may not be possible to reliably detect the offset amount. Accordingly, in such a case, when the marks of the semiconductor integrated circuit, the interposer and the substrate are to be photographed, it is possible to obtain respective sets of image data which are focused at the locations of the respective marks of the semiconductor integrated circuit, the interposer and the substrate, to find the positions of the respective marks on the basis of the respective sets of image data, and hence to detect the offset amount. Herein, the semiconductor integrated circuit is moved relative to the interposer. However, it is also possible to move the interposer relative to the semiconductor integrated circuit, and to move both the semiconductor integrated circuit and the interposer body. Further, although the semiconductor integrated circuit body is moved relative to the substrate, it is also possible to move the substrate relative to the semiconductor integrated circuit body, and to move both the semiconductor integrated circuit body and the substrate. Now, a movement section may relatively move at least one of the semiconductor integrated circuit and the interposer with respect to the other such that a first mark and a second mark approach one another in an image obtained by photography and finally form a pre-specified complete mark (distinguishing mark). To be specific, a movement amount and a movement direction for relatively moving the at least one of the semiconductor integrated circuit and the interposer relative to the other in order to form the complete mark are calculated on the basis of positions of the first mark and the second mark, and the at least one of the semiconductor integrated circuit and the interposer body is relatively moved with respect to the other in accordance with the movement amount and movement direction that have been found by the calculation. Further, in a case in which the semiconductor integrated circuit is provided with an optical communication element for performing optical communication and a member to which a second mark is applied is provided with a transparent member including an optical system for focusing light for the optical communication, a movement section may relatively move at least one of the semiconductor integrated circuit and the member with respect to the other such that a first optical axis, of the optical communication element, and a second optical axis, of the optical system, are disposed within a predetermined range of one another. On the other hand, the semiconductor integrated circuit may be provided with an optical communication element for performing optical communication, a member to which a second mark is applied may be provided with a transparent member including an optical system for focusing light for the optical communication, and positions of the first mark and the second mark may be specified such that the complete mark is formed when a first optical axis, of the optical communication element, and a second optical axis, of the optical system, are disposed within a predetermined range of one another. In such cases, a photography section photographs the first mark via the transparent member, the first mark is disposed within a region which can be photographed by the photography section via the transparent member, and the second mark is disposed within a region of photography of when the photography section photographs the first mark. Please note that the present invention may includes various aspects other than the aspect described above. An arrangement device of a second aspect of the present invention may be an arrangement device including: a photography section, which photographs a first mark, a second mark and a third mark in a state in which a semiconductor integrated circuit to which the first mark is applied, an interposer to which the second mark is applied and a substrate to which the third mark is applied overlap, the interposer being for interposing between the semiconductor integrated circuit and the substrate; and a movement section, which relatively moves at least one of the semiconductor integrated circuit, the interposer and the substrate with respect to the others thereof on the basis of positions of the first mark, the second mark and the third mark which have been photographed by the photography section. Herein, relatively moving the one of the combination of the semiconductor integrated circuit with the interposer and the substrate with respect to the other after the semiconductor integrated circuit and the interposer have been positioned is not a limitation. That is, an arrangement device of a third aspect of the present invention may include: a photography section, which photographs a first distinguishing mark and a second distinguishing mark in a state in which a semiconductor integrated circuit body to which the first distinguishing mark is applied and a substrate to which the second distinguishing mark is applied overlap, the semiconductor integrated circuit body including a semiconductor integrated circuit and an interposer which is to be interposed between the semiconductor integrated circuit and the substrate; and a movement section, which relatively moves at least one of the semiconductor integrated circuit body and the substrate with respect to the other thereof on the basis of positions of the first distinguishing mark and the second distinguishing mark which have been photographed by the photography section. In other words, in a state in which the semiconductor integrated circuit body to which the first distinguishing mark has been applied, in which the semiconductor integrated circuit and the interposer to be interposed between the semiconductor integrated circuit and the substrate are provided, overlaps with the substrate to which the second distinguishing mark has been applied, the first distinguishing mark and the second distinguishing mark are photographed by the photography section. That is, the semiconductor integrated circuit body includes the semiconductor integrated circuit and the interposer, and the first distinguishing mark is applied thereto. Here, the first distinguishing mark may be applied to one or other of the semiconductor integrated circuit and the interposer, or may be a complete mark which is formed in the image by the first mark and the second mark, as mentioned earlier. The second distinguishing mark (which corresponds to the third mark) is applied to the substrate. The photography section photographs the first distinguishing mark and the second distinguishing mark in the state in which the semiconductor integrated circuit and the interposer overlap. Then, in accordance with the positions of the first distinguishing mark and the second distinguishing mark photographed by the photography section, the movement section relatively moves at least one of the semiconductor integrated circuit body and the substrate with respect to the other. An arrangement device of another aspect of the present invention may include: a movement section, which relatively moves and arranges at least one of a semiconductor integrated circuit body and a substrate with respect to the other thereof, the semiconductor integrated circuit body including a semiconductor integrated circuit which includes an optical communication element for performing optical communication and an interposer which is to be interposed between the semiconductor integrated circuit and the substrate, a through-hole being provided in the interposer and the interposer including, at the through-hole, a transparent member which includes an optical system for focusing light for the optical communication, and the substrate including an optical waveguide, through which light of optical communication passes; and a detection section, which detects an offset amount between a first optical axis, of the optical communication element, and a second optical axis, of the optical system, wherein the movement section relatively moves the at least one of the semiconductor integrated circuit body and the substrate with respect to the other on the basis of the offset amount which has been detected by the detection section. In other words, the aspect is an arrangement device which performs the arrangement by relatively moving the at least one of the semiconductor integrated circuit body and the substrate with respect to the other. Here, the semiconductor integrated circuit body is provided with the semiconductor integrated circuit and the interposer. The semiconductor integrated circuit is provided with an optical communication element for optical communication. The interposer is for intermediating between the semiconductor integrated circuit and the substrate. The through-hole is formed in the interposer and the interposer is provided, at the through-hole, with the transparent member including the optical system, which focuses light for the optical communication. In the present invention, an offset amount between the first optical axis of the optical communication element and the second optical axis of the optical system is detected. In accordance with the detected offset amount, at least one of the semiconductor integrated circuit body and the substrate is relatively moved with respect to the other. Because the offset amount between the first optical axis of the optical communication element and the second optical axis of the optical system is detected and the one of the semiconductor integrated circuit body and the substrate is relatively moved with respect to the other on the basis of this detected offset amount, it is not necessary to accurately position the semiconductor integrated circuit and the interposer and, even though the interposer is present, accurate positioning of the semiconductor integrated circuit with the substrate can be performed more simply. As described above, the complete distinguishing mark is specified in accordance with the offset amount. That is, an arrangement position of the semiconductor integrated circuit body with the substrate is determined on the basis of the detected offset amount, and the complete distinguishing mark is determined on the basis of this arrangement position. A still another aspect of the present invention is an arrangement method including: relatively moving and arranging at least one of a semiconductor integrated circuit body and a substrate with respect to the other thereof, the semiconductor integrated circuit body including a semiconductor integrated circuit which includes an optical communication element for performing optical communication and an interposer which is to be interposed between the semiconductor integrated circuit and the substrate, the interposer including a transparent member which includes an optical system for focusing light for the optical communication, and the substrate including an optical waveguide, through which light of optical communication passes; and detecting an offset amount between a first optical axis, of the optical communication element, and a second optical axis, of the optical system, wherein the step of moving includes relatively moving the at least one of the semiconductor integrated circuit body and the substrate with respect to the other on the basis of the offset amount which has been detected in the detecting. Herein, an invention which is a semiconductor integrated circuit device fabrication method for fabricating a semiconductor integrated circuit device with the arrangement device of any of the aspects of the present invention described above, an invention which is a semiconductor integrated circuit device fabrication method for fabricating a semiconductor integrated circuit device by carrying out an arrangement method of any of the other aspects, and suchlike may be proposed. The present invention as described above is capable of performing positioning of a semiconductor integrated circuit at a substrate simply, even when an interposer is present. The foregoing description of the embodiments of the present invention has been provided for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. Obviously, many modifications and variations will be apparent to practitioners skilled in the art. The embodiments were chosen and described in order to best explain the principles of the invention and its practical applications, thereby enabling others skilled in the art to understand the invention for various embodiments and with the various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the following claims and their equivalents.
summary
claims
1. A housing comprising:an ultraviolet radiation source selectively providing activating ultraviolet radiation wherein the ultraviolet radiation source includes a sealed opening in the housing that is selectively unsealed to allow sunlight to cause the change in color of the photoreactive material;a support layer transparent to light in the visual spectrum including a photoreactive material that selectively and irreversibly changes colors upon exposure to the activating ultraviolet radiation;an ultraviolet attenuation coating disposed over the support layer on a side opposed to the ultraviolet radiation source and configured to block ultraviolet radiation from the sun; anda layer of colored material disposed one of between the support layer and the ultraviolet radiation source or between the support layer and the ultraviolet attenuation coating. 2. The housing of claim 1 wherein the photoreactive material comprises a 1,2-dihydroquinoline and polymer matrix. 3. The housing of claim 1 wherein the activating radiation source comprises a light emitting diode. 4. The housing of claim 1 further comprising a patterned layer of an ultraviolet attenuation material disposed between the ultraviolet radiation source and the photoreactive material. 5. The housing of claim 1 wherein the ultraviolet attenuation coating absorbs substantially all ultraviolet radiation from sunlight and the housing further comprises:a plurality of activating radiation sources, each for affecting a change in color of an associated portion of the photoreactive material. 6. A method of changing the appearance of a housing including a support layer transparent to light in the visual spectrum, comprising:selectively applying activating radiation through a sealed opening in the housing that is selectively unsealed to provide the radiation to a photoreactive material disposed on a first side of the support layer or within the support layer transparent to light in the visual spectrum to irreversibly change the photoreactive material to a first color;blocking sunlight from the photoreactive material by a ultraviolet attenuation coating disposed over a second side of the support layer; andadding a second color to the first color by a layer of colored material disposed one of between the support layer and the ultraviolet radiation source or between the support layer and the ultraviolet attenuation coating. 7. The method of claim 6 wherein the housing further includes a patterned layer and the applying step comprises:selectively applying the activating radiation through the patterned layer to create a pattern within the photoreactive material. 8. The method of claim 6 wherein the applying step comprises applying activating radiation to a 1,2-dihydroquinoline/polymer matrix. 9. The method of claim 6 wherein the applying step comprises applying the activating radiation by a plurality of activating radiation sources, each to a distinct portion of the photoreactive coating.
description
The present invention relates to a hydrogen oxidation catalyst, a use of this and a process for hydrogen recombination. In nuclear power stations, all components which come into contact with radioactive materials are collected together in the “nuclear island”. This comprises the safety vessel (inner containment) with primary circuit, the overflow basin and the core catcher. In the upper part of the safety vessel, catalytic recombiners or recombiner systems can be installed with the aim of limiting the proportion of hydrogen going into the atmosphere in order to prevent hydrogen explosions. In nuclear power stations, in particular in pressurized reactors and boiling water reactors, but also in the cooling tanks and all other regions where water comes into contact with nuclear fuel rods, significant amounts of hydrogen and oxygen can be formed by radiolysis of water at the surface of hot fuel rods. At other contact areas between hot metal and water (vapor), too, decomposition of water into hydrogen and oxygen is possible, particularly in the components of the primary circuit. If hydrogen accumulates in the buildings of the nuclear island, explosive atmospheres can occur and in the event of an explosion can lead to the destruction of reactor pressure vessel and other regions of the primary circuit, in the case of which liberation of large amounts of radioactive material has to be expected. An example of such an event to be classified as greatest accident is the nuclear catastrophe at Fukushima. To avoid such catastrophes, nuclear power stations have more recently been equipped or retrofitted with recombiner systems. These are passive systems whose task is to reoxidize hydrogen formed at room temperature under atmospheric conditions catalytically to water vapor and thus avoid the formation of explosive atmospheres. This process has to start up and proceed automatically without active auxiliary elements such as heating devices, blowers, etc., particularly also in the event of the emergency power supply failing, and carry on without external assistance. The starting of the reaction has to occur safely for fresh catalyst material, for example catalyst material which has been stored or exposed to operating states prevailing in the safety vessel. Recombiners which ensure that the hydrogen liberated can react to form water before an explosive concentration arises can also be used for cooling tanks and fuel element containers. That is to say, this catalyst is suitable both for active and shut-down nuclear power stations, reprocessing plants and fuel element stores. For this purpose, an Al2O3 bed catalyst which is doped with 0.4-0.5% by weight of Pd and is hydrophobicized in a complicated process using organosilicon compounds to allow recombination catalysis to proceed even at high water vapor concentrations as inevitably occur in the case of a malfunction with a temperature rise, is known. This method of production is expensive and has serious technical problems in the production process. The hydrophobicized layer also decomposes above about 180° C. This is unsatisfactory because, in normal operation, organic substances can deposit on the catalyst from the atmosphere of the safety vessel and these would reduce the effectiveness of the catalyst by blocking the surface. Regeneration of the catalyst by burning-off of the organic substances without destruction of the hydrophobicizing layer is not possible. For this reason, only the replacement of the catalyst in the context of refitting, combined with high costs for procurement of fresh catalyst and disposal of the old catalyst, remains in the picture. Furthermore, decomposition of the hydrophobicizing layer due to the thermal energy released can give the sparks which can lead to an explosion. Furthermore, recombiner catalysts based on metal sheets having purely inorganic coatings (for example Pd on Al2O3) which are installed hanging next to one another are known. This reduces the pressure drop and the gas velocity in the recombiner. This is important because start-up has to occur passively by means of autoconvection. In addition, the metal sheets can be regenerated by burning-off during refitting. However, it is a disadvantage that, owing to the hydrophilicity of the Al2O3, relatively large amounts of noble metal are required in order to counter the inhibiting and deactivating effect of a high water loading and to ensure the ability of the recombiner catalyst to function. It is therefore an object of the invention to provide a catalyst which can be used in recombiner systems even at high water vapor contents, has good regenerability and is also characterized by a lower level of noble metal doping at the same effectiveness. This object is achieved by a hydrogen oxidation catalyst as claimed in claim 1, a use of this as claimed in 12 and a process for hydrogen recombination in nuclear power stations, reprocessing plants or fuel element stores as claimed in claim 14. In one embodiment, a hydrogen oxidation catalyst comprising a zeolite which contains at least one catalytically active noble metal or a compound thereof where the zeolite is a hydrophobic zeolite, is provided. Another embodiment relates to the use of a hydrogen oxidation catalyst comprising a zeolite which contains at least one catalytically active noble metal or a compound thereof, where the zeolite is a hydrophobic zeolite, as oxidation catalyst and/or for hydrogen recombination in nuclear power stations, reprocessing plants or fuel element stores. In a further embodiment, hydrogen and oxygen are brought into contact with a hydrogen oxidation catalyst comprising a zeolite which contains at least one catalytically active noble metal or a compound thereof, where the zeolite is a hydrophobic zeolite, in a process for hydrogen recombination in nuclear power stations, reprocessing plants or fuel element stores. The embodiments according to the invention of the hydrogen oxidation catalyst surprisingly make it possible for the catalyst to have excellent activity in the oxidation of hydrogen and in addition excellent regenerability even at low noble metal concentrations. This effect is observed, for example, when the noble metal-doped or undoped zeolite used in the production process is hydrophobic per se, i.e. when it has a high “intrinsic” hydrophobicity which, in some examples, is retained even at high temperatures up to destruction of the zeolite structure above 1000° C. The catalyst can be used both as loose material or applied to honeycombs or metal sheets. This makes it possible to provide a hydrogen oxidation or recombination catalyst which has an advantageous procurement price because of the low noble metal loading. Further features and useful aspects can be derived from the following description of embodiments, the figures and the dependent claims. All features of embodiments which are described here and are not mutually exclusive can be combined with one another. Elements of one embodiment can be utilized in the other embodiments without further mention. Embodiments of the invention will now be described in more detail by the following examples with the aid of figures, without wishing to restrict them thereby. The figures show: FIG. 1 the ignition behavior of the examples in the hydrogen ignition test (0.67% of H2 and 0.1% of H2O in air); and FIG. 2 the ignition behavior of the comparative example in the hydrogen ignition test (0.67% of H2 and 0.1% of H2O in air). In the following description of embodiments, the terms hydrogen oxidation and hydrogen recombination can be used synonymously. Furthermore, the hydrogen oxidation catalyst is also referred to simply as catalyst. In addition, the terms zeolite and zeolite material are used synonymously in the following description. Furthermore, the embodiments of the invention are described below on the basis of a hydrophobic zeolite containing platinum or platinum and palladium, without the invention being restricted to these noble metals. In embodiments, the term “comprising” encompasses “consisting essentially of” or “consisting of” and can be replaced by these. This applies analogously to grammatical modifications of the word “comprising”. Furthermore, in the case of the description of value ranges here, the reporting of a broad range with narrower alternative or preferred ranges should also be interpreted as disclosing ranges which can be formed by any combination of lower range limits indicated with upper range limits indicated. In one embodiment, a hydrogen oxidation catalyst comprising a zeolite which contains at least one catalytically active noble metal or a compound thereof, where the zeolite is a hydrophobic zeolite, is provided. Hydrophobic zeolites can have a high proportion of SiO2 which, for example, exceeds 94% by weight and preferably 98% by weight. The term “a catalytically active noble metal or a compound thereof” can, for the purposes of the invention, also be taken to mean a precursor of the catalytically active noble metal or a compound of a precursor of the catalytically active noble metal or encompass such a precursor/compound of a precursor. The embodiments of the catalyst according to the invention surprisingly make it possible to achieve excellent activity in the oxidation of hydrogen and also an excellent regenerability even at low noble metal concentrations. The hydrophobic properties of the zeolite used in embodiments, with, for example, a proportion of SiO2 of >98%, result in the noble metal-containing zeolite and thus also the catalyst of embodiments being hydrophobic. As a result both the fresh catalyst or the catalyst which has been stored or used for a relatively long period of time is ready to use without further measures. Thus, the oxidation of hydrogen is introduced and catalyzed automatically and also maintained over a prolonged period by the catalyst without excessive delay. The noble metal-doped zeolite can, in embodiments of the invention, be used either as loose material or applied to honeycombs or metal sheets. As a result of this and because of its low noble metal concentration, it is possible to provide a hydrogen oxidation or recombination catalyst which, owing to the low noble metal loading, has an advantageous procurement price. Furthermore, owing to the hydrophobic properties of the zeolite used in embodiments, no external coating, e.g. with organosilicon compounds, or other measures are required in order to make the noble metal-loaded zeolite or the catalyst hydrophobic. This makes regeneration of the catalyst by burning-off of (organic) materials deposited on the catalyst possible. The hydrophobic properties of the zeolites are, in embodiments of the invention, not impaired by the burning-off. In addition, the amount of the decomposition products produced by the burning-off is significantly reduced compared to catalysts which have hydrophobic coatings. For the purposes of the present invention, a zeolite or a zeolite material is, according to a definition of the International Mineralogical Association (D. S. Coombs et al., Can. Mineralogist, 35, 1997, 1571), a crystalline substance having a structure characterized by a framework made up of interconnected tetrahedra. Here, each tetrahedron consists of four oxygen atoms surrounding a central atom, with the framework containing open hollow spaces in the form of channels and cages which are normally occupied by water molecules and extraframework cations which can be exchanged. The channels of the material are large enough to allow access for guest compounds. In the case of hydrated materials, dehydration usually occurs at temperatures below about 400° C. and is largely reversible. The zeolite material which can be used in embodiments can, for example, be a silicate, an aluminum silicate, a silicon-aluminum phosphate, a metal-aluminum phosphosilicate, a gallium-aluminum silicate, a boroaluminum silicate or a titanosilicon-aluminum phosphate (TAPSO), with aluminum silicates, also referred to as aluminum silicate zeolites, being particularly preferred. The term “aluminum silicate” refers, according to the definition of the International Mineralogical Association (D. S. Coombs et al., Can. Mineralogist, 35, 1997, 1571), to a crystalline substance having a three-dimensional framework structure of the general formula Mn+[(AlO2)x(SiO2)y]xH2O which is made up of SiO4/2 and AlO4/2 tetrahedra which are linked by shared oxygen atoms to form a regular three-dimensional network. The atom ratio of Si/Al=y/x is always greater than or equal to 1 in accordance with the “Löwenstein Rule” which prohibits the occurrence of two adjacent negatively charged AlO4/2 tetrahedra. The SiO2/Al2O3 ratio in an aluminum silicate zeolite is also referred to as modulus. The zeolite used in the catalyst of embodiments is preferably an intrinsically hydrophobic zeolite, i.e. the zeolite which is not loaded with noble metals is hydrophobic. In the selection of a suitable doping process known from the prior art, this leads to the zeolite containing the noble metal and thus also the catalyst of embodiments also to be hydrophobic without further auxiliaries or measures. Treatment of the zeolite used is superfluous to bringing about or increasing the hydrophobic properties of said zeolite. In further embodiments of the catalyst, the zeolite is an aluminum silicate and/or has a proportion of SiO2 of >94% by weight, preferably >98% by weight. Preference is given to embodiments in which aluminum silicate zeolites having an approximate proportion of SiO2 of >94% by weight, preferably >98% by weight, are used. The approximate proportions of SiO2 as a function of the modulus SiO2/Al2O3: SiO2/Al2O3% by weight of SiO210852092309450971009815099 In embodiments of the invention, the zeolite used has an SiO2/Al2O3 ratio of preferably >30, more preferably >50, in particular >100. It has surprisingly been found that only such a high SiO2/Al2O3 ratio brings about sufficiently hydrophobic properties of the zeolite which is not loaded with noble metal and/or noble metal-loaded zeolite, as also the entire catalyst. In some embodiments, the SiO2/Al2O3 ratio of the zeolite used is in the range >100 or >140, e.g. in the range from 100 to 250 or from 130 to 170. If, according to one embodiment, the catalyst is produced as honeycomb or shaped body which is coated with a washcoat containing the noble metal-containing zeolite, the zeolite can contain from 0.1 to 10% by weight, preferably from 0.5 to 8% by weight, more preferably from 1 to 5% by weight, of noble metal. In the finished catalyst configured as honeycomb or shaped body, the noble metal content can be from 0.01 to 5 g/l, preferably from 0.1 to 3 g/l and particularly preferably from 0.3 to 1.0 g/l. If, according to a further embodiment, the catalyst is produced as loose material or loose or pourable extrudate, the noble metal content can be from 0.01 to 0.5% by weight, preferably from 0.02 to 0.4% by weight and particularly preferably 0.03-0.3% by weight, based on the noble metal-containing zeolite. The catalyst of embodiments surprisingly has excellent activity in the oxidation of hydrogen even at such a low noble metal loading. The zeolite material used in embodiments can preferably correspond to one of the following structure types: ABW, ACO, AEI, AEL, AEN, AET, AFG, AFT, AFN, AFO, AFR, AFS, AFT, AFX, AFY, AHT, ANA, APC, APD, AST, ASV, ATN, ATO, ATS, ATT, ATV, AWO, AWW, BCT, BEA, BEC, BIK, BOG, BPH, BRE, CAN, CAS, CDO, CFI, CGF, CGS, CHA, CHI, CLO, CON, CZP, DAC, DDR, DFO, DFT, DOH, DON, EAB, EDI, EMT, EON, EPI, ERI, ESV, ETR, EUO, EZT, FAR, FAU, FER, FRA, GIS, GIU, GME, GON, GOO, HEU, IFR, IHW, ISV, ITE, ITH, ITW, IWR, IWV, IWW, JBW, KFI, LAU, LEV, LIO, LIT, LOS, LOV, LTA, LTL, LTN, MAR, MAZ, MEI, MEL, MEP, MER, MFI, MFS, MON, MOR, MOZ, MSE, MSO, MTF, MTN, MTT, MTW, MWW, NAB, NAT, NES, NON, NPO, NSI, OBW, OFF, OSI, OSO, OWE, PAR, PAU, PHI, PON, RHO, RON, RRO, RSN, RTE, RTH, RUT, RWR, RWY, SAO, SAS, SAT, SAV, SBE, SBS, SBT, SFE, SFF, SFG, SFH, SFN, SFO, SGT, SIV, SOD, SOS, SSY, STF, STI, STT, SZR, TER, THO, TON, TSC, TUN, UEI, UFI, UOZ, USI, UTL, VET, VFI, VNI, VSV, WEI, WEN, YUG and ZON, with zeolite materials having a 12-membered ring pore system (BEA, FAU) being preferred and those of the structure type beta (BEA) being particularly preferred. The above three-letter code nomenclature corresponds to that of the “IUPAC Commission of Zeolite Nomenclature”. In addition, according to embodiments of the invention, the zeolite can be selected from the group consisting of AFT, AEL, BEA, CHA, EUO, FAU, FER, KFI, LTL, MAZ, MOR, MEL, MTW, OFF, TON and MFI. The zeolite structure types mentioned are suitable for the purposes of the invention since they allow the desired hydrophobic properties and/or the desired activity to be realized particularly advantageously at a low noble metal loading of the catalyst. In embodiments, the noble metal can be selected from the group consisting of rhodium, iridium, palladium, platinum, ruthenium, osmium, gold and silver and combinations of the noble metals mentioned. In embodiments of the invention, these noble metals display a particularly desired activity in the catalysis of the oxidation of hydrogen. The BET surface area of the catalyst of examples can be from 10 to 1000 m2/g, preferably from 300 to 900 m2/g, particularly preferably from 500 to 700 m2/g, and/or the integrated pore volume of the catalyst can be greater than 100 mm3/g, preferably greater than 200 mm3/g. The catalytic activity of the hydrogen oxidation catalyst can be favorably influenced by these properties, either individually or in combination. In a preferred embodiment of the hydrogen oxidation catalyst, the noble metal can be located essentially in the pores of the zeolite. This likewise promotes the oxidation of hydrogen since the catalyzing noble metal present in highly disperse form in the pores of the zeolite comes into contact with the hydrogen particularly easily. Furthermore, agglomeration of the noble metal particles at high temperatures, which would lead to a loss of catalytically active surface and thus of performance, is significantly slowed or prevented thereby. The catalyst thus remains effective in the case of large amounts of hydrogen to be oxidized. The noble metal or the noble metals can, for example, be introduced into the zeolite by ion exchange or by impregnation. The noble metals can be present in the zeolite either in the form of noble metal particles or in the form of noble metal oxide particles or mixed phases of metal and metal oxide. Furthermore, the noble metal particles are preferably XRD-amorphous and thus have an average diameter of less than 5 nm. In embodiments of the catalyst of the invention, preference is given to the catalyst being present as all-active catalyst or as coated catalyst. An all-active catalyst can, for example, be an extruded shaped body, for example a monolith. In some embodiments, the catalyst can be configured as solid extrudate or as shaped body. In further embodiments, the catalyst can comprise a support onto which the zeolite or a zeolite-containing washcoat has been applied. Furthermore, the catalyst and/or the support can have a honeycomb-like or plate-like configuration. In these cases, the content of noble metal can be from 0.01 to 5 g/l, in particular based on the catalyst volume. The catalyst of the examples can be configured as loose material. The catalyst can also, for example, be configured as extrudate, as shaped bodies or as particles coated with the zeolite. In embodiments, the catalyst can have been extruded to form a pourable material or shaped bodies. For example, the loose material can consist of shaped bodies or pellets which have been produced by pressing or extrusion of a suspension of the zeolite loaded with noble metal. In these cases, the content of noble metal can be from 0.01 to 0.5% by weight, based on the noble metal-containing zeolite. Illustrative geometric shapes of the catalyst or the shaped body are spheres, rings, cylinders, cylinders with a hole, trilobes or cones, with particular preference being given to a monolith, for example a monolithic honeycomb body. Furthermore, the catalyst can, as mentioned above, comprise a support onto which the zeolite or a zeolite-containing washcoat has been applied. As washcoat, use is made of, for example, a suspension or a slurry of the zeolite in a suspension medium, e.g. in water, optionally with addition of a preferably siliceous binder. The zeolite can, for example, be applied to the support by coating with a suspension or with the washcoat or by growing onto the support from a solution. The catalyst and/or the support can, as mentioned above, have a honeycomb-like or plate-like configuration, e.g. as metal sheets. The plate-like variant allows parallel installation of a plurality of hydrogen oxidation catalysts in the upper region of the safety vessel of nuclear power stations, as a result of which good flow of the hydrogen gas through the catalysts can be achieved. In combination with a washcoat, preference is also given to the catalyst, if it is configured as a catalyst honeycomb, having a noble metal loading of from 0.01 to 5.0 g/l, more preferably from 0.1 to 3.0 g/l and most preferably from 0.3 to 1.0 g/l, based on the volume of the honeycomb body. In embodiments, the support can comprise a metal oxide, preferably a titanium oxide, a cerium oxide, an aluminum oxide, a tin oxide, a zirconium oxide, a silicon oxide, a zinc oxide, an aluminum oxide-silicon oxide or a magnesium silicate or a mixture of two or more of the abovementioned oxides as support material. It is possible to use supports or support bodies composed of ceramic material. The ceramic material is frequently an inert low-surface-area material such as cordierite, mullite, alpha-aluminum oxide, silicon carbide or aluminum titanate. However, the support body used can also consist of high-surface-area material such as gamma-aluminum oxide or TiO2. Metals can also be used as support material. For this reason, preferred supports or support bodies likewise include, for example, supports or support bodies made of a metal sheet, of any metal or of a metal alloy which comprise a metal foil or sintered metal foil or a metal mesh and are produced, for example, by extrusion, rolling-up or stacking. Furthermore, it can be advantageous in the case of metallic supports to precalcine the support, preferably at 500-900° C., and/or provide it with an oxidic bonding layer by means of suitable physical, chemical and/or electrochemical methods known from the prior art, e.g. pickling with acids, coating with metal oxides such as Al2O3, SiO2, TiO2 and mixtures thereof. In particular, the catalyst of embodiments can be used as oxidation catalyst and/or for hydrogen recombination in nuclear power stations, reprocessing plants or fuel element stores, e.g. in safety vessels or cooling tanks of nuclear power stations or in containers for unirradiated, irradiated or burnt-out fuel elements, generally in all gas spaces above regions where nuclear fuel has to be cooled by means of water. Here, the catalyst of embodiments can be used in systems in which hot surfaces, e.g. metal surfaces, come into contact with water. The invention also provides a process for hydrogen recombination in nuclear power stations, reprocessing plants or fuel element stores, in which hydrogen and oxygen are brought into contact with a catalyst as per one of the above embodiments. The abovementioned advantages are achieved in this case. The catalyst of examples can be produced by processes in which the noble metal is introduced into a zeolite material. As mentioned above, an intrinsically hydrophobic zeolite as described above can be used as zeolite material. An example of a process for producing the catalyst comprises: a) introduction of a noble metal compound, also referred to as metal compound, into a zeolite material; b) wet milling of the zeolite material loaded with metal compound together with a porous support material; c) calcination of the mixture comprising the loaded zeolite material and the support material; and d) conversion of the metal of the metal compound with which the zeolite material is loaded into its metallic form, which can consist of metal particles. Here, a fixing step can be carried out after step a) and before step b), which comprises calcination of the zeolite material loaded with metal compound, in which the metal of the metal compound is fixed to the zeolite material. The fixing step can comprise conversion of the metal of the metal compound into its metallic or oxidic form or into metallic-oxidic mixed phases. Furthermore, a stablizing step to stabilize the supported metal catalyst can be carried out. The calcination can, for example, be carried out at a temperature of from 200 to 800° C. In this way, it is possible to obtain a supported metal catalyst comprising a porous support material and a zeolite material whose internal surface area is loaded with metal particles. For the purposes of the present invention, “supported catalysts”, also referred to as coated catalysts, are solid-state catalysts produced by coating a support body with a typically porous layer containing the actual catalytically active species. The introduction of the noble metal compound, here also referred to as metal compound, into the zeolite material or into the zeolite can be carried out by means of solid-state inward exchange or solid-state ion exchange to give embodiments of the invention. For example, the introduction is effected by mixing of the zeolite material with the metal compound in the dry state in a ball mill with subsequent heat treatment at elevated temperatures, preferably at a temperature of from 450 to 650° C. As an alternative, the introduction of the metal compound is effected by impregnating the zeolite material with a solution of the metal compound, for example by spraying the solution onto the zeolite material. The impregnation can also be carried out in a chamber in which turbulent flow brought about by suction being applied to the chamber and also a subatmospheric pressure prevails. In another process for producing an embodiment, the introduction of the metal compound is effected by impregnating the zeolite material with a solution of the metal compound by means of the pore-filling method. Here, the zeolite material is brought into contact with an amount of solution whose volume corresponds to the pore volume of the zeolite material used. As noble metal compounds, it is possible to use the appropriate nitrates, acetates, oxalates, tartrates, formates, amines, sulfites, carbonates, halides or hydroxides in the process for producing the catalyst. In embodiments, the zeolite material used for producing the catalyst can also be a microporous or mesoporous zeolite material, for example of the structure type beta or from the MCM family. The catalyst according to embodiments can, for example, comprise a microporous noble metal-containing zeolite material and a porous, preferably SiO2-containing, binder, with the catalyst being able to have a proportion of micropores, e.g. having a diameter of <1 nm, of more than 70%, based on the total pore volume of the catalyst. Furthermore, the zeolite material can have a proportion of aluminum of less than 2 mol %. The weight ratio of zeolite material/binder can be from 99:1 to 50:50. As SiO2-containing binder, it is possible to use a pure SiO2 binder, e.g. Bindzil 2034 DI suspension (Eka-Chemicals AB, Bohus/Sweden). Such a catalyst of embodiments can be produced by a) introduction of a noble metal precursor compound into a microporous zeolite material; b) calcination of the zeolite material loaded with the noble metal precursor compound; c) mixing of the resulting noble metal-loaded zeolite material with a porous SiO2-containing binder and a solvent; and d) drying and calcination of the mixture comprising the zeolite material loaded with the noble metal compound and the binder. Here, the mixture obtained in step c) can be applied or extruded onto a support, also referred to as support body. Furthermore, conversion of the metal of the noble metal compound with which the zeolite material is loaded into its metallic form can be carried out. The conversion of the noble metal compound into the corresponding noble metal is usually effected by thermal decomposition, e.g. during one of the calcination steps, or by reduction, e.g. by means of hydrogen. In a further process for producing the catalyst of the embodiments, a bimetallic catalyst is produced. This example will be described for the production of a Pt- and Pd-containing catalyst which can be obtained by: impregnation of a zeolitic support material with sulfur-free Pt and Pd precursor compounds, drying of the impregnated zeolitic support material in air, and calcination of the impregnated and dried zeolitic support material in air. As Pt and Pd precursor compounds, it is possible to use solutions of the nitrates. In addition, calcination can be carried out at temperatures of from 350 to 650° C. In particular, drying of the impregnated zeolitic support material can be carried out below the decomposition point of the Pt and Pd precursor compounds. In this process, the following steps can also be present: production of a washcoat from the impregnated and calcined zeolitic support material, coating of a support body with the washcoat, drying and calcination of the coated support body in air. Calcination is preferably carried out at temperatures of from 300 to 600° C., more preferably from 400 to 550° C. The calcination time is preferably from 1 to 8 hours, more preferably from 2 to 6 hours and in particular from about 3 to 5 hours. In this way, it is possible to produce a catalyst according to embodiments which contains a bimetallic catalytically active composition containing Pt and Pd on a zeolitic support material. The bimetallic catalytically active composition can have a BET surface area of more than 400 m2/g. If, as per one embodiment, the catalytically active composition is applied as washcoat to a honeycomb or another shaped body, the bimetallic catalytically active composition can contain from 0.1 to 10% by weight, preferably from 0.5 to 8% by weight, more preferably from 1 to 5% by weight, of noble metal based on the noble metal-containing zeolite. If, according to a further embodiment, the catalyst is produced as a loose material or pourable extrudate the noble metal content of the bimetallic catalytic composition is from 0.01 to 0.5% by weight, preferably from 0.02 to 0.4% by weight and particularly preferably 0.03-0.3% by weight, once again based on the noble metal-containing zeolite. In both the abovementioned embodiments, the bimetallic catalytically active composition can have a Pd/Pt weight ratio of from 6:1 to 1:1. In the catalyst of this example, Pt and Pd can be present essentially in the pores of the zeolite support material and in aggregates of <5 nm. Measurement Methods Elemental Analysis Using ICP: The ICP-AES (inductively coupled plasma atomic emission spectroscopy) for determining the elemental composition and the SiO2/Al2O3 ratio was carried out using the ICP Spectro Modula/Arcos instrument. As chemicals, the following were used: sulfuric acid 98% AR, hydrofluoric acid 37% AR, hydrochloric acid 37% AR. The sample was finely milled. For Si and Al, 100 mg of sample were weighed into a 100 ml plastic beaker and admixed with 1 ml of sulfuric acid and 4 ml of hydrofluoric acid. The sample was digested at 85° C. for 5 minutes on a waterbath until a clear solution was formed. The mixture was cooled, made up to the mark and shaken. All elements were measured on the ICP, and likewise corresponding standards. Si was measured using the following settings: wavelength: 288, 158 nm. Al was measured using the following settings: wavelength: 396, 152 nm. For Pt and/or Pd, the amount of sample weighed out was such that about 3 mg of Pt or Pd were present therein. 6 ml of hydrofluoric acid and 6 ml of hydrochloric acid were subsequently added. The mixture was then heated at 180° C. for 30 minutes while stirring in order to produce a clear solution. The mixture was cooled, made up to the mark and shaken. All elements were measured on the ICP, and likewise corresponding standards. Pt was measured using the following settings: wavelength: 214, 423 nm. For Pd, the wavelengths were: 324, 270 nm. All standards were matched using HF and HCl or H2SO4. The evaluation was carried out by the following calculation: w(E* in percent)=β(E* measured value in mg/1)×V(volumetric flask in 1)×100/m(sample weight in mg) (E*=respective element. BET Surface Area: The determination is carried out by the BET method in accordance with DIN 66131; the BET method is also published in J. Am. Chem. Soc. 60, 309 (1938). The sample to be measured was dried in a U-shaped fused silica reactor at 200° C. under an Ar atmosphere (F=50 ml (min) for 1.5 h). The reactor was then cooled to room temperature, evacuated and dipped into a Dewar vessel containing liquid nitrogen. The nitrogen adsorption was carried out at 77 K using an RXM 100 sorption system (Advanced Scientific Design, Inc.). Pore Volume and Pore Size: The integrated pore volume was determined in accordance with DIN 66134, a determination of the pore size distribution and the specific surface area of mesoporous solids by nitrogen sorption by the BJH method (method of Barrett, Joyner and Halenda). 1. Production of the Noble Metal-containing Zeolite Powder Pt-BEA-150 H-BEA-150 powder (SiO2/Al2O3=150) was impregnated with Pt(NO3)2 solution diluted with water in a mixer from Netzsch having a butterfly stirrer and subsequently dried at 120° C. for 6 hours. The Pt-zeolite was then calcined at 550° C./5 h (heating rate 60 K/h) under argon (flow rate 50 l/h). The Pt content of the Pt-BEA-150 powder was 1.8% by weight. 2. Production of the Washcoat and Honeycomb Coating 650 g of the Pt-BEA-150 powder produced as described above were dispersed together with 432 g of Bindzil 2034 DI suspension (Eka-Chemicals AB, Bohus/Sweden) using an Ultra-Turrax stirrer for about 10 minutes until no more sediment was present to produce a suspension in 950 g of water. A 200 cpsi cordierite support was subsequently dipped into the suspension for 30 s. After taking up, the support coated with 30.2 g of washcoat was blown out with compressed air and dried overnight at 150° C. The support was finally calcined at 550° C. in a convection furnace for 3 hours. 1. Production of the Noble Metal-containing Zeolite Powder PtPd-BEA-150 H-BEA-150 powder (SiO2/Al2O3=150) was impregnated with a solution of Pt(NO3)2 and Pd(NO3)2 diluted with water in a mixer from Netzsch having a butterfly stirrer and subsequently dried at 90° C. for 6 hours. The Pt-zeolite was then calcined at 550° C./5 h (heating rate 60 K/h) in air. The Pt content of the Pt-BEA-150 powder was 0.8% by weight, and the Pd content was 2.3% by weight. 2. Production of the Washcoat and Honeycomb Coating 650 g of the Pt-Pd-BEA-150 powder produced as described above were dispersed together with 432 g of Bindzil 2034 DI suspension (Eka-Chemicals AB, Bohus/Sweden) using an Ultra-Turrax stirrer for about 10 minutes until no more sediment was present to produce a suspension in 950 g of water. A 200 cpsi cordierite support was subsequently dipped into the suspension for 30 s. After taking up, the support coated with 27.1 g of washcoat was blown out with compressed air and dried overnight at 150° C. The support was finally calcined at 550° C. in a convection furnace for 3 hours. As comparative example, a Pd-doped Al2O3 catalyst, namely E2051 PGB from Süd-Chemie AG, was used. This is a loose material catalyst having a particle diameter of 4-6 mm and a Pd doping of 0.4-0.5% by weight which has hydrophobic properties as a result of an applied layer of triethoxypropylsilane. The applied layer of triethoxypropylsilane is thermally decomposable above 180° C. TABLE 1Catalytic test conditions (hydrogen ignition testusing 0.67% of H2 and 0.1% of H2O in air)ComparativeExample 1Example 2ExampleCatalyst form200 cpsi200 cpsiloose materialhoneycombhoneycombwith 4-6 mmparticlediameterCatalyst volume  39.8  39.8200[ml]Noble metal0.42 g/l of Pt0.17 g/l of Pt and2.88 g/l of Pddensity0.49 g/l of PdTotal flow of9209201500test gas [l/h]GHSV [h−1]25 000  25 000  7500Linear velocity   0.64   0.642.61of the test gas[m/s] The catalytic activity of the catalysts produced was examined in a fixed-bed reactor lined with a fused silica tube. As equivalent to the conversion, the temperature difference between catalyst inlet and catalyst outlet was measured by means of temperature sensors. When the difference between catalyst inlet and catalyst outlet is <5 K, the reaction to be catalyzed, namely the oxidation of hydrogen, is considered to be “not ignited”. In the case of a difference of >40 K, the oxidation of hydrogen is considered to be “ignited through”, i.e. started and proceeding independently. FIG. 1 shows the ignition behavior of Examples 1 and 2 in the hydrogen ignition test (0.67% of H2 and 0.1% of H2O in air), with the difference between catalyst outlet and catalyst inlet, i.e. in the present example the “hot spot”, being plotted against the temperature of the catalyst inlet. FIG. 2 shows the ignition behavior of the comparative example in the hydrogen ignition test (0.67% of H2 and 0.1% of H2O in air), with the temperatures of the catalyst inlet (lower curve) and catalyst outlet (upper curve) being plotted against the time from commencement of the test. In FIGS. 1 and 2, it can be seen that not only the two Examples 1 and 2 but also the comparative example ignite through at an entry temperature of 32° C., although only 14% and 23% of the amount of noble metal of the comparative example were used in Examples 1 and 2, respectively. This means that the catalyst according to embodiments has excellent activity in the oxidation of hydrogen even at low noble metal concentrations and thus leads to a significant cost saving. The noble metal-doped BEA-150 zeolites used in Examples 1 and 2 and the corresponding catalysts also have a high thermal stability, as the high calcination temperatures (550° C.), for example, indicate. This applies particularly in comparison with the comparative example which is provided with hydrophobic properties by application of an organosilicon layer which can be thermally decomposed at as low as 180° C. For this reason, regeneration of the recombiner systems by burning off organic deposits is possible when using the catalyst according to embodiments. This too, is a significant advantage compared to the catalyst of the comparative example in which the layer of an organosilicon compound is decomposed during burning-off and the hydrophobic properties of the catalyst are thus reduced or even disappear.
claims
1. A core of a light water reactor, comprising:a plurality of fuel assemblies having a nuclear fuel material zone including nuclear fuel material that includes a plurality of isotopes of transuranic nuclides disposed in said core,wherein said fuel assemblies have a lower fuel support member supporting each lower end portion of a plurality of fuel rods internally forming said nuclear fuel material zone, and an upper fuel support member supporting an upper end portion of each of said plurality of fuel rods,wherein a plenum is formed above said nuclear fuel material zone in said fuel rod, andwherein an outside diameter of a first portion of said fuel rod in a first position in which said plenum is formed is smaller than an outside diameter of a second portion of said fuel rod in a second position in which said nuclear fuel material zone is formed and is within a range of 3 mm or more, andwherein a length of said plenum in an axial direction of said core is within a range from 400 mm to 2500 mm. 2. A fuel assembly, comprising:a plurality of fuel rods; a lower fuel support member supporting a lower end portion of each of said plurality of fuel rods; an upper fuel support member supporting an upper end portion of each of said plurality of fuel rods; and a plurality of neutron absorbing members,wherein said plurality of fuel rods internally form a nuclear fuel material zone having a nuclear fuel material including a plurality of isotopes of transuranic nuclides,wherein a plenum is formed above said nuclear fuel material zone in each of said fuel rods,wherein an outside diameter of a first portion of said fuel rod where said plenum is formed is smaller than an outside diameter of a second portion of said fuel rod where said nuclear fuel material zone is formed and is within a range of 3 mm or more, andwherein a length of said plenum in an axial direction of said fuel assembly is within a range from 400 mm to 2500 mm. 3. A core of a light water reactor, comprising:a plurality of fuel assemblies having a nuclear fuel material zone including nuclear fuel material disposed in said core,wherein said fuel assemblies form an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone in said nuclear fuel material zone in an axial direction in this order in said core; andwherein a plurality of isotopes of transuranic nuclides are included in said upper fissile zone and said lower fissile zone; andwherein said fuel assemblies disposed in said core include at least one fuel assembly having a burnup of 0, and a ratio of fissionable plutonium to a total of all said nuclear fuel materials in said lower fissile zone is larger than a ratio of fissionable plutonium to a total of all said nuclear fuel material in said upper fissile zone. 4. The core of a light water reactor according to claim 3,wherein when said fuel assembly of a burnup of 0 is included in said core, an average of enrichment of fissionable plutonium to a total of all nuclear fuel material in said lower fissile zone and an average of enrichment of fissionable plutonium to a total of all nuclear fuel material in said upper fissile zone is within a range from 16% to 20%; and said enrichment of fissionable plutonium to the total of all nuclear fuel material in said lower fissile zone is within a range from 1.05 to 1.6 times said enrichment of fissionable plutonium to the total of all nuclear fuel material in said upper fissile zone. 5. The core of a light water reactor according to claim 3, wherein said lower blanket zone is disposed under said lower fissile zone in said nuclear fuel material zone. 6. The core of a light water reactor according to claim 3, wherein a ratio of plutonium-239 to all said transuranic nuclides included in said nuclear fuel material zone is within either of a range from 40% to 60% and a range from 5% or more to less than 40%. 7. A core of a light water reactor, comprising:a plurality of fuel assemblies having a nuclear fuel material including nuclear fuel material disposed in said core,wherein said fuel assemblies form an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone in said nuclear fuel material zone in an axial direction in this order in said core;wherein a plurality of isotopes of transuranic nuclides are included in said upper fissile zone and said lower fissile zone; andwherein said fuel assemblies disposed in said core include at least one fuel assembly having a burnup of 0, a total of a height of said lower fissile zone and a height of said upper fissile zone is within a range from 350 mm to 600 mm, and said height of said upper fissile zone is within a range from 1.1 to 2.1 times said height of said lower fissile zone. 8. A core of a light water reactor, comprising:a plurality of fuel assemblies having a nuclear fuel material zone including nuclear fuel material disposed in said core,wherein said fuel assemblies form an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone in said nuclear fuel material zone in an axial direction in this order in said core;wherein a plurality of isotopes of transuranic nuclides are included in said upper fissile zone and said lower fissile zone;wherein said fuel assemblies disposed in said core include at least one fuel assembly having a burnup of 0, and an average of an enrichment of fissionable plutonium to a total of all nuclear fuel material in said lower fissile zone and an average of an enrichment of fissionable plutonium to a total of all nuclear fuel material in said upper fissile zone is within a range from 16% to 20%; andwherein said enrichment of fissionable plutonium to the total of all nuclear fuel material in said lower fissile zone is within a range from 1.05 to 1.6 times said enrichment of fissionable plutonium to the total of all nuclear fuel material in said upper fissile zone. 9. A fuel assembly, comprising:a plurality of fuel rods;a lower fuel support member supporting a lower end portion of each of said plurality of fuel rods;an upper fuel support member supporting an upper end portion of each of said plurality of fuel rods; anda plurality of neutron absorbing members,wherein said plurality of fuel rods internally form a nuclear fuel material zone having a nuclear fuel material including a plurality of isotopes of transuranic nuclides;wherein said nuclear fuel material zone includes an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone; said upper blanket zone, said upper fissile zone, said internal blanket zone, and said lower fissile zone are disposed in an axial direction in this order; and said upper fissile zone and said lower fissile zone include said plurality of isotopes; andwherein said fuel assembly has a burnup of 0, a ratio of fissionable plutonium to a total of all of the nuclear fuel materials in said lower fissile zone is larger than a ratio of fissionable plutonium to a total of all of the nuclear fuel materials in said upper fissile zone. 10. A core of a light water reactor, comprising:a plurality of fuel assemblies having a nuclear fuel material zone including nuclear fuel material that includes a plurality of isotopes of transuranic nuclides disposed in said core;wherein a plurality of neutron absorbing members are disposed above said nuclear fuel material zone;wherein said fuel assembly has a plurality of fuel rods internally forming said nuclear fuel material zone and a plenum formed above said nuclear fuel material zone, a lower fuel support member supporting a lower end portion of each of said plurality of fuel rods, and an upper fuel support member supporting an upper end portion of each of said plurality of fuel rods;wherein each of said neutron absorbing members is disposed below said upper fuel support member and between said plenum of neighboring fuel rods, and is attached to said upper fuel support member; andwherein a total of cross sectional areas of all said neutron absorbing members in said fuel assembly is within a range from 10% to 50% of a cross sectional area of a fuel assembly lattice. 11. The core of a light water reactor according to claim 10,wherein a height of said nuclear fuel material zone is within a range from 20 cm to 250 cm. 12. The core of a light water reactor according to claim 11, wherein an outside diameter of a first portion of said plenum of said fuel rod is smaller than an outside diameter of a second portion of said fuel rod in said nuclear fuel material zone and is within a range of 3 mm or more and a length of said plenums in said axial direction of said core is within a range from 400 mm to 2500 mm. 13. The core of a light water reactor according to claim 11, wherein said plenum include a first zone and a second zone disposed above said first zone, and an outside diameter of a first portion of said fuel rod in said first zone is smaller than an outside diameter of a third portion of said fuel rod in said nuclear fuel material zone, and an outside diameter of a second portion of said fuel rod in said second zone is smaller than said outside diameter of said third portion of said fuel rod in said nuclear fuel material zone and is larger than said outside diameter of said first portion in said first zone, and said neutron absorbing members are disposed between a lower end of said second zone and said upper end of said nuclear fuel material zone. 14. The core of a light water reactor according to claim 11, wherein a ratio of plutonium-239 occupying in all said transuranic nuclides included in said nuclear fuel material zone is within a range from 5% or more to less than 40%. 15. The core of a light water reactor according to claim 10, wherein a length of said plurality of neutron absorbing members in an axial direction of said core are within a range from 20 mm to 700 mm and a distance between an upper end of said nuclear fuel material zone and a lower end of said neutron absorbing member is within a range from 230 mm to 500 mm. 16. The core of a light water reactor according to claim 10, wherein another neutron absorbing member is disposed below said nuclear fuel material zone. 17. The core of a light water reactor according to claim 10, wherein a neutron absorbing material filling zone is formed below said nuclear fuel material zone in said fuel rod. 18. The core of a light water reactor according to claim 17, wherein a length of said neutron absorbing material filling zone in said axial direction of said core is within a range from 10 mm to 150 mm. 19. The core of a light water reactor according to claim 17, wherein an outside diameter of a first portion of said fuel rod in said neutron absorbing material filling zone is larger than an outside diameter of a second portion of said fuel rods in said nuclear fuel material zone and an interval between mutual outside surfaces of said first portions of said neighboring fuel rods in said neutron absorbing material filling zone is within a range of 1.3 mm or more. 20. The core of a light water reactor according to claim 10,wherein said nuclear fuel material zone includes an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone, and said upper blanket zone, said upper fissile zone, said internal blanket zone; said lower fissile zone are disposed in said axial direction of said core in this order; and said upper fissile zone and said lower fissile zone include said plurality of isotopes, andwherein when said fuel assemblies of a burnup of 0 are included, a rate of fissionable plutonium to a total of all nuclear fuel material in said lower fissile zone is larger than a rate of fissionable plutonium to a total of all nuclear fuel material in said upper fissile zone. 21. The core of a light water reactor according to any one of claims 11, 15, 16, 17, 18, 12, 20 and 10, wherein a ratio of plutonium-239 to all said transuranic nuclides included in said nuclear fuel material zone is within a range from 40% to 60%. 22. A fuel assembly, comprising:a plurality of fuel rods;a lower fuel support member supporting a lower end portion of each of said plurality of fuel rods;an upper fuel support member supporting an upper end portion of each of said plurality of fuel rods; anda plurality of neutron absorbing members;wherein said plurality of fuel rods internally form a nuclear fuel material zone having nuclear fuel material including a plurality of isotopes of transuranic nuclides,wherein a plenums is formed above said nuclear fuel material zone;wherein said plurality of neutron absorbing members are disposed above said nuclear fuel material zone and below said upper fuel support member, and are attached to said upper fuel support member; andwherein each of said neutron absorbing members is disposed between said plenum of neighboring fuel rods. 23. The fuel assembly according to claim 22, wherein said plurality of fuel rods internally form a nuclear fuel material zone having a height within a range from 20 cm to 250 cm. 24. The fuel assembly according to claim 23, wherein said neutron absorbing members are disposed below said upper fuel support member. 25. The fuel assembly according to claim 24, wherein each of said neutron absorbing members is disposed between said mutual plenums of said neighboring fuel rods. 26. The fuel assembly according to claim 23,wherein said plenum includes a first zone and a second zone disposed above said first zone; an outside diameter of a first portion of said fuel rod in said first zone is smaller than an outside diameter of a third portion of said fuel rod in said nuclear fuel material zone; and an outside diameter of a second portion of said fuel rod in said second zone is smaller than said outside diameter of said third portion of said fuel rod in said nuclear fuel material zone and is larger than said outside diameter of said first portion in said first zone; andwherein said neutron absorbing member is disposed between a lower end of said second zone and said upper end of said nuclear fuel material zone. 27. The fuel assembly according to claim 23 or 22, wherein a length of said neutron absorbing member in an axial direction of said fuel assemblies is within a range from 20 mm to 700 mm and a distance between an upper end of said nuclear fuel material zone and a lower end of said neutron absorbing member is within a range from 230 mm to 500 mm. 28. The fuel assembly according to claim 23 or 22, wherein a neutron absorbing material filling zone is formed below said nuclear fuel material zone in said fuel rod. 29. The fuel assembly according to claim 28, wherein a length of said neutron absorbing material filling zone in an axial direction of said fuel assembly is within a range from 10 mm to 150 mm. 30. The fuel assembly according to claim 28, wherein an outside diameter of a first portion of said fuel rod in said neutron absorbing material filling zone is larger than an outside diameter of a second portion of said fuel rod in said nuclear fuel material zone and an interval between mutual outside surfaces of said first portions of said neighboring fuel rods in said neutron absorbing material filling zone is within a range of 1.3 mm or more. 31. The core of a light water reactor according to claim 3, wherein when said fuel assembly of a burnup of 0 is included in said core, a total of a height of said lower fissile zone and a height of said upper fissile zone is within a range from 350 mm to 600 mm; and said height of said upper fissile zone is within a range from 1.1 to 2.1 times said height of said lower fissile zone. 32. The fuel assembly according to claim 23, 24 or 22, wherein an outside diameter of a first portion of said plenum of said fuel rod is smaller than an outside diameter of a second portion of said fuel rod in said nuclear fuel material zone and is within a range of 3 mm or more and a length of said plenum in an axial direction of said fuel assembly is within a range from 400 mm to 2500 mm. 33. The fuel assembly according to claim 22,wherein said nuclear fuel material zone includes an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone; said upper blanket zone, said upper fissile zone, said internal blanket zone, and said lower fissile zone are disposed in an axial direction in this order; and said upper fissile zone and said lower fissile zone include said plurality of isotopes; andwherein said fuel assembly has a burnup of 0, and a ratio of fissionable plutonium to a total of all nuclear fuel material in said lower fissile zone is larger than a ratio of fissionable plutonium to a total of all nuclear fuel material in said upper fissile zone.
046876260
abstract
The present invention provides a passive safety device for dumping steam from the steam generator of a nuclear power reactor in case of emergencies. The device comprises a steam ejector immersed in a storage tank of coolant water, a conduit connecting the steam ejector to the steam line from the steam generator, a heat exchanger having its inlet connected to the outlet of the steam ejector and the outlet to the storage tank, and a coolant pool in which the heat exchanger is immersed. Optionally, the output from the steam ejector may be connected to the feedwater line to the steam generator as an emergency feedwater supply.
summary
abstract
There is provided an illumination system, particularly for microlithography with wavelengths ≦193 nm. The illumination system includes a primary light source, a first optical component, a second optical component, an image plane, and an exit pupil. The first optical component transforms the primary light source into a plurality of secondary light sources that are imaged by the second optical component in the exit pupil. The first optical component includes a first optical element having a plurality of first raster elements that are imaged into the image plane producing a plurality of images being superimposed at least partially on a field in the image plane. The plurality of first raster elements have negative optical power.
description
The present application is related to and claims the benefit of the earliest available effective filing date(s) from the following listed application(s) (the “Related Applications”) (e.g., claims earliest available priority dates for other than provisional patent applications or claims benefits under 35 USC § 119(e) for provisional patent applications, for any and all parent, grandparent, great-grandparent, etc. applications of the Related Application(s)). For purposes of the USPTO extra-statutory requirements, the present application constitutes a continuation-in-part of U.S. patent application Ser. No. 12/069,908, entitled NUCLEAR FISSION IGNITER, naming Charles E. Ahlfeld, John Rogers Gilleland, Roderick A. Hyde, Muriel Y. Ishikawa, David G. McAlees, Nathan P. Myhrvold, Charles Whitmer, and Lowell L. Wood, Jr., as inventors, filed 12 Feb. 2008, which is currently co-pending, or is an application of which a currently application is entitled to the benefit of the filing date. For purposes of the USPTO extra-statutory requirements, the present application constitutes a continuation-in-part of U.S. patent application Ser. No. 11/605,943, entitled AUTOMATED NUCLEAR POWER REACTOR FOR LONG-TERM OPERATION, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, which is currently co-pending, or is an application of which a currently co-pending application is entitled to the benefit of the filing date. For purposes of the USPTO extra-statutory requirements, the present application constitutes a continuation-in-part of U.S. patent application Ser. No. 11/605,848, entitled METHOD AND SYSTEM FOR PROVIDING FUEL IN A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, which is currently co-pending, or is an application of which a currently co-pending application is entitled to the benefit of the filing date. For purposes of the USPTO extra-statutory requirements, the present application constitutes a continuation-in-part of U.S. patent application Ser. No. 11/605,933, entitled CONTROLLABLE LONG TERM OPERATION OF A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, M. as inventors, filed 28 Nov. 2006, which is currently co-pending, or is an application of which a currently co-pending application is entitled to the benefit of the filing date. The United States Patent Office (USPTO) has published a notice to the effect that the USPTO's computer programs require that patent applicants reference both a serial number and indicate whether an application is a continuation or continuation-in-part. Stephen G. Kunin, Benefit of Prior-Filed Application, USPTO Official Gazette Mar. 18, 2003, available at http://www.uspto.gov/web/offices/com/sol/og/2003/week11/patbene.htm. The present Applicant Entity (hereinafter “Applicant”) has provided above a specific reference to the application(s) from which priority is being claimed as recited by statute. Applicant understands that the statute is unambiguous in its specific reference language and does not require either a serial number or any characterization, such as “continuation” or “continuation-in-part,” for claiming priority to U.S. patent applications. Notwithstanding the foregoing, Applicant understands that the USPTO's computer programs have certain data entry requirements, and hence Applicant is designating the present application as a continuation-in-part of its parent applications as set forth above, but expressly points out that such designations are not to be construed in any way as any type of commentary and/or admission as to whether or not the present application contains any new matter in addition to the matter of its parent application(s). All subject matter of the Related Applications and of any and all parent, grandparent, great-grandparent, etc. applications of the Related Applications is incorporated herein by reference to the extent such subject matter is not inconsistent herewith. The present application relates to nuclear fission reactors and nuclear fission igniters related thereto. The following embodiments and aspects thereof are described and illustrated in conjunction with systems and methods which are meant to be illustrative, not limiting in scope. Illustrative embodiments provide nuclear fission igniters for nuclear fission reactors and methods for their operation. Illustrative embodiments and aspects include, without limitation, a nuclear fission igniter configured to ignite a nuclear fission deflagration wave in nuclear fission fuel material, a nuclear fission deflagration wave reactor with a nuclear fission igniter, a method of igniting a nuclear fission deflagration wave, and the like. In addition to the illustrative embodiments and aspects described above, further embodiments and aspects will become apparent by reference to the drawings and by study of the following detailed description. By way of overview, embodiments provide nuclear fission igniters for nuclear fission reactors and methods for their operation. Illustrative embodiments and aspects include, without limitation, a nuclear fission igniter configured to ignite a nuclear fission deflagration wave in nuclear fission fuel material, a nuclear fission deflagration wave reactor with a nuclear fission igniter, a method of igniting a nuclear fission deflagration wave, and the like. Details of an illustrative reactor, illustrative core nucleonics, and operations, all given by way of non-limiting example, will be set forth first. Such details are included in U.S. patent application Ser. No. 11/605,943, entitled AUTOMATED NUCLEAR POWER REACTOR FOR LONG-TERM OPERATION, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, U.S. patent application Ser. No. 11/605,848, entitled METHOD AND SYSTEM FOR PROVIDING FUEL IN A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, and U.S. patent application Ser. No. 11/605,933, entitled CONTROLLABLE LONG TERM OPERATION OF A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the entire contents of which are hereby incorporated by reference. Then, details will be set forth regarding several illustrative embodiments and aspects. Referring now to FIG. 1A, a nuclear fission reactor 10, given by way of example and not of limitation, acts as an illustrative host environment for embodiments and aspects described herein. To that end, the reactor 10 includes an illustrative nuclear fission igniter 110. While many embodiments of the reactor 10 are contemplated, a common feature among many contemplated embodiments of the reactor 10 is origination and propagation of a nuclear fission deflagration wave, or “burnfront”. Considerations Before discussing details of the reactor 10, some considerations behind embodiments of the reactor 10 will be given by way of overview but are not to be interpreted as limitations. Some embodiments of the reactor 10 address many of the considerations discussed below. On the other hand, some other embodiments of the reactor 10 may address one, or a select few, of these considerations, and need not accommodate all of the considerations discussed below. Portions of the following discussion include information excerpted from a paper entitled “Completely Automated Nuclear Power Reactors For Long-Term Operation: III. Enabling Technology For Large-Scale, Low-Risk, Affordable Nuclear Electricity” by Edward Teller, Muriel Ishikawa, Lowell Wood, Roderick Hyde, and John Nuckolls, presented at the July 2003 Workshop of the Aspen Global Change Institute, University of California Lawrence Livermore National Laboratory publication UCRL-JRNL-122708 (2003) (This paper was prepared for submittal to Energy, The International Journal, 30 Nov. 2003. the entire contents of which are hereby incorporated by reference. Certain of the nuclear fission fuels envisioned for use in embodiments of the reactor 10 are typically widely available, such as without limitation uranium (natural, depleted, or enriched), thorium, plutonium, or even previously-burned nuclear fission fuel assemblies. Other, less widely available nuclear fission fuels, such as without limitation other actinide elements or isotopes thereof may be used in embodiments of the reactor 10. While some embodiments of the reactor 10 contemplate long-term operation at full power on the order of around ⅓ century to around ½ century or longer, an aspect of some embodiments of the reactor 10 does not contemplate nuclear refueling (but instead contemplate burial in-place at end-of-life) while some aspects of embodiments of the reactor 10 contemplate nuclear refueling—with some nuclear refueling occurring during shutdown and some nuclear refueling occurring during operation at power. It is also contemplated that nuclear fission fuel reprocessing may be avoided in some cases, thereby mitigating possibilities for diversion to military uses and other issues. Other considerations that may affect choices for someembodiments of the reactor 10 may include disposing in a manifestly safe manner long-lived radioactivity generated in the course of operation. It is envisioned that the reactor 10 may be able to mitigate damage due to operator error, casualties such as a loss of coolant accident (LOCA), or the like. In some aspects decommissioning may be effected in low-risk and inexpensive manner. For example, some embodiments of the reactor 10 may entail underground siting, thereby addressing large, abrupt releases and small, steady-state releases of radioactivity into the biosphere. Some embodiments of the reactor 10 may entail minimizing operator controls, thereby automating those embodiments as much as practicable. In some embodiments, a life-cycle-oriented design is contemplated, wherein those embodiments of the reactor 10 can operate from startup to shutdown at end-of-life. In some life-cycle oriented designs, the embodiments may operate in a substantially fully-automatic manner. Some embodiments of the reactor 10 lend themselves to modularized construction. Finally, some embodiments of the reactor 10 may be designed according to high power density. Some features of various embodiments of the reactor 10 result from some of the above considerations. For example, simultaneously accommodating desires to achieve ⅓-½ century (or longer) of operations at full power without nuclear refueling and to avoid nuclear fission fuel reprocessing may entail use of a fast neutron spectrum. As another example, in some embodiments a negative temperature coefficient of reactivity (αr) is engineered-in to the reactor 10, such as via negative feedback on local reactivity implemented with strong absorbers of fast neutrons. As a further example, in some embodiments of the reactor 10 a distributed thermostat enables a propagating nuclear fission deflagration wave mode of nuclear fission fuel burn. This mode simultaneously permits a high average burn-up of non-enriched actinide fuels, such as natural uranium or thorium, and use of a comparatively small “nuclear fission igniter” region of moderate isotopic enrichment of nuclear fissionable materials in the core's fuel charge. As another example, in some embodiments of the reactor 10, multiple redundancy is provided in primary and secondary core cooling. Illustrative Embodiment of Nuclear Fission Reactor Now that some of the considerations behind some of the embodiments of the reactor 10 have been set forth, further details regarding an illustrative embodiment of the reactor 10 will be explained. It is emphasized that the following description of an illustrative embodiment of the reactor 10 is given by way of non-limiting example only and not by way of limitation. As mentioned above, several embodiments of the reactor 10 are contemplated, as well as further aspects of the reactor 10. After details regarding an illustrative embodiment of the reactor 10 are discussed, other embodiments and aspects will also be discussed. Still referring to FIG. 1A, an illustrative embodiment of the reactor 10 includes a nuclear fission reactor core assembly 100 that is disposed within a reactor pressure vessel 12. The nuclear fission igniter 110 is removably received in the reactor core assembly 100. Details regarding illustrative examples of the nuclear fission igniter 110 will be explained below. Several embodiments and aspects of the nuclear fission reactor core assembly 100 are contemplated that will be discussed later. Some of the features that will be discussed later in detail regarding the nuclear fission reactor core assembly 100 include nuclear fission fuel materials and their respective nucleonics, fuel assemblies, fuel geometries, and initiation and propagation of nuclear fission deflagration waves. The reactor pressure vessel 12 suitably is any acceptable pressure vessel known in the art and may be made from any materials acceptable for use in reactor pressure vessels, such as without limitation stainless steel or alloys, e.g., HT-9. Within the reactor pressure vessel 12, a neutron reflector (not shown) and a radiation shield (not shown) surround the nuclear fission reactor core assembly 100. In some embodiments, the reactor pressure vessel 12 is sited underground. In such cases, the reactor pressure vessel 12 can also function as a burial cask for the nuclear fission reactor core assembly 100. In these embodiments, the reactor pressure vessel 12 suitably is surrounded by a region (not shown) of isolation material, such as dry sand, for long-term environmental isolation. The region (not shown) of isolation material may have a size of around 100 m in diameter or so. However, in other embodiments, the reactor pressure vessel 12 is sited on or toward the Earth's surface. Reactor coolant loops 14 transfer heat from nuclear fission in the nuclear fission reactor core assembly 100 to application heat exchangers 16. The reactor coolant may be selected as desired for a particular application. In some embodiments, the reactor coolant suitably is helium (He) gas. In other embodiments, the reactor coolant suitably may be other pressurized inert gases, such as neon, argon, krypton, xenon, or other fluids such as water or gaseous or superfluidic carbon dioxide, or liquid metals, such as sodium or lead, or metal alloys, such as Pb—Bi, or organic coolants, such as polyphenyls, or fluorocarbons. The reactor coolant loops suitably may be made from tantalum (Ta), tungsten (W), aluminum (Al), steel or other ferrous or non-iron groups alloys or titanium or zirconium-based alloys, or from other metals and alloys, or from other structural materials or composites, as desired. In some embodiments, the application heat exchangers 16 may be steam generators that generate steam that is provided as a prime mover for rotating machinery, such as electrical turbine-generators 18 within an electrical generating station 20. In such a case, the nuclear fission reactor core assembly 100 suitably operates at a high operating pressure and temperature, such as above 1,000K or so and the steam generated in the steam generator may be superheated steam. In other embodiments, the application heat exchanger 16 may be any steam generator that generates steam at lower pressures and temperatures (that is, need not be not superheated steam) and the nuclear fission reactor core assembly 100 operates at temperatures less than around 550K. In these cases, the application heat exchangers 16 may provide process heat for applications such as desalination plants for seawater or for processing biomass by distillation into ethanol, or the like. Optional reactor coolant pumps 22 circulate reactor coolant through the nuclear fission reactor core assembly 100 and the application heat exchangers 16. Note that although the illustrative embodiment shows pumps and gravitationally driven circulation, other approaches may not utilize pumps, or circulatory structures or be otherwise similarly geometrically limited. The reactor coolant pumps 22 suitably are provided when the nuclear fission reactor core assembly 100 is sited approximately vertically coplanar with the application heat exchangers 16, such that thermal driving head is not generated. The reactor coolant pumps 22 may also be provided when the nuclear fission reactor core assembly 100 is sited underground. However, when the nuclear fission reactor core assembly 100 is sited underground or in any fashion so the nuclear fission reactor core assembly 100 is vertically spaced below the application heat exchangers 16, thermal driving head may be developed between the reactor coolant exiting the reactor pressure vessel 12 and the reactor coolant exiting the application heat exchangers 16 at a lower temperature than the reactor coolant exiting the reactor pressure vessel 12. When sufficient thermal driving head exists, the reactor coolant pumps 22 need not be provided in order to provide sufficient circulation of reactor coolant through the nuclear fission reactor core assembly 100 to remove heat from fission during operation at power. In some embodiments more than one reactor coolant loop 14 may be provided, thereby providing redundancy in the event of a casualty, such as a loss of coolant accident (LOCA) or a loss of flow accident (LOFA) or a primary-to-secondary leak or the like, to any one of the other reactor coolant loops 14. Each reactor coolant loop 14 is typically rated for full-power operation, though some applications may remove this constraint. In some embodiments, one-time closures 24, such as reactor coolant shutoff valves, are provided in lines of the reactor coolant system 14. In each reactor coolant loop 14 provided, a closure 24 is provided in an outlet line from the reactor pressure vessel 12 and in a return line to the reactor pressure vessel 12 from an outlet of the application heat exchanger 16. The one-time closures 24 are fast-acting closures that shut quickly under emergency conditions, such as detection of significant fission-product entrainment in reactor coolant). The one-time closures 24 are provided in addition to a redundant system of automatically-actuated conventional valves (not shown). Heat-dump heat exchangers 26 are provided for removal of after-life heat (decay heat). The heat-dump heat exchanger 26 includes a primary loop that is configured to circulate decay heat removal coolant through the nuclear fission reactor core assembly 100. The heat-dump heat exchanger 26 includes a secondary loop that is coupled to an engineered heat-dump heat pipe network (not shown). In some situations, for example, for redundancy purposes, more than one the heat-dump heat exchanger 26 may be provided. Each of the heat-dump heat exchangers 26 provided may be sited at a vertical distance above the nuclear fission reactor core assembly 100 so sufficient thermal driving head is provided to enable natural flow of decay heat removal coolant without need for decay heat removal coolant pumps. However, in some embodiments decay heat removal pumps (not shown) may be provided or, if provided, the reactor coolant pumps may be used for decay heat removal, where appropriate. Now that an overview of an illustrative embodiment of the reactor 10 has been given, other embodiments and aspects will be discussed. First, embodiments and aspects of the nuclear fission reactor core assembly 100 will be discussed. An overview of the nuclear fission reactor core assembly 100 and its nucleonics and propagation of a nuclear fission deflagration wave will be set forth first, followed by descriptions of illustrative embodiments and other aspects of the nuclear fission reactor core assembly 100. Given by way of overview and in general terms, structural components of the reactor core assembly 100 may be made of tantalum (Ta), tungsten (W), rhenium (Re), or carbon composite, ceramics, or the like. These materials are suitable because of the high temperatures at which the nuclear fission reactor core assembly 100 operates, and because of their creep resistance over the envisioned lifetime of full power operation, mechanical workability, and corrosion resistance. Structural components can be made from single materials, or from combinations of materials (e.g., coatings, alloys, multilayers, composites, and the like). In some embodiments, the reactor core assembly 100 operates at sufficiently lower temperatures so that other materials, such as aluminum (Al), steel, titanium (Ti) or the like can be used, alone or in combinations, for structural components. The nuclear fission reactor core assembly 100 includes the nuclear fission igniter 110 and a larger nuclear fission deflagration burn-wave-propagating region. The nuclear fission deflagration burn-wave-propagating region suitably contains thorium or uranium fuel, and functions on the general principle of fast neutron spectrum fission breeding. In some embodiments, uniform temperature throughout the nuclear fission reactor core assembly 100 is maintained by thermostating modules which regulate local neutron flux and thereby control local power production. The nuclear fission reactor core assembly 100 suitably is a breeder for reasons of efficient nuclear fission fuel utilization and of minimization of requirements for isotopic enrichment. Further, and referring now to FIGS. 1B and 1C, the nuclear fission reactor core assembly 100 suitably utilizes a fast neutron spectrum because the high absorption cross-section of fission products for thermal neutrons typically does not permit utilization of more than about 1% of thorium or of the more abundant uranium isotope, U238, in uranium-fueled embodiments, without removal of fission products. In FIG. 1B, cross-sections for the dominant neutron-driven nuclear reactions of interest for the Th232-fueled embodiments are plotted over the neutron energy range 10−3-107 eV. It can be seen that losses to radiative capture on fission product nuclei dominate neutron economies at near-thermal (˜0.1 eV) energies, but are comparatively negligible above the resonance capture region (between ˜3-300 eV). Thus, operating with a fast neutron spectrum when attempting to realize a high-gain fertile-to-fissile breeder can help to preclude fuel recycling (that is, periodic or continuous removal of fission products). The radiative capture cross-sections for fission products shown are those for intermediate-Z nuclei resulting from fast neutron-induced fission that have undergone subsequent beta-decay to negligible extents. Those in the central portions of the burn-waves of embodiments of the nuclear fission reactor core assembly 100 will have undergone some decay and thus will have somewhat higher neutron avidity. However, parameter studies have indicated that core fuel-burning results may be insensitive to the precise degree of such decay. In FIG. 1C, cross-sections for the dominant neutron-driven nuclear reactions of primary interest for the Th232-fueled embodiments are plotted over the most interesting portion of the neutron energy range, between >104 and <106.5 eV, in the upper portion of FIG. 1C. The neutron spectrum of embodiments of the reactor 10 peaks in the ≥105 eV neutron energy region. The lower portion of FIG. 1C contains the ratio of these cross-sections vs. neutron energy to the cross-section for neutron radiative capture on Th232, the fertile-to-fissile breeding step (as the resulting Th233 swiftly beta-decays to Pa233, which then relatively slowly beta-decays to U233, analogously to the U239-Np239-Pu239 beta decay-chain upon neutron capture by U238). It can be seen that losses to radiative capture on fission products can be comparatively negligible over the neutron energy range of interest, and furthermore that atom-fractions of a few tens of percent of high-performance structural material, such as Ta, will impose tolerable loads on the neutron economy in the nuclear fission reactor core assembly 100. These data also suggest that core-averaged fuel burn-up in excess of 50% can be realizable, and that fission product-to-fissile atom-ratios behind the nuclear fission deflagration wave when reactivity is finally driven negative by fission-product accumulation will be approximately 10:1. Origination and Propagation of Nuclear Fission Deflagration Wave Burnfront An illustrative nuclear fission deflagration wave within the nuclear fission reactor core assembly 100 will now be explained. Propagation of deflagration burning-waves through combustible materials can release power at predictable levels. Moreover, if the material configuration has the appropriate time-invariant features, the ensuing power production may be at a steady level. Finally, if deflagration wave propagation-speed may be externally modulated in a practical manner, the energy release-rate and thus power production may be controlled as desired. Sustained nuclear fission deflagration waves are rare in nature, due to disassembly of the initial nuclear fission fuel configuration as a hydrodynamic consequence of energy release during the earliest phases of wave propagation, in the absence of some control. However, in embodiments of the nuclear fission reactor core assembly 100 a nuclear fission deflagration wave can be initiated and propagated in a sub-sonic manner in fissionable fuel whose pressure is substantially independent of its temperature, so that its hydrodynamics is substantially ‘clamped’. The nuclear fission deflagration wave's propagation speed within the nuclear fission reactor core assembly 100 can be controlled in a manner conducive to large-scale power generation, such as in an electricity-producing reactor system like embodiments of the reactor 10. Nucleonics of the nuclear fission deflagration wave are explained below. Inducing nuclear fission of selected isotopes of the actinide elements—the fissile ones—by capture of neutrons of any energy permits the release of nuclear binding energy at any material temperature, including arbitrarily low ones. The neutrons that are captured by the fissile actinide element may be provided by the nuclear fission igniter 110. Release of more than a single neutron per neutron captured, on the average, by nuclear fission of substantially any actinide isotope can provide opportunity for a diverging neutron-mediated nuclear-fission chain reaction in such materials. Release of more than two neutrons for every neutron which is captured (over certain neutron-energy ranges, on the average) by nuclear fission by some actinide isotopes may permit first converting an atom of a non-fissile isotope to a fissile one (via neutron capture and subsequent beta-decay) by an initial neutron capture, and then of neutron-fissioning the nucleus of the newly-created fissile isotope in the course of a second neutron capture. Most really high-Z (Z≥90) nuclear species can be combusted if, on the average, one neutron from a given nuclear fission event can be radiatively captured on a non-fissile-but-‘fertile’ nucleus which will then convert (such as via beta-decay) into a fissile nucleus and a second neutron from the same fission event can be captured on a fissile nucleus and, thereby, induce fission. In particular, if either of these arrangements is steady-state, then sufficient conditions for propagating a nuclear fission deflagration wave in the given material can be satisfied. Due to beta-decay in the process of converting a fertile nucleus to a fissile nucleus, the characteristic speed of wave advance is of the order of the ratio of the distance traveled by a neutron from its fission-birth to its radiative capture on a fertile nucleus (that is, a mean free path) to the half-life of the (longest-lived nucleus in the chain of) beta-decay leading from the fertile nucleus to the fissile one. Such a characteristic fission neutron-transport distance in normal-density actinides is approximately 10 cm and the beta-decay half-life is 105-106 seconds for most cases of interest. Accordingly for some designs, the characteristic wave-speed is 10−4-10−7 cm sec−1, or approximately 10−13-10−14 of that of a typical nuclear detonation wave. Such a relatively slow speed-of-advance indicates that the wave can be characterized as a deflagration wave, rather than a detonation wave. If the deflagration wave attempts to accelerate, its leading-edge counters ever-more-pure fertile material (which is quite lossy in a neutronic sense), for the concentration of fissile nuclei well ahead of the center of the wave becomes exponentially low. Thus the wave's leading-edge (referred to herein as a “burnfront”) stalls or slows. Conversely, if the wave slows, the local concentration of fissile nuclei arising from continuing beta-decay increases, the local rates of fission and neutron production rise, and the wave's leading-edge, that is the burnfront, accelerates. Finally, if the heat associated with nuclear fission is removed sufficiently rapidly from all portions of the configuration of initially fertile matter in which the wave is propagating, the propagation may take place at an arbitrarily low material temperature—although the temperatures of both the neutrons and the fissioning nuclei may be around 1 MeV. Such conditions for initiating and propagating a nuclear fission deflagration wave can be realized with readily available materials. While fissile isotopes of actinide elements are rare terrestrially, both absolutely and relative to fertile isotopes of these elements, fissile isotopes can be concentrated, enriched and synthesized. The use of both naturally-occurring and man-made ones, such as U235 and Pu239, respectively, in initiating and propagating nuclear fission detonation waves is well-known. Consideration of pertinent neutron cross-sections (shown in FIGS. 1B and 1C) suggests that a nuclear fission deflagration wave can burn a large fraction of a core of naturally-occurring actinides, such as Th232 or U238, if the neutron spectrum in the wave is a ‘hard’ or ‘fast’ one. That is, if the neutrons which carry the chain reaction in the wave have energies which are not very small compared to the approximately 1 MeV at which they are evaporated from nascent fission fragments, then relatively large losses to the spacetime-local neutron economy can be avoided when the local mass-fraction of fission products becomes comparable to that of the fertile material (recalling that a single mole of fissile material fission-converts to two moles of fission-product nuclei). Even neutronic losses to typical neutron-reactor structural materials, such as Ta, which has desirable high-temperature properties, may become substantial at neutron energies ≤0.1 MeV. Another consideration is the (comparatively small) variation with incident neutron energy of the neutron multiplicity of fission, ν, and the fraction of all neutron capture events which result in fission (rather than merely γ-ray emission). The algebraic sign of the function α(ν−2) constitutes a condition for the feasibility of nuclear fission deflagration wave propagation in fertile material compared with the overall fissile isotopic mass budget, in the absence of neutron leakage from the core or parasitic absorptions (such as on fission products) within its body, for each of the fissile isotopes of the nuclear fission reactor core assembly 100. The algebraic sign is generally positive for all fissile isotopes of interest, from fission neutron-energies of approximately 1 MeV down into the resonance capture region. The quantity α(ν−2)/ν upper-bounds the fraction of total fission-born neutrons which may be lost to leakage, parasitic absorption, or geometric divergence during deflagration wave propagation. It is noted that this fraction is 0.15-0.30 for the major fissile isotopes over the range of neutron energies which prevails in all effectively unmoderated actinide isotopic configurations of practical interest (approximately 0.1-1.5 MeV). In contrast to the situation prevailing for neutrons of (epi-) thermal energy (see FIG. 1C), in which the parasitic losses due to fission products dominate those of fertile-to-fissile conversion by 1-1.5 decimal orders-of-magnitude, fissile element generation by capture on fertile isotopes is favored over fission-product capture by 0.7-1.5 orders-of-magnitude over the neutron energy range 0.1-1.5 MeV. The former suggests that fertile-to-fissile conversion will be feasible only to the extent of 1.5-5% percent at-or-near thermal neutron energies, while the latter indicates that conversions in excess of 50% may be expected for near-fission energy neutron spectra. In considering conditions for propagation of a nuclear fission deflagration wave, in some approaches neutron leakage may be effectively ignored for very large, “self-reflected” actinide configurations. Referring to FIG. 1C and analytic estimates of the extent of neutron moderation-by-scattering entirely on actinide nuclei, it will be appreciated that deflagration wave propagation can be established in sufficiently large configurations of the two types of actinides that are relatively abundant terrestrially: Th232 and U238, the exclusive and the principal (that is, longest-lived) isotopic components of naturally-occurring thorium and uranium, respectively. Specifically, transport of fission neutrons in these actinide isotopes will likely result in either capture on a fertile isotopic nucleus or fission of a fissile one before neutron energy has decreased significantly below 0.1 MeV (and thereupon becomes susceptible with non-negligible likelihood to capture on a fission-product nucleus). Referring to FIG. 1B, it will be appreciated that fission product nuclei concentrations can significantly exceed fertile ones and fissile nuclear concentrations may be an order-of-magnitude less than the lesser of fission-product or fertile ones while remaining quantitatively substantially reliable. Consideration of pertinent neutron scattering cross-sections suggests that right circular cylindrical configurations of actinides which are sufficiently extensive to be effectively infinitely thick—that is, self-reflecting—to fission neutrons in their radial dimension will have density-radius products >>200 gm/cm2—that is, they will have radii >>10-20 cm of solid-density U238-Th232. The breeding-and-burning wave provides sufficient excess neutrons to breed new fissile material 1-2 mean-free-paths into the yet-unburned fuel, effectively replacing the fissile fuel burnt in the wave. The ‘ash’ behind the burn-wave's peak is substantially ‘neutronically neutral’, since the neutronic reactivity of its fissile fraction is just balanced by the parasitic absorptions of structure and fission product inventories on top of leakage. If the fissile atom inventory in the wave's center and just in advance of it is time-stationary as the wave propagates, then it is doing so stably; if less, then the wave is ‘dying’, while if more, the wave may be said to be ‘accelerating.’ Thus, a nuclear fission deflagration wave may be propagated and maintained in substantially steady-state conditions for long time intervals in configurations of naturally-occurring actinide isotopes. The above discussion has considered, by way of non-limiting example, circular cylinders of natural uranium or thorium metal of less than a meter or so diameter—and that may be substantially smaller in diameter if efficient neutron reflectors are employed—that may stably propagate nuclear fission deflagration waves for arbitrarily great axial distances. However, propagation of nuclear fission deflagration waves is not to be construed to be limited to circular cylinders, to symmetric geometries, or to singly-connected geometries. To that end, additional embodiments of alternate geometries of the nuclear fission reactor core assembly 100 are described in U.S. patent application Ser. No. 11/605,943, entitled AUTOMATED NUCLEAR POWER REACTOR FOR LONG-TERM OPERATION, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the entire contents of which are hereby incorporated by reference. Propagation of a nuclear fission deflagration wave has implications for embodiments of the nuclear fission reactor 10. As a first example, local material temperature feedback can be imposed on the local nuclear reaction rate at an acceptable expense in the deflagration wave's neutron economy. Such a large negative temperature coefficient of neutronic reactivity confers an ability to control the speed-of-advance of the deflagration wave. If very little thermal power is extracted from the burning fuel, its temperature rises and the temperature-dependent reactivity falls, and the nuclear fission rate at wave-center becomes correspondingly small and the wave's equation-of-time reflects only a very small axial rate-of-advance. Similarly, if the thermal power removal rate is large, the material temperature decreases and the neutronic reactivity rises, the intra-wave neutron economy becomes relatively undamped, and the wave advances axially relatively rapidly. Details regarding illustrative implementations of temperature feedback within embodiments of the nuclear fission reactor core assembly 100 are described in U.S. patent application Ser. No. 11/605,933, entitled CONTROLLABLE LONG TERM OPERATION OF A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the entire contents of which are hereby incorporated by reference. As a second example of implications of propagation of a nuclear fission deflagration wave on embodiments of the nuclear fission reactor 10, less than all of the total fission neutron production in the nuclear fission reactor 10 may be utilized. For example, the local material-temperature thermostating modules may use around 5-10% of the total fission neutron production in the nuclear fission reactor 10. Another ≤10% of the total fission neutron production in the nuclear fission reactor 10 may be lost to parasitic absorption in the relatively large quantities of high-performance, high temperature, structure materials (such as Ta, W, or Re) employed in structural components of the nuclear fission reactor 10. This loss occurs in order to realize ≥60% thermodynamic efficiency in conversion to electricity and to gain high system safety figures-of-merit. The Zs of these materials, such as Ta, W and Re, are approximately 80% of that of the actinides, and thus their radiative capture cross-sections for high-energy neutrons are not particularly small compared to those of the actinides, as is indicated for Ta in FIGS. 1B and 1C. A final 5-10% of the total fission neutron production in the nuclear fission reactor 10 may be lost to parasitic absorption in fission products. As noted above, the neutron economy characteristically is sufficiently rich that approximately 0.7 of total fission neutron production is sufficient to sustain deflagration wave-propagation in the absence of leakage and rapid geometric divergence. This is in sharp contrast with (epi) thermal-neutron power reactors employing low-enrichment fuel, for which neutron-economy discipline in design and operation must be strict. As a third example of implications of propagation of a nuclear fission deflagration wave on embodiments of the nuclear fission reactor 10, high burn-ups (on the order of around 50% to around 80%) of initial actinide fuel-inventories which are characteristic of the nuclear fission deflagration waves permit high-efficiency utilization of as-mined fuel—moreover without a requirement for reprocessing. Referring now to FIGS. 1D-1H, features of the fuel-charge of embodiments of the nuclear fission reactor core assembly 100 are depicted at four equi-spaced times during the operational life of the reactor after origination of the nuclear fission deflagration wave (referred to herein as “nuclear fission ignition”) in a scenario in which full reactor power is continuously demanded over a ⅓ century time-interval. In the embodiment shown, two nuclear fission deflagration wavefronts propagate from an origination point 28 (near the center of the nuclear fission reactor core assembly 100 and in which the nuclear fission igniter 110 is located) toward ends of the nuclear fission reactor core assembly 100. Corresponding positions of the leading edge of the nuclear fission deflagration wave-pair at various time-points after full ignition of the fuel-charge of the nuclear fission reactor core assembly 100 are indicated in FIG. 1D. FIGS. 1E, 1F, 1G, and 1G illustrate masses (in kg of total mass per cm of axial core-length) of various isotopic components in a set of representative near-axial zones and fuel specific power (in W/g) at the indicated axial position as ordinate-values versus axial position along an illustrative, non-limiting 10-meter-length of the fuel-charge as an abscissal value at approximate times after nuclear fission ignition of approximately 7.5 years, 15 years, 22.5 years, and 30 years, respectively. The central perturbation is due to the presence of the nuclear fission igniter 110 indicated by the origination point 28 (FIG. 1D). It will be noted that the neutron flux from the most intensely burning region behind the burnfront breeds a fissile isotope-rich region at the burnfront's leading-edge, thereby serving to advance the nuclear fission deflagration wave. After the nuclear fission deflagration wave's burnfront has swept over a given mass of fuel, the fissile atom concentration continues to rise for as long as radiative capture of neutrons on available fertile nuclei is considerably more likely than on fission product nuclei, while ongoing fission generates an ever-greater mass of fission products. Nuclear power-production density peaks in this region of the fuel-charge, at any given moment. It will also be noted that in the illustrated embodiments, differing actions of two slightly different types of thermostating units on the left and the right sides of the nuclear fission igniter 110 account for the corresponding slightly differing power production levels. Still referring to FIGS. 1D-1H, it can be seen that well behind the nuclear fission deflagration wave's advancing burnfront, the concentration ratio of fission product nuclei (whose mass closely averages half that of a fissile nucleus) to fissile ones climbs to a value comparable to the ratio of the fissile fission to the fission product radiative capture cross-sections (FIG. 1B), the “local neutronic reactivity” thereupon goes slightly negative, and both burning and breeding effectively cease—as will be appreciated from comparing FIGS. 1E, 1F, 1G, and 1H with each other, far behind the nuclear fission deflagration wave burnfront. In some embodiments of the nuclear fission reactor 10, all the nuclear fission fuel ever used in the reactor is installed during manufacture of the nuclear fission reactor core assembly 100, and no spent fuel is ever removed from the nuclear fission reactor core assembly 100, which is never accessed after nuclear fission ignition. However, in some other embodiments of the nuclear fission reactor 10, additional nuclear fission fuel is added to the nuclear fission reactor core assembly 100 after nuclear fission ignition. However, in some other embodiments of the nuclear fission reactor 10, spent fuel is removed from the reactor core assembly (and, in some embodiments, removal of spent fuel from the nuclear fission reactor core assembly 100 may be performed while the nuclear fission reactor 10 is operating at power). Such illustrative refueling and defueling is explained in U.S. patent application Ser. No. 11/605,848, entitled METHOD AND SYSTEM FOR PROVIDING FUEL IN A NUCLEAR REACTOR, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the contents of which are hereby incorporated by reference. Regardless of whether or not spent fuel is removed, pre-expansion of the as-loaded fuel permits higher-density actinides to be replaced with lower-density fission products without any overall volume changes in fuel elements, as the nuclear fission deflagration wave sweeps over any given axial element of actinide ‘fuel,’ converting it into fission-product ‘ash.’ Given by way of overview, launching of nuclear fission deflagration waves into Th232 or U238 fuel-charges is readily accomplished with ‘nuclear fission igniter modules’ enriched in fissile isotopes. Illustrative nuclear fission igniter modules and methods for launching nuclear fission deflagration waves will be discussed in detail further below. Higher enrichments result in more compact modules, and minimum mass modules may employ moderator concentration gradients. In addition, nuclear fission igniter module design may be determined in part by non-technical considerations, such as resistance to materials diversion for military purposes in various scenarios. While the illustrative nuclear fission igniter of the previously described embodiments included nuclear fission material configured to initiate propagation of the burning wavefront, in other approaches, illustrative nuclear fission igniters may have other types of reactivity sources. For example, other nuclear fission igniters may include “burning embers”, e.g., nuclear fission fuel enriched in fissile isotopes via exposure to neutrons within a propagating nuclear fission deflagration wave reactor. Such “burning embers” may function as nuclear fission igniters, despite the presence of various amounts of fission products “ash”. In other approaches to launching a nuclear fission deflagration wave, the illustrative nuclear fission igniter modules described herein may be used to supplement other neutron sources that use electrically driven sources of high energy ions (such as protons, deuterons, alpha particles, or the like) or electrons that may in turn produce neutrons. In one illustrative approach, a particle accelerator, such as a linear accelerator may be positioned to provide high energy protons to an intermediate material that may in turn provide such neutrons (e.g., through spallation). In another illustrative approach, a particle accelerator, such as a linear accelerator may be positioned to provide high energy electrons to an intermediate material that may in turn provide such neutrons (e.g., by electro-fission and/or photofission of high-Z elements). Alternatively, other known neutron emissive processes and structures, such as electrically induced fusion approaches, may provide neutrons (e.g., 14 Mev neutrons from D-T fusion) that may thereby be used in addition to the illustrative nuclear fission igniters described herein to initiate the propagating fission wave. Now that nucleonics of the fuel charge and the nuclear fission deflagration wave have been discussed, further details regarding “nuclear fission ignition” and maintenance of the nuclear fission deflagration wave will be discussed. A centrally-positioned illustrative nuclear fission igniter (such as those that will be described in detail further below) moderately enriched in fissionable material, such as U235 or Pu239, has a neutron-absorbing material (such as a borohydride) removed from it (such as by operator-commanded electrical heating), and the nuclear fission igniter becomes neutronically critical. Local fuel temperature rises to a design set-point and is regulated thereafter by the local thermostating modules (discussed in detail in U.S. patent application Ser. No. 11/605,943, entitled AUTOMATED NUCLEAR POWER REACTOR FOR LONG-TERM OPERATION, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the entire contents of which are hereby incorporated by reference). Neutrons from the fast fission of U235 or Pu239 are mostly captured at first on local U238 or Th232. It will be appreciated that uranium enrichment of the nuclear fission igniter may be reduced to levels not much greater than that of light water reactor (LWR) fuel by introduction into the nuclear fission igniter and the fuel region immediately surrounding it of a radial density gradient of a refractory moderator, such as graphite. High moderator density enables low-enrichment fuel to burn satisfactorily, while decreasing moderator density permits efficient fissile breeding to occur. Thus, optimum nuclear fission igniter design may involve trade-offs between proliferation robustness and the minimum latency from initial criticality to the availability of full-rated-power from the fully-ignited fuel-charge of the core. Lower nuclear fission igniter enrichments entail more breeding generations and thus impose longer latencies. The peak (unregulated) reactivity of the nuclear fission reactor core assembly 100 slowly decreases in the first phase of the nuclear fission ignition process because, although the total fissile isotope inventory is increasing monotonically, this total inventory is becoming more spatially dispersed. As a result of choice of initial fuel geometry, fuel enrichment versus position, and fuel density, it may be arranged for the maximum reactivity to still be slightly positive at the time-point at which its minimum value is attained. Soon thereafter, the maximum reactivity begins to increase rapidly toward its greatest value, corresponding to the fissile isotope inventory in the region of breeding substantially exceeding that remaining in the nuclear fission igniter. A quasi-spherical annular shell then provides maximum specific power production. At this point, the fuel-charge of the nuclear fission reactor core assembly 100 is referred to as “ignited.” Now that the fuel-charge of the nuclear fission reactor core assembly 100 has been “ignited”, propagation of the nuclear fission deflagration wave, also referred to herein as “nuclear fission burning”, will now be discussed. The spherically-diverging shell of maximum specific nuclear power production continues to advance radially from the nuclear fission igniter toward the outer surface of the fuel charge. When it reaches this surface, it naturally breaks into two spherical zonal surfaces, with one surface propagating in each of the two opposite directions along the axis of the cylinder. At this time-point, the full thermal power production potential of the core has been developed. This interval is characterized as that of the launching of the two axially-propagating nuclear fission deflagration wave burnfronts. In some embodiments the center of the core's fuel-charge is ignited, thus generating two oppositely-propagating waves. This arrangement doubles the mass and volume of the core in which power production occurs at any given time, and thus decreases by two-fold the core's peak specific power generation, thereby quantitatively minimizing thermal transport challenges. However, in other embodiments, the core's fuel charge is ignited at one end, as desired for a particular application. In other embodiments, the core's fuel charge may be ignited in multiple sites. In yet other embodiments, the core's fuel charge is ignited at any 3-D location within the core as desired for a particular application. In some embodiments, two propagating nuclear fission deflagration waves will be initiated and propagate away from a nuclear fission ignition site, however, depending upon geometry, nuclear fission fuel composition, the action of neutron modifying control structures or other considerations, different numbers (e.g., one, three, or more) of nuclear fission deflagration waves may be initiated and propagated. However, for sake of understanding, the discussion herein refers, without limitation, to propagation of two nuclear fission deflagration wave burnfronts. From this time forward through the break-out of the two waves when they reach the two opposite ends, the physics of nuclear power generation is effectively time-stationary in the frame of either wave, as illustrated in FIGS. 1E-1H. The speed of wave advance through the fuel is proportional to the local neutron flux, which in turn is linearly dependent on the thermal power demanded from the nuclear fission reactor core assembly 100 via the collective action on the nuclear fission deflagration wave's neutron budget of the thermostating modules (not shown). When more power is demanded from the reactor via lower-temperature coolant flowing into the core, the temperature of the two ends of the core (which in some embodiments are closest to the coolant inlets) decreases slightly below the thermostating modules' design set-point, a neutron absorber is thereby withdrawn from the corresponding sub-population of the core's thermostating modules, and the local neutron flux is permitted thereby to increase to bring the local thermal power production to the level which drives the local material temperature up to the set-point of the local thermostating modules. However, in the two burnfront embodiment this process is not effective in heating the coolant significantly until its two divided flows move into the two nuclear burn-fronts. These two portions of the core's fuel-charge—which are capable of producing significant levels of nuclear power when not suppressed by the neutron absorbers of the thermostating modules—then act to heat the coolant to the temperature specified by the design set-point of their modules, provided that the nuclear fission fuel temperature does not become excessive (and regardless of the temperature at which the coolant arrived in the core). The two coolant flows then move through the two sections of already-burned fuel centerward of the two burnfronts, removing residual nuclear fission and afterheat thermal power from them, both exiting the fuel-charge at its center. This arrangement encourages the propagation of the two burnfronts toward the two ends of the fuel-charge by “trimming” excess neutrons primarily from the trailing edge of each front, as illustrated in FIGS. 1E-1H. Thus, the core's neutronics may be considered to be substantially self-regulated. For example, for cylindrical core embodiments, the core's nucleonics may be considered to be substantially self-regulating when the fuel density-radius product of the cylindrical core is ≥200 gm/cm2 (that is, 1-2 mean free paths for neutron-induced fission in a core of typical composition, for a reasonably fast neutron spectrum). One function of the neutron reflector in such core designs is to substantially reduce the fast neutron fluence seen by the outer portions of the reactor, such as its radiation shield, structural supports, thermostating modules and outermost shell. Its incidental influence on the performance of the core is to improve the breeding efficiency and the specific power in the outermost portions of the fuel, though the value of this is primarily an enhancement of the reactor's economic efficiency. Outlying portions of the fuel-charge are not used at low overall energetic efficiency, but have isotopic burn-up levels comparable to those at the center of the fuel-charge. Final, irreversible negation of the core's neutronic reactivity may be performed at any time by injection of neutronic poison into the coolant stream, via either the primary loops which extend to the application heat exchangers 16 (FIG. 1A) or the afterheat-dumping loops connecting the nuclear fission reactor 10 (FIG. 1A) to the heat dump heat exchangers 26 (FIG. 1A). For example, lightly loading the coolant stream with a material such as BF3, possibly accompanied by a volatile reducing agent such as H2 if desired, may deposit metallic boron substantially uniformly over the inner walls of the coolant-tubes threading through the reactor's core, via exponential acceleration of the otherwise slow chemical reaction 2BF3+3H2→2B+6HF by the high temperatures found therein. Boron, in turn, is a highly refractory metalloid, and will not migrate from its site of deposition. Substantially uniform presence of boron in the core in <100 kg quantities may negate the core's neutronic reactivity for indefinitely prolonged intervals without involving the use of powered mechanisms in the vicinity of the reactor. Illustrative Embodiments and Aspects of Nuclear Fission Igniters Illustrative embodiments and aspects of the nuclear fission igniter 110 will now be discussed. Referring now to FIGS. 2A-2J, non-limiting illustrative embodiments of the nuclear fission igniter 110 are shown as being installed in a non-limiting illustrative embodiment of the nuclear fission reactor core assembly 100 that is suitable for use with a fast neutron spectrum nuclear fission reactor, such as a nuclear fission deflagration wave reactor. To that end, the nuclear fission reactor core assembly 100 includes nuclear fission fuel material that is configured to propagate a nuclear fission deflagration wave therein and can be referred to as a nuclear fission deflagration wave reactor core assembly 100. The nuclear fission deflagration wave reactor core assembly 100 defines coolant channels 112. In some embodiments, reactor coolant may be Helium gas. However, any suitable reactor coolant may be used in other embodiments as desired for a particular application. The nuclear fission deflagration wave reactor core assembly 100 includes nuclear fuel material that includes fertile material, such as without limitation 238U and/or 232Th. The nuclear fission fuel material of the nuclear fission deflagration wave reactor core assembly 100 also includes fissile material, such as without limitation 233U, 235U, and/or 239Pu. Concentration of the nuclear fission fuel material in the nuclear fission deflagration wave reactor core assembly 100 can vary spatially as desired for a particular application. It will be appreciated that the nuclear fission igniter 110 and the nuclear fission deflagration wave reactor core assembly 100 are shown schematically. As such, no geometric limitations are intended regarding shape of the nuclear fission igniter 110 or the nuclear fission deflagration wave reactor core assembly 100. As mentioned above, details were discussed for circular cylinders of natural uranium or thorium metal that may stably propagate nuclear fission deflagration waves for arbitrarily great axial distances. However, it is again emphasized that propagation of nuclear fission deflagration waves is not to be construed to be limited to circular cylinders or to metallic nuclear fission fuels, or to pure uranium or thorium nuclear fission fuel materials. Additional embodiments of the nuclear fission deflagration wave reactor core assembly 100 and fuel charges disposed therein can have any alternate geometries as desired for a particular application and are described in U.S. patent application Ser. No. 11/605,943, entitled AUTOMATED NUCLEAR POWER REACTOR FOR LONG-TERM OPERATION, naming RODERICK A. HYDE, MURIEL Y. ISHIKAWA, NATHAN P. MYHRVOLD, AND LOWELL L. WOOD, JR. as inventors, filed 28 Nov. 2006, the entire contents of which are hereby incorporated by reference. Non-limiting details of illustrative nuclear fission igniters 110 will now be described. The nuclear fission igniter 110 suitably can launch a nuclear fission deflagration wave into the nuclear fission deflagration wave reactor core assembly 100. The nucleonics of launching and propagation of a nuclear fission deflagration wave in nuclear fission fuel material are described above and need not be repeated. In an illustrative embodiment, the nuclear fission igniter 110 includes a portion of nuclear fission fuel material that is insertable in the nuclear fission deflagration wave reactor core assembly 100. The portion of nuclear fuel material has a keffective less than 1 when the nuclear fission igniter 110 is outside the nuclear fission deflagration wave reactor core assembly 100. The portion of nuclear fuel material is arranged to establish a keffective of at least 1 when the nuclear fission igniter 110 is installed in the nuclear fission deflagration wave reactor core assembly 100. Illustrative transport assemblies for transporting the nuclear fission igniter 110 outside the nuclear fission deflagration wave reactor core assembly 100 will be described further below. The nuclear fuel material of the nuclear fission igniter 110 can include fissile material, such as without limitation 233U, 235U, and/or 239Pu. If desired, the nuclear fuel material of the nuclear fission igniter 110 can include fertile material in addition to the fissile material. Given by way of non-limiting example, the fertile material can include without limitation 238U and/or 232Th. Concentration of the fissile material in the nuclear fission fuel material of the nuclear fission igniter 110 may be greater than concentration of the fissile material in the nuclear fission fuel material of the nuclear fission deflagration wave reactor core assembly 100. Also, concentration of the nuclear fission fuel material of the nuclear fission igniter 110 can vary spatially as desired for a particular application. Referring now to FIGS. 2A, 2C, 2E, 2G, and 2I, in some embodiments the nuclear fission igniter 110 may define channels 114. The channels 114 suitably may be defined about an exterior of the nuclear fission fuel material in some embodiments for ease of manufacturing. In some other embodiments the channels 114 can be defined within an interior of the nuclear fission igniter. The channels 114 are defined to align and mate with the coolant channels 112. When the nuclear fission igniter 110 is inserted into the nuclear fission deflagration wave reactor core assembly 100, the channels 114 are aligned with the coolant channels 114, thereby mating with the coolant channels 114. With the channels 114 aligned with the coolant channels 112, reactor coolant that is flowing through the coolant channels 112 can flow into and through the nuclear fission igniter 110 via the channels 114 and back into the coolant channels 112 after exiting the nuclear fission igniter 110. In other embodiments, the channels 114 do not align with the coolant channels 112. It will be appreciated that the channels 114 are an optional feature of the nuclear fission igniter 110. To that end and referring now to FIGS. 2B, 2D, 2F, 2H, and 2J, in some embodiments the nuclear fission igniter 110 does not define any channels. Referring back to FIGS. 2A-2J and as mentioned above, the nuclear fission fuel material of the nuclear fission igniter 110 has a keffective less than 1 when the nuclear fission igniter 110 is outside the nuclear fission deflagration wave reactor core assembly 100. To achieve a value of keffective less than 1 when the nuclear fission igniter 110 is outside the nuclear fission deflagration wave reactor core assembly 100, in some embodiments neutron absorbing material is provided. The neutron absorbing material may include any neutron absorbing material as desired for a particular application, such as without limitation 10B and/or 6Li. In some embodiments, the neutron absorbing material is disposed in the nuclear fission fuel material of the nuclear fission igniter 110. In some other embodiments, the neutron absorbing material is disposed around an exterior of the nuclear fission fuel material of the nuclear fission igniter 110. In some embodiments the neutron absorbing material is removable. Removal of the neutron absorbing material can help in establishing a keffective of at least 1 when the nuclear fission igniter 110 is installed in the nuclear fission deflagration wave reactor core assembly 100. Referring additionally to FIGS. 3A and 3B, the neutron absorbing material may be removed by any desired removal method, such as by operator-commanded electrical heating, pumping-out of a fluid from ports 118, shimming-out of control rods through the ports 118, or the like. In some embodiments, other modalities may be employed as desired to help in establishing a keffective of at least 1 when the nuclear fission igniter 110 is installed in the nuclear fission deflagration wave reactor core assembly 100. These other modalities described below may be employed within the nuclear fission igniter 110, or within the nuclear fission deflagration wave reactor core assembly 100, or within both the nuclear fission igniter 110 and the nuclear fission deflagration wave reactor core assembly 100, as desired for a particular application. In some embodiments the modalities may be employed during startup only. That is, the material used in the modality may be added after the nuclear fission igniter 110 is installed in the nuclear fission deflagration wave reactor core assembly 100 for startup purposes and, if desired, may be removed after startup. The material used in the modality may be added and removed in any manner as desired. For example and without limitation, the material used in the modality may be added and removed as desired to and from the nuclear fission igniter 110 via the ports 118. For example, in one other modality neutron moderating material may be provided within the nuclear fission igniter 110, or within the nuclear fission deflagration wave reactor core assembly 100, or within both the nuclear fission igniter 110 and the nuclear fission deflagration wave reactor core assembly 100, as desired for a particular application. Given by way of non-limiting example, the neutron moderating material may include heavy water, carbon, and/or 7Li. In another modality neutron reflecting material may be provided within the nuclear fission igniter 110, or within the nuclear fission deflagration wave reactor core assembly 100, or within both the nuclear fission igniter 110 and the nuclear fission deflagration wave reactor core assembly 100, as desired for a particular application. Given by way of non-limiting example, the neutron reflecting material may include graphite and/or beryllium. In another modality neutron multiplicative material may be provided within the nuclear fission igniter 110, or within the nuclear fission deflagration wave reactor core assembly 100, or within both the nuclear fission igniter 110 and the nuclear fission deflagration wave reactor core assembly 100, as desired for a particular application. Given by way of non-limiting example, the neutron multiplicative material may include 9Be and/or beryllides. Embodiments of the nuclear fission igniter 110 may have any shape as desired. To that end, shape of the nuclear fission igniter 110 is not intended to be limited in any manner whatsoever. Given by way of example and not of limitation, illustrative nuclear fission igniters 110 are shown embodied as a sphere (FIGS. 2A and 2B), two hemispheres (FIGS. 2C and 2D), one hemisphere (FIGS. 2E and 2F), a cylinder (FIGS. 2G and 2H), and a rectangular parallelepiped (FIGS. 2I and 2J). The nuclear fission igniter 110 may be placed in the nuclear fission deflagration wave reactor core assembly 100 at any location as desired for a particular application. Some embodiments of the nuclear fission igniter may also be well suited for placement near an end of the nuclear fission deflagration wave reactor core assembly 100 (for example, in the vicinity of a neutron reflector). For example and without limitation, in one contemplated application the hemispherical embodiment of the nuclear fission igniter 110 (FIGS. 2E and 2F) is shown near an end of the nuclear fission deflagration wave reactor core assembly 100 in the vicinity of a neutron reflector 116. However, in other contemplated applications the hemispherical embodiment of the nuclear fission igniter 110 may be placed away from an end (such as without limitation toward the center) of the nuclear fission deflagration wave reactor core assembly 100. Likewise, in other contemplated applications the illustrative nuclear fission igniters 110 embodied as a sphere (FIGS. 2A and 2B), two hemispheres (FIGS. 2C and 2D), a cylinder (FIGS. 2G and 2H), and a rectangular parallelepiped (FIGS. 2I and 2J) may be placed near an end of the nuclear fission deflagration wave reactor core assembly 100 (for example, in the vicinity of a neutron reflector). Thus, it will be understood that no limitation whatsoever is intended regarding placement of the nuclear fission igniter 110. Regardless of the shape of the nuclear fission igniter 110, in some embodiments (such as when only one nuclear fission igniter 110 is installed in a nuclear fission deflagration wave reactor core assembly 100 to initiate a nuclear fission deflagration wave), the nuclear fission igniter 110 has at least one dimension of not substantially less than one mean free path for fission-inducing neutrons. Again regardless of the shape of the nuclear fission igniter 110 and in some other embodiments (such as when more than one of the nuclear fission igniters 110 are installed in a nuclear fission deflagration wave reactor core assembly 100 to initiate a nuclear fission deflagration wave), all of the nuclear fission igniters 110 may have dimensions less than one mean free path for fission-inducing neutrons. However, all of the nuclear fission igniters 110 are combined such that a combination of the nuclear fission igniters 110 has at least one dimension of not substantially less than one mean free path for fission-inducing neutrons. Illustrative Transport Assemblies for Nuclear Fission Igniters Referring now to FIGS. 4A-4E, the nuclear fission igniter 110 can be transported as desired in a transport assembly 120. Illustrative embodiments of the transport assembly 120 are configured to receive one or more of any type of nuclear fission igniter 110 for transport, such as transport to one or more nuclear fission deflagration wave reactors 100. For the sake of brevity, the transport assembly 120 is illustrated for explanatory purposes only as having a shape to accommodate a hemispherical-shaped nuclear fission igniter. However, it will be understood that the transport assembly 120 may have any shape whatsoever as desired to accommodate any shape of a nuclear fission igniter 110 that is to be transported. Thus, shape of the transport assembly 120 is not intended to be limited in any manner whatsoever. Illustrative embodiments of the transport assembly 120 will be described below. The transport assembly 120 includes a housing body 122 that is arranged to receive nuclear shielding material 124. In some embodiments, the nuclear shielding material 124 may be added or removed as desired for a particular application. The nuclear shielding material 124 suitably includes high-Z material, such as without limitation tungsten and/or tantalum. In some embodiments, the nuclear shielding material 124 suitably includes neutron absorbing material. In some other embodiments, the nuclear shielding material 124 suitably includes radiation absorbing material that is configured to shield α, β, and/or γ radiation. In some other embodiments, the nuclear shielding material 124 suitably includes neutron reflecting material, such as without limitation graphite and/or beryllium. The housing body 122 defines at least one cavity 126 that is arranged to receive therein at least one of the nuclear fission igniters 110. In some embodiments and as shown in FIG. 4A, the housing body 122 defines one cavity 126 that is arranged to receive therein one nuclear fission igniter 110. In some other embodiments and as shown in FIG. 4B, the housing body 122 defines cavities 126 that are arranged to receive therein at least one nuclear fission igniter 110. The cavities 126 are spaced apart sufficiently (to help avoid critical mass geometry) and nuclear shielding material 124 is interposed between the cavities 126 to help maintain less than one the value of keffective of the nuclear fission igniters 110 received in the transport assembly 120. At least one access port 128 is configured to define at least one opening in the housing body 122 such that at least one nuclear fission igniter 110 is receivable through the access port 128. The access port 128 may be further configured to close the opening in the housing body, thereby retaining the nuclear fission igniter 110 in the housing body 122. In some embodiments and as shown in FIG. 4C, the access port 128 can include shutters 130 defined in the housing body to open for receiving the nuclear fission igniter therethrough and to close for retaining the nuclear fission igniter 110 in the housing body 122. In some other embodiments and as shown in FIGS. 4D and 4E, the access port 128 can include a body cap 132. The body cap 132 suitably includes the nuclear shielding material 124 as described above. In some embodiments that include the body cap 132 and as shown in FIG. 4D, the body cap 132 may be hingedly attached to the housing body 122. The body cap 132 is fully swung open, the nuclear fission igniter 110 is inserted into the cavity 126 as indicated by an arrow 134, and the body cap 132 is fully shut as indicated by an arrow 136. The nuclear fission igniter 110 is thus fully received in and shielded by the transport assembly 120. In some other embodiments that include the body cap 132 and as shown in FIG. 4E, the body cap 132 may be removably attached to the housing body 122. The body cap 132 may be attached in any manner whatsoever as desired. In some embodiments and as shown in FIG. 4E, at least one indicator 134 can be disposed on the transport assembly 120 as desired, such as on the housing body 122 or on the body cap 132. The indicator 134 suitably is configured to indicate contents of the transport assembly 120 (such as one or more of the nuclear fission igniters 110). Indication of contents can be made in any manner whatsoever as desired. Illustrative Decay Heat Removal Referring now to FIGS. 5A-5E, in some embodiments the nuclear fission igniter 110 may include nuclear fission fuel material that includes at least some components that have previously undergone neutron-mediated nuclear fission (also referred to herein as having been previously “burnt”). When the nuclear fission igniter 110 includes nuclear fission fuel material that includes at least some components that have been previously burnt, for a certain time period after it was operated at power the nuclear fission igniter 110 will produce decay heat. Depending upon how long a time period has transpired after shutdown of the power operation to which the nuclear fission fuel material of the nuclear fission igniter 110 was subjected, it may be desirable to remove decay heat from the nuclear fission igniter 110 during transport of the nuclear fission igniter 110. To that end, illustrative decay heat removal during transport of the nuclear fission igniter 110 will be discussed below. At least one decay heat removal device 136 is provided for removal of decay heat from the nuclear fuel material of the nuclear fission igniter 110. The decay heat removal device 136 is placed in thermal communication with the nuclear fission igniter 110 such that decay heat generated by the nuclear fission igniter 110 can be transferred from the nuclear fission igniter 110 to the decay heat removal device 136. In one embodiment and referring to FIGS. 5A and 5B, the decay heat removal device 136 can include a heat pipe. A tube section 138 is placed in thermal communication with the nuclear fission igniter 110. In some embodiments, the tube section 138 is held in place in the channels 116, such as without limitation by friction fit. A heat sink section 140 is placed in thermal communication with an environment at a heat sink temperature, thereby helping permit temperature of the tube section 138 that is placed in thermal communication with the nuclear fission igniter 110 to equalize with the heat sink temperature. A “dog-leg” section 142 is interposed between the tube section 138 and the heat sink section 140. Any working fluid can be used as desired for a particular application, depending upon temperature conditions. For example and without limitation, the working fluid can include water, lithium, sodium, mercury, or the like. A capillary structure (not shown for purposes of clarity) such as a wick can be made of any suitable porous material, such as without limitation metal foams or felts made from steel, aluminum, nickel, copper, or the like. In another embodiment referring now to FIG. 5C, the decay heat removal device 136 can include a coolant loop. A tube section 144 is placed in thermal communication with the nuclear fission igniter 110. In some embodiments, the tube section 144 is held in place in the channels 116, such as without limitation by friction fit. A heat sink section 146 is placed in thermal communication with an environment at a heat sink temperature. Heat is transferred from the nuclear fission igniter 110 to coolant in the tube section 144, which in some embodiments rises via natural circulation to the heat sink section 146 where heat is transferred from the coolant to the environment at the heat sink temperature. After heat transfer to the environment at the heat sink temperature, in some embodiments the coolant returns via natural circulation to the tube section 144. In some other embodiments, the coolant is pumped with a suitable coolant pump (not shown) instead of being circulated via natural circulation. A “dog-leg” section 148 is interposed between the tube section 144 and the heat sink section 146. Any suitable fluid can be used as desired for a particular application, depending upon temperature conditions. For example and without limitation, the coolant can include water or the like. Referring now to FIGS. 5D-5E, after the decay heat removal device 136 has been placed in thermal communication with the nuclear fission igniter 110, the nuclear fission igniter 110 and the decay heat removal device 136 can be placed in a transport assembly 150 for transport, such as transport to one or more nuclear fission deflagration wave reactor core assemblies (not shown). An illustrative embodiment of the transport assembly 150 shares many components in common with the transport assembly 120 (FIGS. 4A-4E). Like reference numbers are used to refer to like components, and details need not be repeated. A decay heat removal passage 152 is defined in the housing body 122 between a port defined in an interior of the housing body 122 and a port defined in an exterior of the housing body 122. The decay heat removal passage 152 is located and sized to receive therein, such as without limitation by friction fit, the dog-leg section 142 (FIGS. 5A and 5B) or the dog-leg section 148 (FIG. 5C). The decay heat removal passage 152 thus laterally and longitudinally spaces apart openings in the interior of the housing body 122 and openings in the exterior of the housing body 122. As a result, the decay heat removal passage 152 is shaped to mitigate a straight line path for decay products from the interior of the housing body 122 to the exterior of the housing body 122. Details of other features of construction and operation of the transport assembly 152 are the same as those set forth above for the transport assembly 120 (FIGS. 4A-4E) and need not be repeated for an understanding. Illustrative Methods Illustrative methods associated with embodiments of the nuclear fission igniter 110 will now be described. Referring to FIGS. 6A-6C, illustrative methods are provided for housing a nuclear fission igniter. Referring now to FIG. 6A, an illustrative method 160 for housing a nuclear fission igniter starts at a block 162. At a block 164, at least one nuclear fission igniter for a nuclear fission deflagration wave reactor is housed in at least one cavity of a housing. The nuclear fission igniter suitably may be one or more of the nuclear fission igniters 110 described above. That is, the nuclear fission igniter includes a portion of nuclear fission fuel material insertable in a nuclear fission deflagration wave reactor, wherein the portion of nuclear fuel material has a keffective less than 1 when the nuclear fission igniter is outside a nuclear fission deflagration wave reactor and the portion of nuclear fuel material is arranged to establish a keffective of at least 1 when the nuclear fission igniter is installed in a nuclear fission deflagration wave reactor. The cavity may be one or more of the cavities 26 defined in the housing body 122 of the transport assembly 120, as described above. At a block 166 the at least one nuclear fission igniter is shielded. The nuclear fission igniter may be shielded in any manner as desired. For example, shielding may be applied to an exterior of the nuclear fission igniter. As another example, the housing may already be shielded and thus housing the nuclear fission igniter also results in shielding the nuclear fission igniter. As a further example, shielding may be applied to the housing after the nuclear fission igniter has been housed in the housing. In some embodiments, the shielding may shield against neutrons. In other embodiments, the shielding may shield against radiation, such as α, β, and/or γ radiation. The method 160 stops at a block 168. Referring now to FIG. 6B, an illustrative method 170 for housing a nuclear fission igniter starts at a block 172. The method 170 includes the block 164, at which at least one nuclear fission igniter for a nuclear fission deflagration wave reactor is housed in at least one cavity of a housing. The method 170 also includes the block 166 at which the at least one nuclear fission igniter is shielded. At a block 174 the at least one housed nuclear fission igniter is transported to at least one nuclear fission deflagration wave reactor core. In some embodiments, one or more nuclear fission igniters may be transported to one nuclear fission deflagration wave reactor core. In some other embodiments, one or more nuclear fission igniters may be transported to more than one nuclear fission deflagration wave reactor cores. In one of these other embodiments, one nuclear fission igniter may be transported to more than one nuclear fission deflagration wave reactor cores. In such a case, the one nuclear fission igniter may be transported to one nuclear fission deflagration wave reactor core and used to initiate a nuclear fission deflagration wave, removed from the started-up nuclear fission deflagration wave reactor core, and transported to another nuclear fission deflagration wave reactor core where the nuclear fission igniter can be used to initiate a nuclear fission deflagration wave, and so on as desired. In such a case, decay heat may be removed from the nuclear fission igniter (as discussed above) during transport after the nuclear fission igniter has been used to initiate a nuclear fission deflagration wave. The method 170 stops at a block 176. Referring now to FIG. 6C, an illustrative method 180 for housing a nuclear fission igniter starts at a block 182. The method 180 includes the block 164, at which at least one nuclear fission igniter for a nuclear fission deflagration wave reactor is housed in at least one cavity of a housing. The method 180 also includes the block 166 at which the at least one nuclear fission igniter is shielded. At a block 184 decay heat is removed from the nuclear fission igniter. For example, decay heat may be removed from a nuclear fission igniter (as discussed above) during transport after the nuclear fission igniter has been used to initiate a nuclear fission deflagration wave, as discussed above. As another example, decay heat may be removed from a nuclear fission igniter that has not yet been used to initiate a nuclear fission deflagration wave but that includes nuclear fission fuel material that includes at least some components that have previously undergone neutron-mediated nuclear fission (also referred to herein as having been previously “burnt”). The method 180 stops at a block 186. Referring now to FIGS. 7A-7D, illustrative methods are provided for placing a nuclear fission igniter in a nuclear fission deflagration wave reactor. Referring now to FIG. 7A, an illustrative method 190 starts at a block 192. At a block 194, at least one nuclear fission igniter is placed in at least one nuclear fission deflagration wave reactor core. The nuclear fission igniter suitably may be one or more of the nuclear fission igniters 110 described above. That is, the nuclear fission igniter includes a portion of nuclear fission fuel material insertable in a nuclear fission deflagration wave reactor, wherein the portion of nuclear fuel material has a keffective less than 1 when the nuclear fission igniter is outside a nuclear fission deflagration wave reactor and the portion of nuclear fuel material is arranged to establish a keffective of at least 1 when the nuclear fission igniter is installed in a nuclear fission deflagration wave reactor. In some embodiments, one nuclear fission igniter is placed in one nuclear fission deflagration wave reactor core. In some other embodiments, more than one nuclear fission igniter is placed in one nuclear fission deflagration wave reactor core. In further embodiments, more than one nuclear fission igniters are placed in more than one nuclear fission deflagration wave reactor cores. In some embodiments and as described above, channels defined on an outer surface of the portion of nuclear fission fuel material are mated with coolant channels defined in the nuclear fission deflagration wave reactor core. However, in some other embodiments, one or more transport assemblies that house one or more nuclear fission igniters are placed in a nuclear fission deflagration wave reactor core. The method 190 stops at a block 196. Referring now to FIG. 7B, an illustrative method 200 starts at a block 202. The method 200 includes the block 194, at which at least one nuclear fission igniter is placed in at least one nuclear fission deflagration wave reactor core. At a block 204 nuclear shielding material is removed from the at least one nuclear fission igniter. As discussed above, in one example the nuclear shielding material may be removed from an interior and/or exterior of the nuclear fission igniter. As another example, a housing (such as a transport assembly) may have been shielded and thus removing the nuclear fission igniter from the housing also results in removing the nuclear shielding material from the nuclear fission igniter. As discussed above, in some embodiments, the nuclear shielding material may shield against neutrons and in other embodiments the nuclear shielding shielding may shield against radiation, such as α, β, and/or γ radiation. The method 200 stops at a block 206. Referring now to FIG. 7C, an illustrative method 210 starts at a block 212. The method 210 includes the block 194, at which at least one nuclear fission igniter is placed in at least one nuclear fission deflagration wave reactor core. At a block 214 at least one decay heat removal device is removed from the at least one nuclear fission igniter. For example and as described above, the decay heat removal device may be a heat pipe, or a coolant loop, or the like. As discussed above, the decay heat removal device may have been used to remove decay heat from a nuclear fission igniter during transport after the nuclear fission igniter has been used to initiate a nuclear fission deflagration wave. As also discussed above, the decay heat removal device may have been used to remove decay heat from a nuclear fission igniter that has not yet been used to initiate a nuclear fission deflagration wave but that includes nuclear fission fuel material that includes at least some components that have previously undergone neutron-mediated nuclear fission (also referred to herein as having been previously “burnt”). The method 210 stops at a block 216. Referring now to FIG. 7D, an illustrative method 220 starts at a block 222. The method 220 includes the block 194, at which at least one nuclear fission igniter is placed in at least one nuclear fission deflagration wave reactor core. At a block 224 the at least one nuclear fission igniter is removed from a housing body, such as a transport assembly as described above. The method 220 stops at a block 226. Referring now to FIGS. 8A-8B, illustrative methods are provided for initiating at least one nuclear fission deflagration wave. Referring now to FIG. 8A, an illustrative method 230 starts at a block 232. At a block 234 at least one nuclear fission deflagration wave is initiated in at least one nuclear fission reactor core having first nuclear fuel material with at least one insertable nuclear fission igniter having second nuclear fuel material. Initiation of the nuclear fission deflagration wave can be accomplished in part by action of any one of or a combination of modalities as described above, as desired. In some embodiments the at least one nuclear fission deflagration wave can be initiated in part by removing neutron absorbing material from the first nuclear fission fuel material and/or the second nuclear fission fuel material. In some other embodiments the at least one nuclear fission deflagration wave can be initiated in part by adding neutron moderating material to the first nuclear fission fuel material and/or the second nuclear fission fuel material. In some other embodiments the at least one nuclear fission deflagration wave can be initiated in part by adding neutron reflecting material to the first nuclear fission fuel material and/or the second nuclear fission fuel material. In some other embodiments the at least one nuclear fission deflagration wave can be initiated in part by adding neutron multiplicative material to the first nuclear fission fuel material and/or the second nuclear fission fuel material. In some embodiments, initiating the nuclear fission deflagration wave entails providing neutrons from the nuclear fission igniter to fertile material in the first nuclear fission fuel material. The method 230 stops at a block 236. Referring now to FIG. 8B, an illustrative method 240 starts at a block 242. At a block 244 at least one nuclear fission igniter is inserted in the at least one nuclear fission deflagration wave reactor core. The method 240 includes the block 234, at which at least one nuclear fission deflagration wave is initiated in at least one nuclear fission reactor core having first nuclear fuel material with at least one insertable nuclear fission igniter having second nuclear fuel material. In some embodiments, more than one nuclear fission igniters are inserted in one nuclear fission deflagration wave reactor core. In these embodiments, more than one nuclear fission deflagration waves can be initiated in the one nuclear fission deflagration wave reactor core. In some other embodiments, at least one nuclear fission igniter is inserted in each of more than one nuclear fission deflagration wave reactor cores. In these other embodiments, at least one nuclear fission deflagration wave can be initiated in each of the nuclear fission deflagration wave reactor cores. The method 240 stops at a block 246. Referring now to FIGS. 6A-6C, 7A-7D, and 8A-8B, the processing blocks may be performed in any temporal order as desired. No limitation whatsoever is intended regarding temporal ordering of the processing blocks. To that end, processing blocks may be performed in any serial ordering (that is, one after another) as desired and processing blocks also may be performed in parallel (that is, simultaneously) as desired. Processes performed at the processing blocks may be performed by an operator when conditions permit, such as operations performed outside a reactor compartment or inside a reactor compartment after reactor shutdown with the reactor cooled down and depressurized. Alternately, processes performed at the processing blocks may be performed by a machine or a robot controlled remotely by an operator during any reactor condition and in any location. Likewise, processes performed at the processing blocks may be performed autonomously by a machine or a robot during any reactor condition and in any location. While a number of illustrative embodiments and aspects have been illustrated and discussed above, those of skill in the art will recognize certain modifications, permutations, additions, and sub-combinations thereof. It is therefore intended that the following appended claims and claims hereafter introduced are interpreted to include all such modifications, permutations, additions, and sub-combinations as are within their true spirit and scope.
050849093
claims
1. A method of processing a gemstone to enhance its color comprising: heating the gemstone to a temperature of between about 150 and 1,100 degrees Centigrade for a period of between about fifteen minutes and fifty hours; and then irradiating the gemstone with gamma rays to give a total exposure of between about 200 and 10,000 megarads. irradiating the topaz stone with neutrons; heating the topaz stone to a temperature of between about 300 and 600 degrees Centigrade; and irradiating the gemstone with about 3 to 25 MEV gamma rays to give a total exposure of between about 200 and 10,000 megarads. 2. A method according to claim 1 wherein the gemstone is topaz previously irradiated with neutrons, and the topaz is heated to a temperature of between about 300 and 600 degrees centigrade prior to irradiation with about 3 to 25 MEV gamma rays. 3. A method according to claim 1 and further comprising the step of heating the gemstone after gamma ray irradiation thereof to a temperature between about 160 and 1,100 degrees centigrade for a period of between about fifteen minutes and fifty hours. 4. A method according to claim 1 wherein the gamma rays have a flux between about 0.5 MEV and 30 MEV. 5. A method according to claim 1 and further comprising the step of irradiating the gemstone with neutrons prior to gamma ray irradiation. 6. A method according t claim 5 and further comprising the step of irradiating the gemstone with electrons after the neutron irradiation and prior to the gamma ray irradiation. 7. A method according to claim 5 wherein the neutron irradiation is between about 1,000 and 10,000 megarads. 8. A method according to claim 6 wherein the electrons are provided in the form of a beam having a flux of between about 8 and 17 MEV. 9. A method according to claim 1 wherein the gemstone is selected from the group consisting of topaz, beryl, tourmaline, quartz and diamond. 10. A method of processing a topaz stone to enhance its color comprising: 11. A method according to claim 10 wherein the heating takes place for a period of between about fifteen minutes and fifty hours. 12. A method according to claim 10 and further comprising the step of heating the topaz after gamma ray irradiation thereof to a temperature between about 160 and 1,100 degrees Centigrade for a period of between about fifteen minutes and fifty hours. 13. A method according to claim 10 and further comprising the step of irradiating the topaz stone with electrons after the neutron irradiation and prior to the gamma ray irradiation. 14. A method according to claim 13 wherein the electrons are provided in the form of a beam having a flux of between about 8 and 17 MEV. 15. A method according to claim 10 wherein the neutron irradiation is between about 1,000 and 10,000 megarads.
claims
1. A compound represented by Chemical Formula 1:wherein, in Chemical Formula 1,X1 is one of S, Se, Te, O, S(═O), S(═O)2, NRa1, SiRb1Rc1, or GeRd1Re1, wherein Ra1, Rb1, Rc1, Rd1, and Re1 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,Ar is an aromatic ring group including N and X2, wherein X2 is one of S, Se, Te, S(═O), S(═O)2, N, NRa2, CRb2, CRc2Rd2, SiRe2Rf2, or GeRg2Rh2, and Ra2, Rb2, Rc2, Rd2, Re2, Rf2, Rg2, and Rh2 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R1 to R3 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof, wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andY is a functional group represented by Chemical Formula 2A,wherein, in Chemical Formula 2A,Ar1 and Ar2 are independently one of a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, or an unsubstituted C3 to C30 heteroaryl group. 2. The compound of claim 1, wherein in Chemical Formula 1, Ar is represented by one of the structures in Chemical Formula 3A:wherein, in Chemical Formula 3A,X2a is one of S, Se, Te, S(═O), S(═O)2, NRa2, CRc2Rd2, SiRe2Rf2, or GeRg2Rh2, wherein, Ra2, Rc2, Rd2, Re2, Rf2, Rg2, and Rh2 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andR11 to R16 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, wherein R11 to R16 are independently present or an adjacent two thereof are linked with each other to provide a fused ring. 3. The compound of claim 1, wherein in Chemical Formula 1, Ar is represented by one of the structures in Chemical Formula 3B:wherein, in Chemical Formula 3B,X2b is one of N or CRb2, wherein, Rb2 is one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andR11 to R17 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, wherein R11 to R17 are independently present or an adjacent two thereof are linked with each other to provide a fused ring. 4. The compound of claim 1, wherein in Chemical Formula 1, Ar is represented by one of the structures in Chemical Formula 3C:wherein, in Chemical Formula 3C,X2b is N, andR11 to R17 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof, wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, wherein R11 to R17 are independently present or an adjacent two thereof are linked with each other to provide a fused ring. 5. The compound of claim 1, wherein in Chemical Formula 1, Ar is represented by one of the structures in Chemical Formula 3D:wherein, in Chemical Formula 3D,X2b is N, andR11 to R17 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, wherein R11 to R17 are independently present or an adjacent two thereof are linked with each other to provide a fused ring. 6. The compound of claim 1, wherein in Chemical Formula 1, Ar is represented by Chemical Formula 3E:wherein, in Chemical Formula 3E,R11 and R12 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group. 7. The compound of claim 1, wherein, in Chemical Formula 2A, at least one of Ar1 and Ar2 comprises a heteroatom selected from nitrogen (N), sulfur (S), and selenium (Se). 8. The compound of claim 1, wherein in Chemical Formula 1, Y is represented by Chemical Formula 2A-1 or Chemical Formula 2A-2:wherein, in Chemical Formula 2A-1,X3a, X3b, X3c, X4a, X4b, and X4c are independently one of N or CRa, wherein Ra is one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R21, R22, R23, and R24 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a halogen, a cyano group (—CN), a cyano-containing group, or a combination thereof, anda and b are independently an integer of 0 or 1,wherein, in Chemical Formula 2A-2,X3a, X3b, X4a, and X4b are independently one of N or CRa, wherein Ra is one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R21, R22, R23, R24, and R25 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a halogen, a cyano group (—CN), a cyano-containing group, or a combination thereof, anda and b are independently an integer of 0 or 1. 9. The compound of claim 1, wherein the compound is represented by one of the structures in Chemical Formula 4-1:wherein, in Chemical Formula 4-1,X1 is one of S, Se, Te, O, S(═O), S(═O)2, NRa1, SiRb1Rc1, or GeRd1Re1, wherein Ra1, Rb1, Rc1, Rd1, and Re1 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,X2 is one of S, Se, Te, S(═O), S(═O)2, N, NRa2, CRb2, CRc2Rd2, SiRe2Rf2, or GeRg2Rh2, wherein Ra2, Rb2, Rc2, Rd2, Re2, Rf2, Rg2, and Rh2 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R1 to R3 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof, wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R11 to R16 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, or a combination thereof, wherein R11 to R16 are independently present or an adjacent two thereof are linked with each other to provide a fused ring,R21, R22, R23, and R24 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a halogen, a cyano group (—CN), a cyano-containing group, or a combination thereof. 10. The compound of claim 1, wherein the compound has a maximum absorption wavelength (λmax) in a wavelength region of about 500 nm to about 560 nm. 11. The compound of claim 1, wherein the compound has a maximum absorption wavelength (λmax) in a wavelength region of about 510 nm to about 550 nm. 12. The compound of claim 1, wherein the compound exhibits a light absorption curve having a full width at half maximum (FWHM) of about 50 nm to about 120 nm, in a thin film state. 13. The compound of claim 1, wherein a deposition temperature at which 10 wt % of an initial weight of the compound is lost is greater than or equal to about 230° C. 14. An organic photoelectric device, comprising:a first electrode;a second electrode facing the first electrode; andan active layer between the first electrode and the second electrode,wherein the active layer includes the compound of claim 1. 15. An image sensor comprising:the organic photoelectric device of claim 14. 16. The image sensor of claim 15, further comprising:a semiconductor substrate integrated with a plurality of first photo-sensing devices configured to sense light in a blue wavelength region and a plurality of second photo-sensing devices configured to sense light in a red wavelength region,wherein the organic photoelectric device is on the semiconductor substrate and configured to selectively sense light in a green wavelength region. 17. The image sensor of claim 16, further comprising:a color filter layer between the semiconductor substrate and the organic photoelectric device, whereinthe color filter layer includes a blue filter and a red filter,the blue filter is configured to selectively transmit light in a blue wavelength region, andthe red filter is configured to selectively transmit light in a red wavelength region. 18. The image sensor of claim 16, wherein the first photo-sensing device and the second photo-sensing device are stacked in a vertical direction in the semiconductor substrate. 19. The image sensor of claim 15, further comprising:a blue photoelectric device;a red photoelectric device, whereinthe organic photoelectric device includes a green photoelectric device,the green photoelectric device, the blue photoelectric device, and the red photoelectric device are stacked,the green photoelectric device is configured to selectively sense light in a green wavelength region,the blue photoelectric device is configured to selectively sense light in a blue wavelength region, andthe red photoelectric device is configured to selectively sense light in a red wavelength region. 20. An electronic device comprising:the image sensor of claim 15. 21. A compound represented by Chemical Formula 1:wherein, in Chemical Formula 1,X1 is one of S, Se, Te, O, S(═O), S(═O)2, NRa1, SiRb1Rc1, or GeRd1Re1, wherein Ra1, Rb1, Rc1, Rd1, and Re1 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,R1 to R3 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof, wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andY is a functional group represented by Chemical Formula 2A,wherein, in Chemical Formula 2A,Ar1 and Ar2 are independently one of a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, or an unsubstituted C3 to C30 heteroaryl group,wherein, in Chemical Formula 1, Ar includes one of the structures represented by Chemical Formulae 3A to 3E,wherein, in Chemical Formula 3A,X2a is one of S, Se, Te, S(═O), S(═O)2, NRa2, CRc2Rd2, SiRe2Rf2, or GeRg2Rh2, wherein, Ra2, Rc2, Rd2, Re2, Rf2, Rg2, and Rh2 are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,wherein, in Chemical Formula 3B,X2b is one of N or CRb2, wherein, Rb2 is one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andwherein, in Chemical Formulae 3C and 3D,X2b is N, andwherein, in Chemical Formulae 3A to 3E,R11 to R17 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a substituted C2 to C30 acyl group, an unsubstituted C2 to C30 acyl group, a halogen, a cyano group (—CN), a cyano-containing group, a nitro group, —SiRaRbRc, or a combination thereof wherein Ra, Rb, and Rc are independently one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group, andwherein, in Chemical Formulae 3A to 3D,R11 to R17 are independently present or an adjacent two thereof are linked with each other to provide a fused ring. 22. The compound of claim 21, wherein,in Chemical Formula 2A, at least one of Ar1 and Ar2 comprises a heteroatom selected from nitrogen (N), sulfur (S), and selenium (Se). 23. The compound of claim 21, wherein in Chemical Formula 1, Y is represented by Chemical Formula 2A-1 or Chemical Formula 2A-2wherein, in Chemical Formula 2A-1 or Chemical Formula 2A-2,X3a, X3b, X3c, X4a, X4b, and X4c are independently one of N or CRa, wherein Ra is one of hydrogen, a substituted C1 to C10 alkyl group, or an unsubstituted C1 to C10 alkyl group,wherein, Chemical Formula 2A-1 or Chemical Formula 2A-2,R21 to R25 are independently one of hydrogen, deuterium, a substituted C1 to C30 alkyl group, an unsubstituted C1 to C30 alkyl group, a substituted C1 to C30 alkoxy group, an unsubstituted C1 to C30 alkoxy group, a substituted C6 to C30 aryl group, an unsubstituted C6 to C30 aryl group, a substituted C3 to C30 heteroaryl group, an unsubstituted C3 to C30 heteroaryl group, a halogen, a cyano group (—CN), a cyano-containing group, or a combination thereof,a and b are independently an integer of 0 or 1. 24. An organic photoelectric device, comprising:the compound of claim in an active layer;a first electrode; anda second electrode facing the first electrode, whereinthe active layer is between the first electrode and the second electrode. 25. An image sensor comprising:the organic photoelectric device of claim 2; anda substrate, whereinthe organic photoelectric device is on the substrate.
claims
1. A method of refueling a nuclear reactor comprising:an elongated reactor vessel enclosed at a lower end and having an open upper end on which an annular flange is formed and a central axis extending along an elongated dimension;a reactor vessel head having an annular portion on an underside of the head that is machined to form a sealing surface;a first removable annular seal ring, sized to seat on the reactor vessel flange between the flange and the sealing surface on the underside of the reactor vessel head, the seal ring being interposed between the sealing surface on the underside of the vessel head and the flange on the reactor vessel and having a thickness sized to sealably accommodate one or more radial passages through which one or more instrument conduits pass from outside of the reactor vessel to an interior thereof to communicate instrumentation signals out of a core of the nuclear reactor, the core comprising a plurality of fuel assemblies;an upper internals package supported above the core within the reactor vessel and having a plurality of hollow support columns respectively having a substantially vertical passage therethrough, that extends through and between an upper core plate and an upper support plate of the upper internals package, the passage through the upper core plate being aligned with a corresponding instrument thimble within one of the fuel assemblies; anda plurality of hollow tubes fixedly connected to the first removable annular seal ring, each of the hollow tubes being slidably mounted within the passage of one of the support columns with at least one of the instrument conduits extending axially through the hollow tube into the corresponding support column, the hollow tube being slidable within the support column between a fully inserted position and a fully extended position wherein in the fully inserted position the instrument conduit enters the instrument thimble and in the fully extended position the instrument conduit is withdrawn from the core;the method comprising the steps of:removing the reactor vessel head from the first removable annular seal ring;raising the first removable annular seal ring to an elevation that withdraws the instrument conduit from the core;withdrawing the upper internals package, including the first removable annular seal ring in the raised position, as a single unit, out of the reactor vessel to a storage location; andrefueling the core. 2. The method of claim 1 wherein the method of refueling the nuclear reactor after the refueling step includes the steps of:maintaining the first removable annular seal ring in the raised position;lowering the upper internals package into the reactor vessel;supporting the upper internals package above the core;lowering the first removable annular seal ring on top of the reactor vessel flange and simultaneously lowering the hollow tubes within the corresponding support columns to lower the instrument conduits into the corresponding instrument thimbles in the fuel assemblies; andreplacing the reactor vessel head. 3. The method of claim 2 wherein the first removable annular seal ring extends radially between an approximate extent of an outer wall of the reactor vessel and a wall of the upper internals package, including a second removable annular seal ring positioned below the first removable annular seal ring between the first removable seal ring and the reactor vessel flange and having substantially the same radial extent as the first removable seal ring, the second removable annular seal ring is fixedly connected to the wall of the upper internals package wherein the step of withdrawing the upper internals package includes the step of removing the second removable annular seal ring from the reactor vessel flange as part of the upper internals package.
048141364
claims
1. In a process for making an electron beam melted fuel element liner material from sponge zirconium, the improved process comprising: a. electron beam melting sponge zirconium to form an essentially aluminum-free zirconium material; and b. melting said essentially aluminum-free zirconium material in a vacuum arc furnace with an alloying charge, said alloying charge comprising 0.1-2.0 weight percent of at least one alloying element selected from the group consisting of tin and iron, to form an essentially aluminum-free zirconium alloy fuel element liner material. a. electron beam melting sponge zirconium to form an essentially aluminum-free zirconium material; and b. alloying said electron beam melted zirconium in a vacuum arc furnace with 0.1-2.0 weight percent of an alloying element selected from the group consisting of tin and iron, and 0.02-1.0 weight percent of at least one additional alloying element, said additional alloying element being selected from the group consisting of niobium, chromium, and molybdenum. a. electron beam melting sponge zirconium to form an electron beam melted zirconium material containing less than 400 ppm oxygen, and less than 5 ppm aluminum; and b. alloying said electron beam melted zirconium in a vacuum arc furnace with an alloying charge comprising 0.1-0.6 weight percent of tin and 0.03-0.30 weight percent iron. 2. The process of claim 1, wherein said alloying charge also contains 0.02-1.0 weight percent of at least one additional alloying element, said additional alloying element being selected from the group consisting of niobium, chromium, molybdenum, copper, and combinations thereof. 3. The process of claim 2, wherein said additional alloying element is selected from the group consisting of niobium and chromium. 4. A process for making an electron beam melted fuel element liner material from sponge zirconium, said process comprising: 5. The process of claim 4, wherein said additional alloying element is niobium. 6. A process for making an electron beam melted fuel element liner material from sponge zirconium, said process comprising: 7. The process of claim 6, wherein said alloy is done with 0.02-1.0 weight percent of at least one additional alloying element, said additional alloying element being selected from the group consisting of niobium, chromium, and molybdenum. 8. The process of claim 7, wherein said additional alloying element is niobium.
description
This application claims the benefit of U.S. Provisional Application No. 61/747,064, filed Dec. 28, 2012, which is incorporated herein by reference in its entirety. The present patent application relates to fuel assemblies and methods related to same. Disclosed embodiments include fuel ducts, fuel assemblies, methods of making and using same. The foregoing is a summary and thus may contain simplifications, generalizations, inclusions, and/or omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. In addition to any illustrative aspects, embodiments, and features described herein, further aspects, embodiments, and features will become apparent by reference to the drawings and the following detailed description. Other aspects, features, and advantages of the devices and/or processes and/or other subject matter described herein will become apparent in the teachings set forth. Introduction In the following detailed description, reference is made to the accompanying drawings, which form a part hereof. In the drawings, the use of similar or the same symbols in different drawings typically indicates similar or identical items, unless context dictates otherwise. The illustrative embodiments described in the detailed description, drawings, and claims are not meant to be limiting. Other embodiments may be utilized, and other changes may be made, without departing from the spirit or scope of the subject matter presented here. One skilled in the art will recognize that the herein described components (e.g., operations), devices, objects, and the discussion accompanying them are used as examples for the sake of conceptual clarity and that various configuration modifications are contemplated. Consequently, as used herein, the specific exemplars set forth and the accompanying discussion are intended to be representative of their more general classes. In general, use of any specific exemplar is intended to be representative of its class, and the non-inclusion of specific components (e.g., operations), devices, and objects should not be taken as limiting. The present application uses formal outline headings for clarity of presentation. However, it is to be understood that the outline headings are for presentation purposes, and that different types of subject matter may be discussed throughout the application (e.g., device(s)/structure(s) may be described under process(es)/operations heading(s) and/or process(es)/operations may be discussed under structure(s)/process(es) headings; and/or descriptions of single topics may span two or more topic headings). Hence, the use of the formal outline headings is not intended to be in any way limiting. Overview By way of overview, provided in one embodiment is a fuel assembly, the fuel assembly comprising: a fuel duct, including: a first hollow structure having a first cross-sectional geometry, and a second hollow structure having a second cross-sectional geometry, the second hollow structure disposed exterior to the first hollow structure, and the second cross-sectional geometry being different from the first cross-sectional geometry. Provided in another embodiment is a fuel assembly, the fuel assembly comprising: a fuel duct, including: a first hollow structure having at least one dimension that is changeable under stress, and a second hollow structure disposed exterior to the first hollow structure, the first hollow structure and the second hollow structure defining a space therebetween; the second hollow structure being adapted to distribute therethrough at least a portion of the stress of the first hollow structure. Provided in another embodiment is a fuel assembly, the fuel assembly comprising: a fuel, a plurality of fuel elements, and a plurality of fuel ducts having the plurality of fuel elements disposed therein, at least one of the plurality of the fuel ducts including: a first hollow structure having a first cross-sectional geometry, and a second hollow structure having a second cross-sectional geometry, the second hollow structure disposed exterior to the first hollow structure, and the second cross-sectional geometry being different from the first cross-sectional geometry. Provided in another embodiment is a method of making a fuel assembly, the method comprising: forming a first hollow structure adapted to change at least one dimension thereof under stress and a second hollow structure adapted to distribute therethrough at least a portion of the stress of the first hollow structure; disposing the first hollow structure interior to the second hollow structure to form a fuel duct such that a space is defined between the first hollow structure and the second hollow structure. Provided in another embodiment is a method of making a fuel assembly, comprising: forming a first hollow structure having a first cross-sectional geometry; forming a second hollow structure having a second cross-sectional geometry that is different from the first cross-sectional geometry; and disposing the first hollow structure interior to the second hollow structure to form a fuel duct. Provided in another embodiment is a method of using a fuel assembly, comprising: generating heat with a plurality of fuel elements disposed within a first hollow structure, the first hollow structure being disposed within a second hollow structure; subjecting the first hollow structure to stress; and distributing the stress of the first hollow structure through the second hollow structure. Fuel Assembly FIG. 1a provides a partial illustration of a nuclear fuel assembly 10 in accordance with one embodiment. The fuel assembly may be a fissile nuclear fuel assembly or a fertile nuclear fuel assembly. The assembly may include fuel elements (or “fuel rods” or “fuel pins”) 11. FIG. 1b provides a partial illustration of a fuel element 11 in accordance with one embodiment. As shown in this embodiment, the fuel element 11 may include a cladding material 13, a fuel 14, and, in some instances, at least one gap 15. A fuel may be sealed within a cavity by the exterior cladding material 13. In some instances, the multiple fuel materials may be stacked axially as shown in FIG. 1b, but this need not be the case. For example, a fuel element may contain only one fuel material. In one embodiment, gap(s) 15 may be present between the fuel material and the cladding material, though gap(s) need not be present. In one embodiment, the gap is filled with a pressurized atmosphere, such as a pressured helium atmosphere. A fuel may contain any fissionable material. A fissionable material may contain a metal and/or metal alloy. In one embodiment, the fuel may be a metal fuel. It can be appreciated that metal fuel may offer relatively high heavy metal loadings and excellent neutron economy, which is desirable for breed-and-burn process of a nuclear fission reactor. Depending on the application, fuel may include at least one element chosen from U, Th, Am, Np, and Pu. The term “element” as represented by a chemical symbol herein may refer to one that is found in the Periodic Table—this is not to be confused with the “element” of a “fuel element”. In one embodiment, the fuel may include at least about 90 wt % U—e.g., at least 95 wt %, 98 wt %, 99 wt %, 99.5 wt %, 99.9 wt %, 99.99 wt %, or higher of U. The fuel may further include a refractory material, which may include at least one element chosen from Nb, Mo, Ta, W, Re, Zr, V, Ti, Cr, Ru, Rh, Os, Ir, and Hf. In one embodiment, the fuel may include additional burnable poisons, such as boron, gadolinium, or indium. In one embodiment, the interior of the first hollow structure of the fuel duct may include a plurality of fuel elements. In one embodiment, the metal fuel may be alloyed with about 3 wt % to about 10 wt % zirconium to dimensionally stabilize the alloy during irradiation and to inhibit low-temperature eutectic and corrosion damage of the cladding. A sodium thermal bond fills the gap that exists between the alloy fuel and the inner wall of the clad tube to allow for fuel swelling and to provide efficient heat transfer, which may keep the fuel temperatures low. In one embodiment, individual fuel elements 11 may have a thin wire 12 from about 0.8 mm diameter to about 1.6 mm diameter helically wrapped around the circumference of the clad tubing to provide coolant space and mechanical separation of individual fuel elements 56 within the housing of the fuel assemblies 18 and 20 (that also serve as the coolant duct). In one embodiment, the cladding 13, and/or wire wrap 12 may be fabricated from ferritic-martensitic steel because of its irradiation performance as indicated by a body of empirical data. Fuel Element A “fuel element”, such as element 11 shown in FIGS. 1a-1b, in a fuel assembly of a power generating reactor may generally take the form of a cylindrical rod. The fuel element may be a part of a power generating reactor, which is a part of a nuclear power plant. Depending on the application, the fuel element may have any suitable dimensions with respect to its length and diameter. The fuel element may include a cladding layer 13 and a fuel 14 disposed interior to the cladding layer 13. In the case of a nuclear reactor, the fuel may contain (or be) a nuclear fuel. In one embodiment, the nuclear fuel may be an annular nuclear fuel. The fuel element may additionally include a liner disposed between the nuclear fuel 14 and the cladding layer 13. The liner may contain multiple layers. The fuel may have any geometry. In one embodiment, the fuel has an annular geometry. In such an embodiment, a fuel in an annular form may allow a desirable level of fuel density to be achieved after a certain level of burn-up. Also, such an annular configuration may maintain compressive forces between the fuel and the cladding to promote thermal transport. The fuel may be tailored to have various properties, depending on the application. For example, the fuel may have any level of density. In one embodiment, it is desirable to have a high density of fuel, such as one as close to theoretical density uranium (in the case of a fuel containing uranium) as possible. In another embodiment, having a high porosity (low density) may prevent formation of additional internal voids during irradiation, decreasing fuel pressure on structural material, such as cladding, during operation of the nuclear fuel. The cladding material for the cladding layer 13 may include any suitable material, depending on the application. In one embodiment, the cladding layer 13 may include at least one material chosen from a metal, a metal alloy, and a ceramic. In one embodiment, the cladding layer 13 may contain a refractory material, such as a refractory metal including at least one element chosen from Nb, Mo, Ta, W, Re, Zr, V, Ti, Cr, Ru, Rh, Os, Ir, Nd, and Hf. In another embodiment, the cladding material may be chosen from a ceramic material, such as silicon carbide or aluminum oxide (alumina). A metal alloy in cladding layer 13 may be, in one exemplary embodiment, steel. The steel may be chosen from an austenitic steel, a ferritic-martensitic steel, an oxide-dispersed steel, T91 steel, T92 steel, HT9 steel, 316 steel, and 304 steel. The steel may have any type of microstructure. For example, the steel may include at least one of a martensite phase, a ferrite phase, and an austenite phase. In one embodiment, substantially all of the steel has at least one phase chosen from a martensite phase, a ferrite phase, and an austenite phase. Depending on the application, the microstructure may be tailored to have a particular phase (or phases). The cladding layer 13 may include an iron-based composition as described below. At least some of the components of the fuel elements may be bonded. The bonding may be physical (e.g., mechanical) or chemical. In one embodiment, the nuclear fuel and the cladding are mechanically bonded. In one embodiment, the first layer and the second layer are mechanically bonded. Stress Distribution In one aspect, the various structural components of the fuel assembly described herein may work together to distribute stress. The stress may refer to bending stress, tensile stress, axial stress, compressive stress, hoop stress, or combinations thereof. The stress may arise from the interior of the fuel assembly, such as the pressure of the gas and/or coolant in the interior of the duct, which gas has a tendency to create a pressure pushing outward. Referring to FIGS. 2a-2b, the pressure differential between the interior 21 and exterior 22 of a fuel duct 20 may create a driving force that causes the wall of the fuel duct 20 to stretch—i.e. to be in tension. The pressure differential may drive both thermally induced creep and radiation induced creep (see FIG. 2a vs. FIG. 2b for non-creeping structure and creeping structure, respectively) in a pre-existing duct design. Bulk swelling of structural materials in region 23 in nuclear reactors may also occur. The swelling may be independent of coolant pressure and can lead to bending stresses within the assembly structure. A further component of internal stress can be due to swelling of the fuel element bundles, which may also exert force on the assembly walls. Referring to FIG. 3a, current assemblies, such as those used in liquid metal cooled fast reactors, use a single walled, hexagonal fuel duct 31 to house wire-wrapped fuel elements. One current method to limit distortion is to make thicker walled hex-ducts. However, this may increase the ratio of structural material to fuel within a reactor core, decreasing a reactor's neutron economy and increasing the cost and weight of the assembly. Ducts with 12-sides have also been considered in current designs, shown as 32 in FIG. 3b. Twelve-sided ducts 32 have a decreased side length and increased side-to-side internal angle. Such a design decreases the bending stress in the duct and therefore decreases the distortion. However, configuration of these 12-sided assemblies into their most compact lattice configuration (dodeca-cell packing as opposed to hex-cell packing; see FIG. 3b) may leave interstitial spaces 301, which need to be filled with coolant or fuel. In the former case, the ratio of coolant to fuel increases. In the latter case, multiple assembly types are needed for the reactor, increasing costs and fuel management complexity. Thus, none of these current approaches is desirable. The fuel assemblies described herein overcome these challenges. Fuel Duct Configuration Another aspect of the embodiments described herein is related to a structural component of a fuel assembly or the assembly itself. For example, one embodiment is related to a fuel duct 16 of a fuel assembly, as shown in FIG. 1a. Referring to FIG. 4, the fuel duct in accordance with one embodiment may include a first hollow structure 401 having a first cross-sectional geometry and a second hollow structure 402 having a second cross-sectional geometry. The second hollow structure may be disposed exterior or interior to the first hollow structure—FIG. 4 illustrates the former scenario. In one embodiment, the second cross-sectional geometry is different from the first cross-sectional geometry. In another embodiment, the second cross-sectional geometry is at least substantially the same as the first cross-sectional geometry. “Substantially the same” geometry in one embodiment herein may refer to the same geometry but with very small variations, such as a (slightly) blunt edge (instead of a sharp edge) or a side including at least some curvature. In another embodiment, the second cross-sectional geometry is the same as the first cross-sectional geometry. The terms “first,” “second,” “third,” etc., herein merely denote separate entities, and the order of these entities may be changed. Thus, the association between the numbers and the entities are not limiting. In some embodiments, the hollow structure may be referred to as a “duct,” as that in a “multi-ducted” configuration. The term “geometry” herein may refer to the shape and/or size of a material. For example, the structure described herein may have a cross-sectional area having a shape including (or of) a polygon having a plurality of sides (or edges), a circle, or an irregular shape. A polygon may be a triangle, square, rectangle, pentagon, hexagon, heptagon, octagon, enneagon, decagon, hendecagon, dodecagon, tridecagon, tetradecagon, pentadecagon, or other geometries having more sides. A circular cross-sectional area herein may also refer to an elliptical cross-sectional area. Thus, depending on the cross-sectional area, the structure in a three-dimensional sense may be a cube (or more sides), cylinder, etc. In some embodiments, the interior (relative to the second structure) first hollow structure and the exterior (relative to the first structure) second hollow structure may each include a polygon as their respective cross-sectional geometries. In one embodiment, the first cross-sectional geometry may include a polygon having more sides than the second cross-sectional geometry. In another embodiment, first cross-sectional geometry may include a polygon having the same number of sides as the second cross-sectional geometry. In another embodiment, the first cross-sectional geometry may include a polygon having fewer sides than the second cross-sectional geometry. In the case wherein the first and the second hollow structures have polygonal cross-sectional areas, the areas may have any of the aforementioned polygonal geometries. In one embodiment, the first cross-sectional geometry may include a dodecagon. In one embodiment, the second cross-sectional geometry may include a hexagon. In one embodiment where the first cross-sectional geometry may include a polygon having more sides than the second cross-sectional geometry, the first cross-sectional geometry may include a dodecagon and the second cross-sectional geometry may include a hexagon. In an alternative embodiment, the first cross-sectional geometry may include an octagon and the second cross-sectional geometry may include a square. In another embodiment, the first cross-sectional geometry may include a circle and the second cross-sectional geometry may include an octagon. In an alternative embodiment, the first cross-sectional geometry may include a polygon having fewer sides than the second cross-sectional geometry—e.g., the first cross-sectional geometry includes a hexagon and the second cross-sectional geometry includes an octagon. The hollow structures of the fuel assembly may have the same thickness or different thicknesses. The thickness need not be limited to any particular value and may vary depending on the application. For example, the thickness of the first hollow structure and/or the second hollow structure may be between about 0.1 mm and about 20 mm—e.g., between about 0.2 mm and about 15 mm, between about 0.3 mm and about 10 mm, between about 0.5 mm and about 5 mm, between 1 mm and about 3 mm, etc. The thickness of the first and/or second hollow structures may be uniform along the circumference of their respective cross-sectional geometries, though it need not be. In one embodiment, the at least one of the first hollow structure and the second hollow structure has a wall thickness varying along at least a portion of the respective circumferences of the first and second cross-sectional geometries. In some embodiments, a change in the thickness along a side or multiple sides may result in a change of curvature. As a result, as described above, a polygon with varying thicknesses and/or curvature along its different sides may become not a hexagon but be still substantially the same as a polygon geometry. The change of thickness and/or curvature may be optimized for different purposes—e.g., dilation performance. The hollow structures of the fuel assembly may have the same chemical composition or different chemical compositions. In some embodiments, the first and/or second hollow structures may include at least one material chosen from a Zr-based alloy, a Fe-based alloy, a ceramic, a refractory metal, a refractory alloy, and a composite material. The ceramic may be a carbide (e.g., silicon carbide), nitride, oxynitride, etc. For example, the first and/or second hollow structures may include a Fe-based alloy, including steel. The steel may be chosen from at least one of ferritic steel, martensitic steel, ferritic martensitic steel, and non-ferritic steel. Other materials that are suitable in a radiation environment may be used. As shown in FIG. 4, the interior 411 of the first hollow structure may be sealed from outside of the first hollow structure. In one embodiment, space 411 in the sealed inner first hollow structure may contain at least one coolant in the interior space. The coolant may be disposed in a space 412 defined between the first hollow structure and the second hollow structure. In one embodiment, the inner first hollow structure is sealed such that it is full of coolant or contains a fluid or material that is distinct from the coolant. The fluid may be one having desirable neutron properties—e.g., multiplying, absorbing, or effectively transparent to radiation. In one embodiment, the inner space 411 may be substantially empty, such that any neutronic effect may be minimized. In another embodiment, the interior space 411 of the first hollow structure is substantially free of coolant. The space 411 may also be used to house instruments for both testing within the reactor and observation of normal and non-normal operating conditions, as well as devices to control the reactor, or to provide desired reactivity feedback, as described above. Alternatively, the interior may be exposed to the outside of the first hollow structure. The interior of the first hollow structure may be empty or may include certain materials. For example, at least one coolant may be disposed in the interior of the first hollow structure. The coolant may be any suitable coolant, depending on the application. For example, the coolant may include sodium. The space 412 defined by the first hollow structure and the second hollow structure may be empty; alternatively, additional elements may be present in the space. The space 412 may be defined by an outer wall 413 of the first hollow structure and an inner wall 414 of the second hollow structure. For example, in the space 412 may be a coolant, which may be any of the aforedescribed coolants. Alternatively (and additionally), in the space there may be at least one structural member as aforedescribed. In another embodiment, in the space 412 there may be at least one instrument, which may be configured to test, observe and provide feedback regarding operation conditions (e.g., of the fuel assembly). The instrument may be the same as or different from that employed in the interior space of the hollow structure as described above. In one embodiment, in the fuel duct the first hollow structure may have at least one dimension that is changeable under stress. Depending on the geometry of the first hollow structure, the dimension may refer to width, length, diameter, etc. The change in dimension may refer to, for example, expansion thereof. In one embodiment, the second hollow structure is adapted to distribute therethrough at least a portion of the stress of the first hollow structure. The first hollow structure may be adapted to expand radially outwards under stress such that at least a portion of the first hollow structure physically contacts the second hollow structure. In some cases, expansion need not happen. For example, the first hollow structure may substantially maintain at least one of its dimensions (such as all of its dimensions) and geometry under stress. In one embodiment, the first hollow structure is adapted to change at least one dimension thereof under stress; and the second hollow structure is adapted to distribute at least some of the stress of the first hollow structure. In another embodiment, the first hollow structure does not change its dimension and/or geometry under stress, and yet the second hollow structure may distribute at least some of the stress. The second hollow structure may distribute at least some of the stress of the first structure with a minimal amount of change (such as no change) of its dimension and/or geometry. In one embodiment, the second hollow structure is configured to substantially maintain at least one of its dimensions (such as all dimensions) and geometry during distribution therethrough of the stress of the first hollow structure. When the first hollow structure is not subjected to any stress, particularly that arising from the pressure in the interior thereof, the first hollow structure need not be in physical contact with the second exterior hollow structure (as shown in FIG. 4), although it may be. In one embodiment, when under stress the first hollow structure may be adapted to expand outwards until at least a portion thereof is in physical contact with the second hollow structure to distribute the stress. The second hollow structure may be designed and/or configured to distribute the stress without having to change its dimension and/or geometry. In one embodiment, the stress may be (but need not be) uniformly distributed among the different sides of the second hollow structure. Structural Members Referring to FIG. 5, the interior 503 of the first hollow structure 501 may include structural members 502. The interior of a first hollow structure space 503 may also be compartmentalized, such as compartmentalized axially. In one embodiment, the axial compartmentalization may be accomplished with a reflector below the fuel column, a void along the length of the fuel column, then coolant above the fluid column. The structural members may be positioned in any way that suits the purpose of the application. For example, one structural member may couple to a point of a first side of the inner first hollow structure to a point of a second side opposite to the first side, as shown in FIG. 5. The point may be any point on the side, such as a mid-point. In one embodiment, the structural member may couple to one corner (instead of to a side) of the first hollow structure to another corner (not shown). The term “couple to” herein may refer to being in contact, such as physical contact (e.g., mechanical coupling). In some other embodiments, the contact may refer to other types of contacts, such as thermal contact, electrical contact, etc. For example, two items being coupled to each other in one embodiment may refer to these two items being connected to each other by physical contact either directly or indirectly (via a third item). These structural members in the interior of the first hollow structure may be (or act as) tensioning structural members. In one embodiment, the outward force due to coolant internal pressure may be at least partially balanced by tension within these internal structural members as shown in FIG. 5. As a result, this configuration may reduce the distortion of the outer hollow structure (or “duct”) by decreasing both normal and bending stresses. In one embodiment, as shown in FIG. 4, the first hollow structure 401 and the second hollow structure 402 may be spaced apart from each other by a space 412 and not in contact with each other at all. In other words, the first hollow structure and the second hollow structure define a space 412 therebetween in this embodiment. Alternatively, at least a portion of the first hollow structure may be coupled to a portion of the second hollow structure. For example, referring to FIG. 6a, the first hollow structure 601 and second hollow structures 602 may contain space 612 therebetween, while the two structures are in contact with one another. These structural members may be the same or different from the internal structural members in the interior of some first inner hollow structure as described above. FIG. 6b provides an illustration of a fuel duct having a plurality of structural members 603 in the space 612 between the inner hollow structure 401 and the outer hollow structure 602 in one embodiment. In this embodiment, the structural members each couples at a point on a side of (an outer wall of) the inner hollow structure to an (inner) corner of the outer hollow structure. The point may be a mid-point or may be anywhere on the side. The structural member may be placed perpendicularly to the side (as shown in the Figure) but need not be. For example, the structural member may be placed at an angle. At least one instrument may be disposed in the interior of the first hollow structure. The instrument(s) may be configured to perform at least one function chosen from testing, observing, and providing feedback regarding operation conditions. The conditions may refer to the conditions of any portion of the fuel assembly, including the fuel duct or any portion thereof. The instrument may include a device, such as a sensor device. The instrument may alternatively include a reflector. In one embodiment, the instrument may include a reactivity feedback device, a control element, or both. For example, the instrument may include a control-rod device, a lithium expansion module (LEM), an absorption insertion module (AIM), gas expansion module (GEM), etc. The contact may be accomplished by the sides of the first and second hollow structures being in physical contact (FIG. 6a) and/or via separate structural components 603 (FIGS. 6b-6d). In the latter, the two hollow structures may be coupled to each other via their sides (FIGS. 6b-6c) or solely by connecting by at least one structural member (FIG. 6d). Structural members need not be present on all sides or corners of the hollow structures, though they may be. As shown in, for example, FIGS. 6c-6d, only some of the sides and corners are connected by structural members. The structural member may include (or be), for example, a strut. The structural members may be disposed in the space defined between the first hollow structure and the second hollow structure and physically coupling the first hollow structure and the second structure. Structural members are not always needed. For example, in one embodiment, structural members between the inner and outer hollow structures may be removed to remove substantially all tensile stresses on the outer hollow structure. In one embodiment, the outer hollow structure may be engineered to accommodate dimensional changes due to void swelling, so that the spaces between fuel assemblies are minimized. In some embodiments, the inner and outer hollow structures may share at least one common face (or side if viewed in one-dimension), as shown in FIGS. 6a-6c. The structural member may be made of, or include, any suitable materials. For example, the structural member may be chosen from at least one of a metal, metal alloy, ceramic, and polymer. The structural member may include the same composition as or different composition from the first and/or second hollow structure. Depending on the size of the space between the first and the second hollow structures, the structural members may be of various sizes. For example, the structural member may have a diameter that is smaller than, the same as, or greater than that of the thickness of the first and/or second hollow structure. Penetrations The first hollow structure and/or the second hollow structure may include penetrations to allow fluid (e.g., coolants) to flow to facilitate removal of heat to maintain thermal conditions. For example, in an example where the fuel assembly is compartmentalized axially, penetrations may allow a coolant to enter the space above the fuel column between the first and second hollow structures. Any of the boundaries of these compartments may be designed to have a change in properties in response to some external condition. For example, one can have fusible plugs that allow a voided space to become filled with coolant or other material if a certain temperature is exceeded. FIGS. 7a-7d illustrate different phenomena associated with penetrations in the inner duct 710 and outer duct 720 in one embodiment. FIG. 7a provides an illustration of the pressure profile in one embodiment. FIG. 7b illustrates the bypass of fueled region 702 by coolant through the ‘voided’ portion 701 of the duct; this may take place when additional static pressure is needed outside of the inner portion of the assembly to distribute stress. FIG. 7c illustrates bypass of the above-fueled region 703 by coolant through the voided portion of duct; the above-fueled portion may produce significantly less heat so that the coolant flow rate through the middle of the channel may be reduced. FIG. 7c illustrates bypass of fueled region by coolant through the voided portion of duct with flow back into region above the fuel. FIG. 7d illustrates that coolant flow may be bypassed around the fueled region by letting some fluid escape from the assembly completely. The load pad 730 is also shown in the figure. This can be done to increase static pressure around the entirety of the ducts (in a case where there are multiple fuel assemblies). Flow exiting the assembly will have to squeeze between neighboring ducts which increases pressure, as shown in FIG. 7d. Power Generation As described above, the fuel assemblies described herein may be a part of a power or energy generator, which may be a part of a power generating plant. The fuel assembly may be a nuclear fuel assembly. In one embodiment, the fuel assembly may include a fuel, a plurality of fuel elements, and a plurality of fuel ducts, such as those described above. The fuel ducts may include the plurality of fuel elements disposed therein. At least some of the fuel assemblies described herein may include interstitial spaces among the plurality of the fuel ducts. The interstitial spaces may be defined as the space between the plurality of the fuel ducts. At least one of a coolant, inert gas, fuel material, and a monitoring device can be disposed in at least some of these interstitial spaces. The interstitial spaces may be empty or may include certain materials. For example, in the interstitial spaces may be at least one of a coolant, inert gas, and fuel material. The coolant and/or fuel material may be any of those described above. An inert gas may be any of those known in the art—e.g., nitrogen, a noble gas (e.g., argon, helium, etc). In some embodiments, the interstitial spaces may include an instrument, such as any of those described above that may be present in the interior of the first hollow structure or the space between the first and second hollow structure. In one embodiment, the instrument is a monitoring device monitoring the operation conditions of the fuel assembly. The fuel assembly described herein may be adapted to produce a peak areal power density of at least about 50 MW/m2—e.g., at least about 60 MW/m2, about 70 MW/m2, about 80 MW/m2, about 90 MW/m2, about 100 MW/m2, or higher. In some embodiments, the fuel assembly may be subjected to radiation damage at a level of at least about 120 displacements per atom (“DPA”)—e.g., at least about 150 DPA, about 160 DPA, about 180 DPA, about 200 DPA, or higher. Method of Making or Using Fuel Assembly In another aspect, a method of making an article of a fuel assembly is provided. The fuel assembly may be any of the aforedescribed fuel assemblies, including fuel ducts, fuel assemblies, and the like. FIG. 8a provides a flow chart of a process of making a fuel duct of the fuel assembly in one illustrative embodiment. The method may include forming a first hollow structure (step 801), which may be adapted to change at least one dimension thereof under stress, and forming a second hollow structure (step 802), which may be adapted to distribute therethrough at least a portion of the stress of the first hollow structure; and disposing the first hollow structure interior to the second hollow structure to form a fuel duct (step 803) such that a space is defined between the first hollow structure and the second hollow structure. Referring to FIG. 8b, the process may further comprise coupling the first hollow structure to the second hollow structure, such as with at least one structural member (step 804). Referring to FIG. 8c, the process may further comprise forming the first and/or second hollow structure by forming metal sheets into a polygonal shape an closing the polygonal shape (step 805). Referring to FIG. 8d, the process of forming may further comprise at least one process chosen from extruding and pilgering (step 806). In some embodiments, at least one of the first and second hollow structures may already be pre-formed and thus only needs to be provided to undergo a disposing process, which may also include assembling different hollow structures. FIG. 9a provides a flow chart of an alternative process of making a fuel duct of the fuel assembly in one illustrative embodiment. The method may include forming a first hollow structure (step 901), which may be adapted to change at least one dimension thereof under stress, and forming a second hollow structure (step 902), which may be adapted to distribute therethrough at least a portion of the stress of the first hollow structure; and disposing the first hollow structure interior to the second hollow structure to form a fuel duct (step 903) such that a space is defined between the first hollow structure and the second hollow structure. Referring to FIG. 9b, the process may further comprise joining a portion of the first hollow structure to the second hollow structure, such as with at least one structural member (step 904). Referring to FIG. 9c, the process may further comprise compartmentalizing axially an interior of the first hollow structure (step 905), as will be described further below. Referring to FIG. 9d, the process may further comprise forming the first and/or second hollow structure by extruding and/or pilgering (step 906). Alternatively (or additionally), referring to FIG. 9e, the process may further comprise forming the first and/or second hollow structure by forming metal sheets into a polygonal shape and closing the polygonal shape (step 907). The process of forming may involve any techniques available to form structural materials, including hollow structural materials. For example, the process of forming may include a process chosen from at least one of extruding and pilgering. Pilgering may refer to a metal-working process for reducing at least one dimension of a metal-containing tubular structure. In some embodiments, the process of forming may include forming metal sheets into a polygonal (tubular) shape—the term “tube” is employed here merely to describe a three-dimensional structure, and not necessarily a circular cylinder. The process may further comprise at least one of closing the polygonal tube by welding a seam, riveting, forming a seam and tack welding, forming a seam and isostatically compressing the seam and diffusion bonding. The process of forming may further include providing at least one structural member coupling a portion of the first hollow structure to a portion of the second hollow structure (step 804). The structural member may be any of those described above. In some embodiments, the process of forming may further comprise joining a first portion of the first hollow structure and a second portion of the second hollow structure. The joining may be carried out with at least one structural member. In one embodiment, the joining process need not involve welding the at least one structural member axially with respect to the first portion and the second portion. For example, the assembly may be fitted with a keeper type device or a guide. In one embodiment, the inner hollow structure may be sled into the outer hollow structure. The fuel assemblies described herein may be used to generate power, such as in a nuclear reactor core in a nuclear plant. The power may refer to electrical power, thermal power, radiation power, etc. FIG. 10(a) provides a flow chart describing the process involved in a method of using the fuel assemblies described herein in one illustrative embodiment. In one aspect, the method of using a fuel assembly described herein may include generating energy (e.g., heat) with a plurality of fuel elements disposed within a first hollow structure (step 1001), the first hollow structure being disposed within a second hollow structure; subjecting the first hollow structure to stress (step 1002); and distributing the stress of the first hollow structure through the second hollow structure (step 1003). Referring to FIG. 10(b), the method may further comprise allowing a portion of the first hollow structure to contact physically a portion of the second hollow structure (step 1004). The fuel assemblies may be any of those aforedescribed. For example, the second hollow structure may be configured to substantially maintain at least one of its dimension and geometry during distribution therethrough of the stress of the first hollow structure. In one embodiment, the second hollow structure may be configured to change at least one of its dimension and geometry during distribution therethrough of the stress of the first hollow structure. In one embodiment, the plurality of fuel elements may include fuel material that includes at least one of uranium and plutonium. All of the above U.S. patents, U.S. patent application publications, U.S. patent applications, foreign patents, foreign patent applications and non-patent publications referred to in this specification and/or listed in any Application Data Sheet, are incorporated herein by reference in their entirety, to the extent not inconsistent herewith. In the event that one or more of the incorporated literature and similar materials differs from or contradicts this application, including but not limited to defined terms, term usage, described techniques, or the like, this application controls. With respect to the use of substantially any plural and/or singular terms herein, those having skill in the art can translate from the plural to the singular and/or from the singular to the plural as is appropriate to the context and/or application. The various singular/plural permutations are not expressly set forth herein for sake of clarity. The herein described subject matter sometimes illustrates different components contained within, or connected with, different other components. It is to be understood that such depicted architectures are merely exemplary, and that in fact many other architectures may be implemented which achieve the same functionality. In a conceptual sense, any arrangement of components to achieve the same functionality is effectively “associated” such that the desired functionality is achieved. Hence, any two components herein combined to achieve a particular functionality can be seen as “associated with” each other such that the desired functionality is achieved, irrespective of architectures or intermedial components. Likewise, any two components so associated can also be viewed as being “operably connected”, or “operably coupled,” to each other to achieve the desired functionality, and any two components capable of being so associated can also be viewed as being “operably couplable,” to each other to achieve the desired functionality. Specific examples of operably couplable include but are not limited to physically mateable and/or physically interacting components, and/or wirelessly interactable, and/or wirelessly interacting components, and/or logically interacting, and/or logically interactable components. In some instances, one or more components may be referred to herein as “configured to,” “configured by,” “configurable to,” “operable/operative to,” “adapted/adaptable,” “able to,” “conformable/conformed to,” etc. Those skilled in the art will recognize that such terms (e.g. “configured to”) can generally encompass active-state components and/or inactive-state components and/or standby-state components, unless context requires otherwise. While particular aspects of the present subject matter described herein have been shown and described, it will be apparent to those skilled in the art that, based upon the teachings herein, changes and modifications may be made without departing from the subject matter described herein and its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as are within the true spirit and scope of the subject matter described herein. It will be understood by those within the art that, in general, terms used herein, and especially in the appended claims (e.g., bodies of the appended claims) are generally intended as “open” terms (e.g., the term “including” should be interpreted as “including but not limited to,” the term “having” should be interpreted as “having at least,” the term “includes” should be interpreted as “includes but is not limited to,” etc.). It will be further understood by those within the art that if a specific number of an introduced claim recitation is intended, such an intent will be explicitly recited in the claim, and in the absence of such recitation no such intent is present. For example, as an aid to understanding, the following appended claims may contain usage of the introductory phrases “at least one” and “one or more” to introduce claim recitations. However, the use of such phrases should not be construed to imply that the introduction of a claim recitation by the indefinite articles “a” or “an” limits any particular claim containing such introduced claim recitation to claims containing only one such recitation, even when the same claim includes the introductory phrases “one or more” or “at least one” and indefinite articles such as “a” or “an” (e.g., “a” and/or “an” should typically be interpreted to mean “at least one” or “one or more”); the same holds true for the use of definite articles used to introduce claim recitations. In addition, even if a specific number of an introduced claim recitation is explicitly recited, those skilled in the art will recognize that such recitation should typically be interpreted to mean at least the recited number (e.g., the bare recitation of “two recitations,” without other modifiers, typically means at least two recitations, or two or more recitations). Furthermore, in those instances where a convention analogous to “at least one of A, B, and C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, and C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). In those instances where a convention analogous to “at least one of A, B, or C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, or C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). It will be further understood by those within the art that typically a disjunctive word and/or phrase presenting two or more alternative terms, whether in the description, claims, or drawings, should be understood to contemplate the possibilities of including one of the terms, either of the terms, or both terms unless context dictates otherwise. For example, the phrase “A or B” will be typically understood to include the possibilities of “A” or “B” or “A and B.” With respect to the appended claims, those skilled in the art will appreciate that recited operations therein may generally be performed in any order. Also, although various operational flows are presented in a sequence(s), it should be understood that the various operations may be performed in other orders than those which are illustrated, or may be performed concurrently. Examples of such alternate orderings may include overlapping, interleaved, interrupted, reordered, incremental, preparatory, supplemental, simultaneous, reverse, or other variant orderings, unless context dictates otherwise. Furthermore, terms like “responsive to,” “related to,” or other past-tense adjectives are generally not intended to exclude such variants, unless context dictates otherwise. Those skilled in the art will appreciate that the foregoing specific exemplary processes and/or devices and/or technologies are representative of more general processes and/or devices and/or technologies taught elsewhere herein, such as in the claims filed herewith and/or elsewhere in the present application. While various aspects and embodiments have been disclosed herein, other aspects and embodiments will be apparent to those skilled in the art. The various aspects and embodiments disclosed herein are for purposes of illustration and are not intended to be limiting, with the true scope and spirit being indicated by the following claims. Any portion of the processes described herein may be automated. The automation may be accomplished by involving at least one computer. The automation may be executed by program that is stored in at least one non-transitory computer readable medium. The medium may be, for example, a CD, DVD, USB, hard drive, etc. The selection of the hollow structures, including the assembly, may also be optimized by using the computer and/or a software program. The above-described embodiments of the invention can be implemented in any of numerous ways. For example, some embodiments may be implemented using hardware, software or a combination thereof. When any aspect of an embodiment is implemented at least in part in software, the software code can be executed on any suitable processor or collection of processors, whether provided in a single computer or distributed among multiple computers. Also, the technology described herein may be embodied as a method, of which at least one example has been provided. The acts performed as part of the method may be ordered in any suitable way. Accordingly, embodiments may be constructed in which acts are performed in any order different from that illustrated, which may include performing some acts simultaneously, even though shown as sequential acts in illustrative embodiments. All definitions, as defined and used herein, should be understood to control over dictionary definitions, definitions in documents incorporated by reference, and/or ordinary meanings of the defined terms. The indefinite articles “a” and “an,” as used herein in the specification and in the claims, unless clearly indicated to the contrary, should be understood to mean “at least one.” The phrase “and/or,” as used herein in the specification and in the claims, should be understood to mean “either or both” of the elements so conjoined, i.e., elements that are conjunctively present in some cases and disjunctively present in other cases. Multiple elements listed with “and/or” should be construed in the same fashion, i.e., “one or more” of the elements so conjoined. Other elements may optionally be present other than the elements specifically identified by the “and/or” clause, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, a reference to “A and/or B”, when used in conjunction with open-ended language such as “including” can refer, in one embodiment, to A only (optionally including elements other than B); in another embodiment, to B only (optionally including elements other than A); in yet another embodiment, to both A and B (optionally including other elements); etc. As used herein in the specification and in the claims, “or” should be understood to have the same meaning as “and/or” as defined above. For example, when separating items in a list, “or” or “and/or” shall be interpreted as being inclusive, i.e., the inclusion of at least one, but also including more than one, of a number or list of elements, and, optionally, additional unlisted items. Only terms clearly indicated to the contrary, such as “only one of” or “exactly one of,” or, when used in the claims, “consisting of,” will refer to the inclusion of exactly one element of a number or list of elements. In general, the term “or” as used herein shall only be interpreted as indicating exclusive alternatives (i.e. “one or the other but not both”) when preceded by terms of exclusivity, such as “either,” “one of,” “only one of,” or “exactly one of” “Consisting essentially of,” when used in the claims, shall have its ordinary meaning as used in the field of patent law. As used herein in the specification and in the claims, the phrase “at least one,” in reference to a list of one or more elements, should be understood to mean at least one element selected from any one or more of the elements in the list of elements, but not necessarily including at least one of each and every element specifically listed within the list of elements and not excluding any combinations of elements in the list of elements. This definition also allows that elements may optionally be present other than the elements specifically identified within the list of elements to which the phrase “at least one” refers, whether related or unrelated to those elements specifically identified. Thus, as a non-limiting example, “at least one of A and B” (or, equivalently, “at least one of A or B,” or, equivalently “at least one of A and/or B”) can refer, in one embodiment, to at least one, optionally including more than one, A, with no B present (and optionally including elements other than B); in another embodiment, to at least one, optionally including more than one, B, with no A present (and optionally including elements other than A); in yet another embodiment, to at least one, optionally including more than one, A, and at least one, optionally including more than one, B (and optionally including other elements); etc. Any ranges cited herein are inclusive. The terms “substantially” and “about” used throughout this Specification are used to describe and account for small fluctuations. For example, they can refer to less than or equal to ±5%, such as less than or equal to ±2%, such as less than or equal to ±1%, such as less than or equal to ±0.5%, such as less than or equal to ±0.2%, such as less than or equal to ±0.1%, such as less than or equal to ±0.05%. In the claims, as well as in the specification above, all transitional phrases such as “including,” “carrying,” “having,” “containing,” “involving,” “holding,” “composed of,” and the like are to be understood to be open-ended, i.e., to mean including but not limited to. Only the transitional phrases “consisting of” and “consisting essentially of” shall be closed or semi-closed transitional phrases, respectively, as set forth in the United States Patent Office Manual of Patent Examining Procedures, Section 2111.03. The claims should not be read as limited to the described order or elements unless stated to that effect. It should be understood that various changes in form and detail may be made by one of ordinary skill in the art without departing from the spirit and scope of the appended claims. All embodiments that come within the spirit and scope of the following claims and equivalents thereto are claimed.
description
Embodiments of the invention are defined by the claims below, but in summary, embodiments of the invention enable a reliable indication of the amount of time a battery will provide sufficient charge to power a computing device. One embodiment of the invention provides computer-readable media and computer-executable instructions embodied thereon for determining the time interval that lapses between charge of a battery draining from a first threshold level to a second threshold level. Notifications are presented at each threshold level to indicate the status of the battery. The threshold levels can be adjusted in order to provide a desired time interval between the first and second thresholds. Other embodiments of the invention are directed to methods for classifying a battery as no longer capable of maintaining sufficient charge. Methods are provided for determining the maximum amount of charge that a battery was capable of storing and the current maximum amount of charge that a battery is capable of storing. The two determined amounts are compared, and based on that comparison the battery can be classified as no longer capable of maintaining sufficient charge. An indication can also be provided when the battery is classified as no longer capable of maintaining sufficient charge. Another embodiment of the invention is directed to computer-readable media having computer-executable instructions embodied thereon for performing methods to determine the period of time that a battery will provide sufficient charge to power a computing device. Profiles are created for user activities on a computing device and the rate at which those activities drain charge from the battery is determined and stored along with the profile. The activity currently being engaged in by a user is analyzed to determine a profile of user activity that describes it. The period of time that the battery will provide sufficient power to the computing device is determined by dividing the amount of charge remaining in the battery by the drain rate of the determined profile of user activity. This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter. The subject matter of the present invention is described with specificity herein to meet statutory requirements. However, the description itself is not intended to limit the scope of this patent. Rather, the inventors have contemplated that the claimed subject matter might also be embodied in other ways, to include different steps or combinations of steps similar to the ones described in this document, in conjunction with other present or future technologies. Moreover, although the terms “step” and/or “block” may be used herein to connote different elements of methods employed, the terms should not be interpreted as implying any particular order among or between various steps herein disclosed unless and except when the order of individual steps is explicitly described. One embodiment of the present invention is directed to computer-readable media for performing a method of reliably indicating remaining operating time of a computer based on battery level. Two charge thresholds associated with two levels of battery charge are provided and a time interval that lapses between the battery level draining from one charge threshold to the other charge threshold is determined. A first low-battery notification is presented upon the charge in the battery reaching the first threshold and a second low-battery notification is presented upon the charge in the battery reaching the second threshold. The first and second threshold levels can be adjusted based on the time interval, and a more accurate indication of remaining battery is provided. Another embodiment of the present invention is directed to methods for indicating battery level in a computing device. A prior maximum amount of charge that a battery was initially capable of storing and a current maximum amount of charge that the battery is capable of storing is determined and the two amounts are compared to each other. Based on the comparing, the battery level may be classified as no longer capable of maintaining sufficient charge. An indication can be provided that the battery is no longer capable of maintaining sufficient charge based on the battery's classification. A further embodiment of the invention is directed to computer-readable media and methods embodied thereon for performing a method of determining the period of time that a battery will provide sufficient charge to power a computing device. A profile is created for types of user activity on the user device and the rate at which charge is drained from the battery for each profile is determined and stored. The user activity being engaged in by the user is then analyzed to determine a profile that describes the user activity. The period of time that the battery will provide sufficient charge is determined based on the amount of charge remaining in the battery and the rate at which charge is drained from the battery for the profile of user activity. Having briefly described an overview of embodiments of the present invention, an exemplary computing device suitable for use in implementing embodiments of the present invention is described below. Referring to the drawings in general, and initially to FIG. 1 in particular, an exemplary computing device for implementing embodiments of the present invention is shown and generally depicted as computing device 110. Computing device 110 is but one example of a suitable computing device and is not intended to suggest any limitations as to the scope of use or functionality of the invention. Any number of computing devices may make use of the embodiments of the present invention. For instance, cell phones, PDAs, GPS devices, smart phones, wireless devices, or any other computing device capable of being battery-powered can fall under the definition of the computing device contemplated herein. Computing device 110 is comprised of a display 120, user input means 130 and device input/output means 125. Display 120 can take many different forms, such as an LCD display, CRT display, plasma display, or any other form that provides for the display of information by the computing device 110. The user input means 130 can be of any type generally recognized in the art, such as a keyboard, trackball, touch pad, stylus, microphone, or the like. Device input/output means 125 can comprise any number of input or output ports for the connection of external devices. These may take the form of connectors for USB, serial, parallel, Ethernet, Bluetooth, or IEEE 1394 fire wire devices. Although not depicted in FIG. 1, removable storage devices may be provided for reading and writing information to optical and/or magnetic storage media. The computing device 110 also includes one or more batteries to power the computing device 110. The one or more batteries can be of any type, such as lithium ion or nickel cadmium for example. Other types of batteries are contemplated as well by embodiments of the present invention. Power plug 150 and electrical cord 140 serve to provide power to the computing device 110 when the power plug 150 is connected to a source of electricity, such as a typical electrical outlet supplied with electricity. Power cord 140 can be detachable from the computing device 110, and although not shown, may integrate a transformer to step down the voltage provided to the electrical outlet to a level suitable for use by the computing device 110. The power transmitted by the power plug 150 and electrical cord 140 can also serve to charge the one or more batteries used by the computing device 110. While not depicted in FIG. 1, other means of powering the computing device 110 and charging the one or more batteries can be implemented. For instance, instead of relying on receiving electricity through a typical wall outlet, electricity may be received through one or more solar cells, as are known in the art. Turning now to FIG. 2, an exemplary operating environment for implementing embodiments of the present invention is shown and designated generally as computing device 200. Computing device 200 provides a higher level description of computing device 110. As discussed above in relation to computing device 110, computing device 200 is but one example of a suitable computing environment and is not intended to suggest any limitation as to the scope of use or functionality of the invention. Neither should the illustrated computing environment be interpreted as having any dependency or requirement relating to any one or combination of components/modules illustrated. The invention may be described in the general context of computer code or machine-useable instructions, including computer-executable instructions such as program components, being executed by a computer or other machine, such as a personal data assistant or other hand-held device. Generally, program components including routines, programs, objects, components, data structures, and the like, refer to code that performs particular tasks, or implements particular abstract data types. Embodiments of the present invention may be practiced in a variety of system configurations, including hand-held devices, consumer electronics, general-purpose computers, specialty-computing devices, and the like. Embodiments of the present invention may also be practiced in distributed computing environments where tasks are performed by remote-processing devices that are linked through a communications network. With continued reference to FIG. 2, computing device 200 includes a bus 210 that directly or indirectly couples the following devices: memory 212, one or more processors 214, one or more presentation components 216, input/output (I/O) ports 218, I/O components 220, and an illustrative power supply 222. Bus 210 represents what may be one or more busses (such as an address bus, data bus, or combination thereof). Although the various blocks of FIG. 2 are shown with lines for the sake of clarity, in reality, delineating various components is not so clear, and metaphorically, the lines would more accurately be grey and fuzzy. For example, one may consider a presentation component such as a display device to be an I/O component. Also, processors have memory. The inventors hereof recognize that such is the nature of the art, and reiterate that the diagram of FIG. 2 is merely illustrative of an exemplary computing device that can be used in connection with one or more embodiments of the present invention. Distinction is not made between such categories as “workstation,” “server,” “laptop,” “hand-held device,” etc., as all are contemplated within the scope of FIG. 2 and reference to “computer” or “computing device.” Computing device 200 includes certain computer-readable media. By way of example, computer-readable media may comprise Random Access Memory (RAM); Read Only Memory (ROM); Electronically Erasable Programmable Read Only Memory (EEPROM); flash memory or other memory technologies; CDROM, digital versatile disks (DVD) or other optical or holographic media; magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices that can be used to encode desired information and be accessed by computing device 200. Memory 212 includes computer-storage media in the form of volatile and/or nonvolatile memory. The memory may be removable, non-removable, or a combination thereof. Exemplary hardware devices include solid-state memory, hard drives, optical-disk drives, and the like. Computing device 200 includes one or more processors that read data from various entities such as memory 212 or I/O components 220. Presentation component(s) 216 present data indications to a user or other device. Exemplary presentation components include a display device, speaker, printing component, vibrating component, etc. I/O ports 218 allow computing device 200 to be logically coupled to other devices including I/O components 220, some of which may be built-in. Illustrative components include a microphone, joystick, game advertisement, satellite dish, scanner, printer, wireless device, and the like. Turning now to FIG. 3, a diagram depicting the relationship of charge remaining in a battery to a first and second threshold is presented, according to an embodiment of the present invention. The diagram depicts the percentage of charge remaining in a battery of a computing device 110 and relative amount of time that a given percentage of charge will power the computing device 110. A charge profile of a battery similar in condition to that of FIG. 3 is presented in conjunction with FIG. 6. A first threshold 302 is reached when the charge remaining in the battery reaches a first level of charge. In the embodiment of the invention depicted in FIG. 3, this level is 20% of charge remaining in the battery. A second threshold 304 is reached when the charge remaining in the battery reaches a second level of charge. In the embodiment of the invention depicted in FIG. 3, this level is 10% of the charge remaining in the battery. Time interval 306 is the amount of time that elapses between the battery draining from the first threshold 302 to the second threshold 304. Upon the determining that the charge remaining in the battery of the computing device 110 has reached the first threshold level 302, a first low-battery notification can be presented to a user of the computing device 110 in accordance with embodiments of the present invention. The details regarding determining the level of charge remaining in a battery are outside the scope of the embodiments of the invention. The level of charge can be communicated to the computing device 110 by one or more drivers for the battery, or it can be reported by hardware and/or software associated with the battery itself. Returning to the first low-battery notification, it can be a graphical and/or audible notification. The first low-battery notification can serve to warn the user that the battery is nearing a point at which it will no longer provide sufficient charge to power the computing device 100. In response to the first low-battery notification, the user can, for example, save any files they are working with or otherwise prepare to shut down the computing device 110. When it is determined that the level of charge in the battery has reached the second threshold level 304, a second low-battery notification can be presented to the user of the computing device 110. The second low-battery notification can serve to warn the user of the computing device 110 that the battery will cease to provide adequate charge to power the computing device 110 in a short period of time. In response, the user can seek alternative modes of powering the computing device, such as connecting the power plug 150 to a typical electrical outlet that is supplied with electricity and connecting the power cord 140 to the computing device 110. Alternatively, a user can close the applications they are interacting with on the computing device 110 and shut down the computing device 110. The time interval 306 is the amount of time that passes between the battery draining from the first threshold level 302 to the second threshold level 304. The time interval 306 can be measured and stored by the computing device 110. By providing a time interval 306 of sufficient duration, a user of the computing device 110 can be provided with adequate notice through a first and second low-battery notification of the amount of time which they can expect the computing device 110 to remain operable. The user therefore has time to seek an alternative power source for the computing device 110 as described above in relation to FIG. 1. Alternatively, a user can save information in applications they are working with and otherwise prepare to shut down the computing device 110. If the user fails to shutdown the computing device 110 before the level of charge in the battery reaches a point where there is insufficient charge to power the computing device 110, the computing device 110 will cease to operate. The computing device 110 can also employ a feature that automatically shuts down the computing device 110 upon reaching a critical charge threshold. The critical charge threshold can be reached soon after the second threshold level 304 is reached. The automatic shutdown of the computing device 110 can be provided to prevent damage to the computing device 110 that would otherwise result from a loss of power. The methods described above for triggering the low-battery notifications based on a determination that the level of charge remaining in the battery has reached certain thresholds provide acceptable results early in the life of the battery. However, as the battery deteriorates over time and through regular use, the amount of time that the battery will provide sufficient charge to power the computing device 110 for a given level of charge remaining in the battery can decrease. Turning now to FIG. 4, a diagram depicting the relationship of charge remaining in a battery to a first and second threshold is presented, according to an embodiment of the present invention. The diagram of FIG. 4 represents a battery that has deteriorated over time or through ordinary wear and tear. An exemplary charge profile of a battery in a similar state of deterioration is depicted in FIG. 7. The deterioration of particular batteries can be varied in nature. Some batteries may inherently deteriorate less over a given period of time, either through design of the battery or different usage patterns. A virtually endless number of factors can affect the deterioration of a battery over its respective lifetime. As depicted in FIG. 4, the amount of time that the battery will continue to provide adequate charge to power the computing device 110 for a given level of charge remaining in the battery is dramatically less than that depicted in relation to FIG. 3 above. Accordingly, a significantly shorter time interval 406 is provided by associating the first threshold level 402 with the same level of charge as it was associated with in FIG. 3. The same holds true for the second threshold level 404. The user of the computing device 110 will likely not be satisfied that the time interval 406 has decreased from the time interval 306 presented in FIG. 3. The time interval 406 can even decrease to a point where the user does not have time to adequately prepare for a shutdown of the computing device 110. A scenario can occur where the user is presented with first and second low-battery notifications in such rapid succession that they have inadequate time to prepare for a shutdown or seek alternative sources of power for the computing device 110. Embodiments of the present invention are directed to measuring the amount of time that passes between the level of charge reaching a first threshold and a second threshold. By measuring this period of time, the level of charge associated with each threshold can be adjusted to ensure a sufficient time interval is provided between the first and second thresholds. FIG. 5 illustrates a diagram depicting the relationship of charge remaining in a battery to a first and second threshold, according to an embodiment of the present invention. As is evident in the drawing, the level of charge associated with the first threshold level 502 and the second threshold level 504 has been adjusted in order to provide a time interval 506 equal in duration to that of the time interval 306. The adjusting of the level of charge associated to the threshold levels can occur on a routine basis in some embodiments of the invention. The time interval would be determined each time the threshold levels were crossed and the associated charge levels would be adjusted accordingly. In this manner, any change in the length of the time interval would be minimized. Other embodiments of the invention can trigger the adjustment of the level of charges after the time interval deviates by a predefined amount from a desired amount of time. One embodiment of the invention can provide for adjusting the levels of charge to provide for a time interval requested by the computing device 110 or a user of the computing device 110. For instance, a user could request a time interval of 20 minutes. The thresholds can then be adjusted accordingly to afford a time interval of 20 minutes. The levels could then be adjusted in the same manner as other embodiments of the invention so that the time interval can be maintained over the lifetime of the battery. Turning now to FIGS. 6 and 7, graphs are presented illustrating the level of charge versus the amount of time the battery will provide sufficient charge to power the computing device 110, in accordance with an embodiment of the invention. The graphs presented in FIGS. 6 and 7 are referred to herein as charge profiles and the particular charge levels and time intervals presented are exemplary in nature and serve to provide a clearer presentation of the concepts described herein. The charge profile depicted in FIG. 6 is that of a new battery that has suffered relatively little, if any, deterioration. An example of a battery in this state is a relatively new battery that has not been repeatedly discharged and recharged. The rate of dissipation of charge versus time elapsed is relatively linear over the discharge cycle where the battery charge levels are drained from a full charge level to approximately 50% charge level. The rate of dissipation of charge versus time elapsed remains linear from approximately 50% charge remaining in the battery to complete discharge of the battery. Accordingly, the amount of time required for the battery to drain from a full charge level to a 50% charge level and the amount of time required for the battery to drain from 50% charge level to complete discharge is roughly equal. The charge profile depicted in FIG. 7 is that of a battery that has suffered deterioration over its lifetime. The cause of the deterioration can be attributed to any number of factors, a discussion of which is provided above in relation to FIG. 4. For example, the deterioration can be caused by repeated discharging and subsequent recharging of the battery over time. Additionally, excessive heat can cause acceleration in the deterioration of the battery. As evident in FIG. 7, the rate of dissipation of charge versus time is fairly linear for the first half of the discharge cycle. However, the rate becomes distinctively non-linear after approximately 50% of the charge in the battery has been dissipated at inflection point 702. The exact point at which the rate of dissipation of charge begins to increase can vary. Further, the change in the rate of dissipation of charge can be more gradual and a particular inflection point may not be readily ascertainable, as in FIG. 7. Accordingly, the depiction in FIG. 7 of the inflection point 702 has been exaggerated for purposes of discussion and clarity. The total length of time which the battery provides sufficient charge to power the user device decreases over the lifetime of the battery, as evident in FIGS. 6 and 7. Additionally, as the battery continues to deteriorate the rate of dissipation of charge can continue to increase as well. The examples provided in FIGS. 6 and 7 depict a battery that when new or nearly new provided adequate charge for powering the computing device 110 for approximately 130 minutes. After the same battery had deteriorated to some extent, it only provides 90 minutes of adequate charge. While FIGS. 6 and 7 depict a change in the slope of the dissipation curve occurring when approximately 50% of the charge has been drained from the battery, this may not always be the case. For instance, the switch from a linear dissipation curve to a nonlinear dissipation curve can occur at different charge levels. In addition, the rate of change of the slope may never be linear and can, instead, increase over the discharge cycle. FIGS. 6 and 7 are provided as illustrative examples of discharge cycles, and accordingly were simplified for ease of presentation and discussion. Turning now to FIGS. 8 and 9, two battery drain profiles are presented for two corresponding user activities, in accordance with embodiments of the present invention. FIG. 8 depicts the level of charge remaining in a battery at several points along a discharge cycle and the corresponding amount of time that the battery will provide adequate charge to power the computing device 110. The battery drain profile presented in FIG. 8 is a specific user activity and is entitled ‘USER PROFILE A.’ This profile can be generated automatically. The battery drain profile provides the rate at which charge is drained from the battery. Different user activities can result in different levels of power requirements and in turn different rates of charge drain from the battery. This can occur due to a host of factors, including but not limited to: processing requirements, display brightness levels, audio generation, use of peripherals such as storage drives, charge consumed by external devices connected to the computing device 110, or use of a wireless networking adapter by the computing device 110. The preceding list is not meant to be exhaustive, and it should be understood that any number of factors can contribute to the power consumption of a computing device 110. FIG. 9 depicts a battery drain profile for a specific user activity, entitled ‘USER PROFILE B.’ This profile can be generated automatically. By comparing FIG. 9 to FIG. 8 it is clear that the user activity for the battery drain profile of FIG. 9 consumes more power than that of the user activity profiled in FIG. 8. By way of example, the user activity represented by ‘USER PROFILE B’ could be that of a user viewing a DVD movie on the computing device 110, while the user activity represented by ‘USER PROFILE A’ could be that of a user utilizing a web browser and a wireless network adapter on the computing device 110. It should be understood that user profiles can be generated for a single user activity, or multiple user activities occurring simultaneously. Turning now to FIG. 10, an exemplary low-battery notification is presented, according to one embodiment of the invention. A user interface 1006 of the computing device 110 is depicted. A battery level indicator 1004 is displayed in the corner of the user interface 1006 and a low-battery notification 1002 is presented. The low-battery notification 1002 may be either a first low-battery notification or a second low-battery notification as described in relation to FIGS. 3-5 above. The text of the low-battery notification 1002 can be different according to other embodiments of the invention. Any suitable text that presented a notification to the user of the computing device 110 that the battery was nearing a level of charge that would be inadequate for powering the computing device 110 would suffice. Although not depicted in FIG. 10, an audible and/or vibratory notification could be presented to alert the user to the level of charge remaining in the battery. This audible and/or vibratory alert could either be presented alone, or in conjunction with the low-battery notification 1002. Additionally, the text presented in the low-battery notification can change depending on whether it is a first low-battery notification or a second low-battery notification. The same would true for an audible and/or vibratory notification. While visual, audible, and vibratory notifications have been discussed, that list is not meant to be exclusive. Any notification means that would alert a user to the charge level remaining in the battery of the computing device is contemplated by embodiments of the present invention. Continuing now to FIG. 11, an exemplary battery notification is presented, according to one embodiment of the invention. A user interface 1106 of the computing device 110 is depicted. A battery notification 1104 is presented, serving to alert the user as to the status of the battery of the computing device 110. Any number of statuses could be indicated by the battery notification 1104. For instance, upon a determination that the battery is no longer capable of maintaining sufficient charge, the battery notification 1104 can be presented in the user interface 1106, as depicted in FIG. 11. The particular battery notification 1104 depicts a battery symbol in conjunction with an ‘X’ symbol in order to indicate to the user that the battery is no longer capable of maintaining sufficient charge to power the computing device 110. Although not depicted in FIG. 11, a user can be presented with a link to the website of a vendor that sells replacement batteries in conjunction with the battery notification 1104. Other types of battery notifications 1104 could be presented in the user interface as well. For example, the temperature of the battery of the computing device 110 could be monitored, and when it exceeds a predefined temperature a battery notification 1104 can be presented to the user. The notification could include text warning the user as to the status of the battery. Other battery notifications 1104 could be presented upon determining that a battery is defective. This can occur when information is received at the computing device 110 that includes certain criteria that can be used to identify a defective battery. The information may be communicated over a network to the computing device 110. The information can include a distinguishing manufacturing number for the battery, such as a serial number, or a date of manufacture or particular model number. The battery can then be automatically inspected to determine whether it satisfies the criteria. A battery notification 1104 can then be automatically provided to notify the user that the battery is defective and satisfies the received criteria. This would be particularly useful to facilitate notifying battery owners about battery recalls issued by battery manufacturers. Turning now to FIG. 12, a depiction of an exemplary battery time-remaining notification is presented, according to an embodiment of the present invention. A battery charge level indicator 1204 and battery time-remaining notification 1202 are presented in the user interface 1206 of the computing device 110. The time-remaining notification 1202 presents to the user of the computing device the amount of time that the battery can be expected to provide sufficient charge to power the computing device 110. This amount of time can be determined by consulting one of the battery drain profiles discussed in relation to FIGS. 8 and 9. Other embodiments of the invention can use the time-remaining notification 1202 as a means to present the first low-battery notification and/or second low-battery notification. While the time-remaining notification 1202 is depicted as being attached to the battery level indicator 1204, it can also be a separate text box that is not connected to battery level indicator 1204. With reference now to FIG. 13, a remaining battery life notification is presented in accordance with an embodiment of the present invention. Remaining battery life notification 1300 provides a graphic representation of the level of charge present in the battery. Incremental marks 1304 are provided along the length of the remaining battery life notification 1300 to provide a visual cue to the user as to charge remaining in the battery. The level of charge is indicated by filling in the remaining battery life notification 1300 to an area 1302 approximating the remaining charge. A scale is also presented along one side of the remaining battery life notification that permits a user to determine the amount of time the battery will provide sufficient charge to power the computing device 110 based on the size of the area 1302. Turning to FIG. 14, a block diagram depicting a method of reliably indicating remaining operating time of a computer based on battery level is depicted according to an embodiment of the present invention. The method begins at block 1410 with the providing of two charge thresholds associated with two levels of battery charge. A first threshold is associated with a first threshold level and a second threshold is associated with a second threshold level. In block 1420, a determination is made for a given computer-usage level of the time interval that lapses between a battery level draining from the first threshold level to the second threshold level. In block 1430, it is determined when the charge remaining in the battery reaches the first threshold level and a first low-battery notification is presented based on the determination. The first low-battery notification can take a variety of forms, such as discussed in relation to FIGS. 3-5 and 10-12 above. In addition, in response to the determining that the charge remaining in the battery reached the first threshold level, the calculated rate at which the computing device 110 drains charge from the battery can be altered. The altering can be accomplished by deactivating components or processes operating on the computing device. For instance, the power supply to a peripheral device could be shut off. At block 1440, it is determined when the charge remaining in the battery decreases to the second threshold level and a second low-battery notification is presented based on the determination. In block 1450, first and second threshold levels are adjusted based on the time interval, thereby providing a more accurate indication of the remaining battery than if the time interval had not been accounted for. To adjust the first threshold level or the second threshold level the level of battery associated with each may be decreased or increased. In this manner, if the time interval that passed between the determining that the charge has reached the first threshold level and the charge reaching the second threshold level is not of long enough duration, the levels of charge associated with one or both of the threshold levels can be increased or decreased in order to provide the desired time interval. Further, a desired time interval can be received from the user of the computing device 110 that defines an amount of time that is requested to elapse between the first low-battery notification and the second low-battery notification. The first and second threshold levels can then be adjusted accordingly in order to meet the desired time interval. Turning now to FIG. 15, a block diagram depicting a method indicating the battery level of a battery in a computing device is presented, according to an embodiment of the invention. The method begins at block 1510 with determining a prior maximum amount of charge the battery was initially capable of storing. This information can be provided by any number of sources, including the battery itself. Additionally, it can be the amount of charge that the battery was initially capable of storing at the time it was manufactured. In block 1520 the current maximum amount of charge that the battery is capable of storing is determined. The amount of charge determined in blocks 1510 and 1520 are then compared to each other in block 1530. The comparing can involve calculating the ratio of the current maximum amount of charge to the maximum amount of charge that the battery was capable of storing initially or at the time of manufacture. At block 1540, the battery can be classified as no longer capable of maintaining sufficient charge based on the comparison conducted in block 1530. Additionally, when the ratio of the current maximum amount of charge to the maximum amount of charge that the battery was capable of storing initially or at the time of manufacture is below a predefined value, the battery can be classified as no longer capable of maintaining sufficient charge. An indication that the battery is no longer capable of maintaining sufficient charge can be made when the battery is no longer capable of maintaining sufficient charge, as described in block 1550. The indication of block 1550 can include a graphical notification presented to the user of the computing device 110 as discussed in relation to FIG. 11 above. Further, the indication can also include the presentation to the user of an opportunity to purchase a replacement battery when the battery is classified as no longer capable of maintaining sufficient charge. In another embodiment of the invention, an indication can be presented to the user when the computing device 110 is being powered from another source and that the battery is no longer capable of maintaining sufficient charge. In this embodiment the user will then be provided notice that they should not disconnect the other source of power, as doing so will cause a loss of power to the computing device 110 since the battery is not operative for its intended purpose of supplying charge to operate the computing device 110. Turning now to FIG. 16, a block diagram depicting a method for determining the period of time that a battery will provide sufficient charge to power a computing device is presented, according to an embodiment of the present invention. The method begins at block 1610 with the automatic creation of a profile for one or more types of user activity. The profile of user activity can include information describing usage of internal and external hardware components of the computing device 110 and software processes employed on the computing device 110. Additionally, FIGS. 8 and 9 and the discussion provided therein, provide exemplary embodiments of the present invention with regard to user profiles and the rate of battery drain for each profile of user activity. Further, an extensive discussion of the different types of user activity that can be profiled is provided. Although not depicted in FIG. 16, a system profile can be automatically created that includes information on activities and processes executed on the computing device 110 regardless of any additional user activity. The activities and processes can be system background processes, as is known in the art. A drain rate can be determined for the system profile that defines the rate at which charge is drained from the battery for the system profile. The drain rate can then be stored with the system profile. The rate at which charge drains for each profile of user activity, hereinafter a drain rate, is then determined for each profile of user activity in block 1620. The drain rate is then stored for each profile in block 1630. The amount of charge remaining in the battery is determined at block 1640. The user activity being engaged in is then analyzed in block 1650. A determination can also be made as to whether the user activity being engaged in is described by a single profile of user activity. When the user activity is described by more than one profile, a process can be conducted that includes the following steps—for each of the profiles that describes a portion of the user activity, the system profile drain is subtracted from said profile describing a portion of user activity resulting in a net drain rate for each profile. Each net drain rate is then summed together and the system profile drain is then added to the sum of the net drain rates. This results in a gross profile drain rate at which charge is drained from the battery for the activity being engaged in by the user of the computing device. The gross profile drain rate is then stored in a memory component of the computing device 110. In block 1660 it is then determined which profile of user activity describes the activity currently being engaged in by the user. The period of time that the battery will provide sufficient charge to power the computing device is determined based on the amount of remaining charge in the battery and on the drain rate at which charge is drained from the battery for the determined profile of user activity in block 1670. The period of time can be determined by dividing the amount of charge remaining in the battery by the drain of the user profile. In embodiments of the invention where a gross profile drain rate is necessarily calculated, the period of time that the battery will provide sufficient charge to power the computing device 110 can be determined by dividing the amount of charge remaining in the battery by the gross profile drain rate. Many different arrangements of the various components depicted, as well as components not shown, are possible without departing from the spirit and scope of the present invention. For instance, while specific depictions of low-battery notifications have been presented herein, in no way should the present invention be deemed to be limited to the presented depictions. Any number of notifications in a multitude of forms can be utilized by the present invention. Embodiments of the present invention have been described with the intent to be illustrative rather than restrictive. Alternative embodiments will become apparent to those skilled in the art that do not depart from its scope. A skilled artisan may develop alternative means of implementing the aforementioned improvements without departing from the scope of the present invention. It should also be understood that certain features and subcombinations are of utility and may be employed without reference to other features and subcombinations and are contemplated within the scope of the claims. Additionally, not all steps listed in the various figures need be carried out in the specific order described.
claims
1. An operation monitoring apparatus of a nuclear power plant, comprising:an operation console to be operated by an operator and includes an operation unit and a first display unit;an operation command console to be operated by a shift supervisor and includes an operation unit and a second display unit;a transfer device that is configured to operate to transfer an image displayed on the first display unit of the operation console to the second display unit of the operation command console; anda switching device that switches an image displayed on the second display unit of the operation command console to the image transferred by the transfer device,wherein the operation console includes a plurality of first display units, and the transfer device transfers an image selected from the first display units to the second display unit of the operation command console, andwherein each of the respective first display units of the operation console includes a respective display lamp that lights up automatically when the image is selected from the respective first display unit and transferred to the second display unit of the operation command console. 2. The operation monitoring apparatus of a nuclear power plant according to claim 1, wherein the operation command console includes an operation switch that operates the switching device. 3. The operation monitoring apparatus of a nuclear power plant according to claim 1, wherein the operation command console includes an auxiliary display unit that can display a name of each of the first display units on the second display unit.
claims
1. A charged-particle beam writing method for deflecting a charged-particle beam and writing each pattern onto a sample placed on a stage, comprising the steps of:calculating a charge amount distribution in an irradiation region of the charged-particle beam and a charge amount distribution in a non-irradiation region thereof, using an exposure distribution of the charged-particle beam applied onto the sample, and a fogging electron amount distribution;calculating a distribution of a position displacement amount of the charged-particle beam on the sample, based on the charge amount distributions in the irradiation and non-irradiation regions; anddeflecting the charged-particle beam, based on the distribution of the position displacement amount and writing each pattern onto the sample. 2. The charged-particle beam writing method according to claim 1, further including a step for calculating the fogging electron amount distribution, based on the exposure distribution and a spread distribution of fog electrons expanded from the irradiation region of the sample irradiated with the charged-particle beam to the non-irradiation region. 3. The charged-particle beam writing method according to claim 2, the fogging electron amount distribution is calculated by convolution the exposure distribution and integral of the spread distribution function. 4. The charged-particle beam writing method according to claim 1, further including a step for calculating a dose distribution, based on a pattern density distribution set for every predetermined region of the sample, anda step for calculating the exposure distribution, based on the pattern density distribution and the dose distribution. 5. The charged-particle beam writing method according to claim 4, the dose distribution is calculated using a proximity effect correction equation of backscattered electrons. 6. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the non-irradiation region is calculated using a function CF(F) expressed in the following equation (a):CF(F)=−c1×Fα  (a)(where F: fogging electron amount distribution, c1: constant, and 0<α<1), and wherein the charge amount distribution in the irradiation region is calculated using a function CE(E,F) expressed in the following equation (b):CE(E,F)=CE(E)+CFe(F)=c0−c1×Fα  (b)(where E: exposure distribution, F: fogging electron amount distribution, c0 and c1: constants, and 0<α<1). 7. The charged-particle beam writing method according to claim 6, wherein 0.3≦α≦0.4 in the equations (a) and (b). 8. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the non-irradiation region is calculated using a function CF(F) expressed in the following equation (a):CF(F)=−c1×Fα  (a)(where F: fogging electron amount distribution, c1: constant, and 0<α<1). 9. The charged-particle beam writing method according to claim 8, wherein 0.3≦−α≦0.4 in the equation (a). 10. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the irradiation region is calculated using a function CE(E,F) expressed in the following equation (b):CE(E,F)=CE(E)+CFe(F)=c0−c1×Fα  (b)(where E: exposure distribution, F: fogging electron amount distribution, c0 and c1: constants, and 0≦α≦1). 11. The charged-particle beam writing method according to claim 10, wherein 0.3≦α≦0.4 in the equation (b). 12. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the irradiation region is calculated using a polynomial function of pattern density distribution, the dose distribution, the exposure distribution and the fogging electron amount distribution, and wherein the charge amount distribution in the non-irradiation region is calculated using a polynomial function of the fogging electron amount distribution. 13. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the irradiation region is calculated using a polynomial function expressed in the following equation (c):C(E,F)=(d0+d1×ρ+d2×D+d3×E)+(e1×F+e2×F2+e3×F3)  (c)(where ρ: pattern density distribution, D: dose distribution, E: exposure distribution, F: fogging electron amount distribution, and d0, d1, d2, d3, e1, e2 and e3: constants). 14. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the non-irradiation region is calculated using a polynomial function expressed in the following equation (d):CF(F)=f1×F+f2×F2+f3×F3  (d)(where F: fogging electron amount distribution, and f1, f2 and f3: constants). 15. The charged-particle beam writing method according to claim 1, wherein the charge amount distribution in the irradiation region is calculated using a polynomial function expressed in the following equation (c):C(E,F)=(d0+d1×ρ+d2×D+d3×E)+(e1×F+e2×F2+e3×F3)  (c)(where ρ: pattern density distribution, D: dose distribution, E: exposure distribution, F: fogging electron amount distribution, and d0, d1, d2, d3, e1, e2 and e3: constants), andwherein the charge amount distribution in the non-irradiation region is calculated using a polynomial function expressed in the following equation (d):CF(F)=f1×F+f2×F2+f3×F3  (d)(where F: fogging electron amount distribution, and f1, f2 and f3: constants). 16. A charged-particle beam writing apparatus for deflecting a charged-particle beam by a deflector and writing each pattern onto a sample placed on a stage, comprising:position displacement amount distribution calculating means for calculating a distribution of a position displacement amount of the charged-particle beam lying on the sample, based on a charge amount distribution in an irradiation region of the sample irradiated with the charged-particle beam, and a charge amount distribution in a non-irradiation region unirradiated therewith; anddeflector control means for controlling the deflector based on the distribution of the position displacement amount. 17. The charged-particle beam writing apparatus according to claim 16, further including charge amount distribution calculating means for calculating the charge amount distributions in the irradiation and non-irradiation regions using an exposure distribution of the charged-particle beam applied onto the sample and a fogging electron amount distribution. 18. The charged-particle beam writing apparatus according to claim 17, further including fogging electron amount distribution calculating means for calculating the fogging electron amount distribution, based on the exposure distribution and a spread distribution of fog electrons expanded from the irradiation region irradiated to the non-irradiation region. 19. The charged-particle beam writing apparatus according to claim 18, further including exposure distribution calculating means for calculating the exposure distribution, based on a pattern density distribution and a dose distribution set for every predetermined region of the sample. 20. The charged-particle beam writing apparatus according to claim 19, further including dose distribution calculating means for calculating the dose distribution, based on the pattern density distribution.
abstract
An electromagnetic mechanical pulser implements a transverse wave metallic comb stripline TWMCS kicker having inwardly opposing teeth structured to retard a phase velocity of an RF traveling wave propagated therethrough to match the kinetic velocity of a continuous electron beam simultaneously propagated therethrough. The kicker imposes transverse oscillations onto the beam, which is subsequently chopped into pulses by an aperture. The RF phase velocity is substantially independent of RF frequency and amplitude, thereby enabling independent tuning of the electron pulse widths and repetition rate. The exterior surface of the kicker is conductive, thereby avoiding electron charging. In embodiments, various elements of the kicker and/or aperture can be mechanically varied to provide further tuning of the pulsed electron beam. A divergence suppression section can include a mirror TWMCS and/or magnetic quadrupoles. RF can be applied to a down-selecting TWMCS downstream of the aperture to reduce the pulse repetition rate.
claims
1. A chemical decontamination method comprising a dissolution step in which a radioactive insoluble substance containing a metal oxide, the radioactive insoluble substance being adhered to a decontamination object including carbon steel, is dissolved in a decontamination solution and a metal-ion removal step in which the decontamination solution containing the metal ion, the decontamination solution being produced in the dissolution step, is brought into contact with a cation-exchange resin in order to remove the metal ion, the dissolution step including a reductive dissolution step conducted using a decontamination solution containing formic acid, ascorbic acid and/or erythorbic acid (hereinafter, referred to as “ascorbic acid, etc.”), and a corrosion inhibitor. 2. The chemical decontamination method according to claim 1, wherein the decontamination object includes carbon steel and stainless steel, and wherein the dissolution step includes an oxidative dissolution step conducted using a decontamination solution containing permanganic acid and/or a permanganic acid salt (hereinafter, referred to as “permanganic acid (salt)”) at a concentration of 100 to 2,000 mg/L, a reductive decomposition step in which a reducing agent is added to the decontamination solution treated in the oxidative dissolution step in order to perform reductive decomposition of the permanganic acid (salt), and the reductive dissolution step conducted subsequent to the reductive decomposition step. 3. The chemical decontamination method according to claim 2, wherein, in the reductive decomposition step, ascorbic acid, etc. is added to the decontamination solution in an amount 1.0 to 2.0 times the amount equivalent to the permanganic acid (salt) in order to perform the reductive decomposition of the permanganic acid (salt). 4. The chemical decontamination method according claim 1, wherein, in the reductive dissolution step, the metal oxide is dissolved in a decontamination solution containing formic acid at a concentration of 1,000 to 10,000 mg/L, ascorbic acid, etc. at a concentration of 400 to 4,000 mg/L, and a corrosion inhibitor at a concentration of 100 to 500 mg/L. 5. The chemical decontamination method according to claim 1, wherein the metal-ion removal step includes a first cation-exchange treatment step in which the decontamination solution containing the metal ion, the decontamination solution being produced in the reductive dissolution step, is passed through a cation-exchange resin column in order to produce first cation-exchange treatment water containing an Fe ion at a concentration of 300 mg/L or less. 6. The chemical decontamination method according to claim 5, wherein, subsequent to the first cation-exchange treatment step, a formic acid oxidative decomposition step in which a corrosion inhibitor is added to the first cation-exchange treatment water at a concentration of 200 to 300 mg/L and hydrogen peroxide is subsequently added to the first cation-exchange treatment water in an amount 1 to 3 times the amount equivalent to the formic acid in order to decompose the formic acid using the Fe ion as a catalyst is conducted. 7. The chemical decontamination method according to claim 6, wherein the metal-ion removal step includes a second cation-exchange treatment step in which water treated in the formic acid oxidative decomposition step is irradiated with ultraviolet radiation and subsequently passed through a cation-exchange resin column in order to remove the metal ion. 8. The chemical decontamination method according to claim 7, wherein an ascorbic acid, etc. oxidative decomposition step in which a corrosion inhibitor is added to water treated in the second cation-exchange treatment step at a concentration of 200 to 300 mg/L, hydrogen peroxide is subsequently added to the treated water, and the treated water is then irradiated with ultraviolet radiation in order to perform oxidative decomposition of the ascorbic acid, etc. is conducted. 9. The chemical decontamination method according to claim 8, wherein water treated in the ascorbic acid, etc. oxidative decomposition step is passed through a mixed-bed resin column in order to produce treated water having an electric conductivity of 2 μS/cm or less.
summary
abstract
A method of fuel bundle consideration in a reactor. The method includes creating or editing bundle groups including fuel bundles.
summary
summary
048636770
description
Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a containment 1 of a pressurized water reactor, which is conventionally constructed in the form of a steel sphere. The containment 1 encloses the primary system of a pressurized water reactor for 1300 MW.sub.e, for instance. This system also includes non-illustrated steam generators, with which a thermal output of approximately 4000 MW in the form of steam are supplied to an engine room that is located beside the containment. The containment 1 is surrounded by a thick-walled concrete building, so that a second containment which is not dealt with herein, is also provided, as in the case of the pressurized water reactor in German Patent DE-PS 26 34 356, corresponding to U.S. Patent No. 4,151,689. An outlet line 3 protrudes into the interior 2 of the containment 1. A mist collector 4 is provided on the inner end of the outlet line 3. The line 3 forms an outlet opening. In the event of a malfunction in which a negative pressure is provided, the medium effecting the negative pressure, that is, steam and gas, can be carried away through the outlet opening before the bursting pressure of the containment 1 is attained. The line 3 leads to a filter and blow-off configuration, generally identified by reference numeral 5. The structure of the filter and blow-off configuration will be described in further detail below, referring to FIGS. 2-5. A pressure maintenance and limiting device, which is symbolized by a safety valve 6 in FIG. 1, is also connected to the line 3. In practice, the safety valve 6 may, for instance, be formed of two safety valves which are connected in series and are actuated by a special or individual medium, in order to limit the pressure in the containment to a value of 6 bar, for example. Two motor-actuated or manual shutoff valves 8 and 9 are connected parallel to line segments 7 having the safety valve 6 and are connected in series or through a separate line to the configuration 5. In an alternative structure which is shown in broken lines in FIG. 1, the line 3 can be provided with a bursting diaphragm 10, which has a response pressure of 7 bar, for example. FIG. 2 shows that the line 3 leads into a container 12 that forms a substantial part of the filter and blow-off configuration 5. The lower portion of the container 12 encloses a volume 13 of washing fluid, especially water, the surface of which is indicated at reference numeral 14. The surface of the water is located at a level approximately one-half to three-quarters of the way up the container 12. A Venturi scrubber 15 in the form of a jet pump is disposed in the water volume 13. The Venturi scrubber 15 is located at least 1 meter below the surface of the water. An intake side 17 of the Venturi scrubber 15 can be connected to the line 3 through a further jet pump 18, with which the outlet medium leaving the containment 1 can be reinforced with water, as indicated by an arrow 19. Alternatively, the water 13 is aspirated directly, as indicated by an arrow 21. In order to reduce the temperature of the outlet medium leaving the containment 1, the Venturi scrubber 15 can be preceded by a throttle restriction 22 and a jet pump 23, which operates as a heat remover and adds water as indicated by an arrow 24. The result is saturated steam at a lower temperature, which prevents overloading of the Venturi scrubber 15. Instead of the jet pump 23, another type of heat exchanger could also be used. The outlet of the Venturi scrubber 15 leads into a gas space 27 above the surface 14 of the water. An outlet line 29 that begins at a fluid collector or trap 28, is connected to the gas space 27 and leads into a stack 30. Liquid from the filter 28 flows through a liquid outlet 31 leading back into the volume 13 of water. The line 31 may be omitted if the filter 28 is disposed in the gas space 27 in the container 12. The container 12 can also have a cooling device, such as a cooling coil for re-cooling the washing fluid 13, and a collecting pan which prevents a release of the washing fluid in the event of leakage. The end of the outlet line 29 facing toward the stack can be provided with a mixing nozzle 32. This primarily takes the form of a jet pump, with which ambient air is aspirated for diluting and cooling purposes. With the Venturi scrubber 15, effective aerosol moistening and iodine absorption are attained utilizing the pressure which is already present in the containment 1. Accordingly, the retention of activity carriers is possible, with substantially less space being required that in the case of the conventional use of a mound of soil. In the embodiment of FIG. 3, a mist collector 28 is located below the surface 14 of the water. The mist collector 28 is acted upon directly by the Venturi scrubber 15. The gas outlet 34 of the mist collector 28 leads to a gas distributor, 35, with which gas cleaned in the Venturi scrubber 15 is distributed uniformly over the volume 13 of the liquid. The outlet of the container 12 leads into a fiber mist collector 37, to which the line 29 is connected. The line 29 also leads through the mixing nozzle 32 into the stack 30. The moisture accumulating in the filter 37 is returned to the container 12 through a line 38. In the embodiment of FIG. 4, the gas volume 27 is divided into two zones by a partition 40 that protrudes below the surface 14 of the liquid. Two Venturi scrubbers 15' and 15" are disposed in the volume of water 13, each on a respective side of the partition 40. A throttle restriction 41 connects the scrubbers in parallel to the line 3. The Venturi scrubbers 15' and 15" are mounted at different heights below the surface 14 of the liquid. As a result, in partial-load operation the Venturi scrubber 15' that is at a lower level is more or less put out of operation, because of the higher liquid pressure. The Venturi scrubber 15" located at a higher level therefore operates under volumetrically optimized operating conditions. The two sides of the gas space 27 lead through mist collectors 28', 28" to the outlet line 29, which is provided with a high efficiency submicron particulate air filter 42 for further cleaning of the gases and for retaining aerosols. The submicron particulate filter 42 is preceded by a throttle 43 and followed by a mixing nozzle 32. In the embodiment of FIG. 5, the outlet line 29 includes not only the fiber mist collector 37, which is equivalent to that of the embodiment of FIG. 3, but also the throttle restriction 43 and the high efficiency submicron particulate filter 42 of the kind already shown in FIG. 4. The Venturi scrubber 15 feeds the gas distributor 35 through the mist collector 28. The foregoing is a description corresponding in substance to German Application P 36 37 795.3, dated Nov. 6, 1986, the International priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter.
summary
description
This application is a Divisional of U.S. application Ser. No. 12/501,822, filed on Jul. 13, 2009 now U.S. Pat. No. 8,164,058, which is a Divisional of U.S. application Ser. No. 11/717,155, filed on Mar. 13, 2007, now U.S. Pat. No. 7,573,030, claiming priority of Japanese Patent Application No. 2006-068472, filed on Mar. 14, 2006, the entire contents of each of which are hereby incorporated by reference. 1. Field of the Invention The present invention relates to a specimen observation method, an image processing device, and a charged-particle beam device. More particularly, it relates to a method and a device which are preferable for observing the same field-of-view as that of a specimen observed using an optical microscope. 2. Description of the Related Art Concerning a specimen to be observed using an optical microscope, in the case of, e.g., a living-creature specimen, the specimen can be colored by an appropriate staining method which depends on differences in the specimen components and its physiological state. As a result, the specimen can be observed in a state which is close to that of a living body. By the way, in the analysis of living-body reactions like this, fine structure analysis at cell level or macromolecular level is important. An optical microscope, however, finds it difficult to observe the fine structure. This is because the optical microscope has a limitation to its resolving power, and thus can exhibit only an insufficient magnification. Accordingly, for this fine structure analysis to be implemented, the observation made by an electron microscope is desirable. In JP-A-6-13011, the following explanation has been given: A specimen is observed using an optical image capture device provided independently of an electron microscope. Moreover, its coordinate position and the position of specimen stage of the electron microscope are made to correspond to each other, thereby searching for field-of-view in the electron microscope. In JP-A-8-129986 (corresponding to U.S. Pat. No. 5,646,403), the following explanation has been given: Regarding field-of-view displacement of a scanning electron microscope, the specimen stage is displaced in correspondence with observation field-of-view width of the scanning electron microscope. An optical microscope performs formation of a specimen image mainly by detecting reflection light from the specimen. In contrast thereto, however, an electron microscope performs formation of a specimen image by detecting secondary electrons and the like. In this way, since the specimen images are formed based on the different signals, the image qualities are exceedingly different from each other. Consequently, it has been difficult to retrieve the observation field-of-view of the electron microscope on the basis of the specimen image acquired using the optical microscope. It is an object of the present invention to provide a specimen observation method, an image processing device, and a charged-particle beam device which are preferable for selecting, based on an image acquired by an optical microscope, an image area that should be acquired in a charged-particle beam device the representative of which is an electron microscope. In the present invention, in order to accomplish the above-described object, there are provided a method and a device for determining the position for detection of charged particles by making the comparison between a stained optical microscope image and an elemental mapping image formed based on X-rays detected by irradiation with the charged-particle beam. The configuration like this makes it possible to easily implement that, e.g., a reaction region within an optical microscope image to which a staining corresponding to a living-body reaction has been applied is set and employed as the observation target of a charged-particle beam device such as an electron microscope. According to the present invention, it becomes possible to easily implement a search for field-of-view for the observation by a charged-particle beam device with respect to a specimen observed using an optical microscope. Other objects, features and advantages of the invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings. Hereinafter, referring to the drawings, the detailed explanation will be given below concerning an example of a charged-particle beam device in order to explain an embodiment of the present invention. As a charged-particle beam device, there exists a scanning electron microscope, transmission electron microscope, scanning transmission electron microscope, or ion beam irradiation device. In the present example, the explanation will be given below regarding an embodiment of the present invention, referring to FIG. 1 and selecting a scanning electron microscope as the example. As illustrated in the drawing, an electron beam 3 emitted from an electron gun 2 of main body of an electron microscope 1 is converged by an irradiation lens 4. Next, the electron beam 3 is deflected by a scanning coil 6 including an X-direction deflection coil and a Y-direction deflection coil. Moreover, the electron beam 3 deflected into the two directions is focused on a specimen 7 held by a specimen stage 8 by an objective lens 5, then being scanned on the specimen 7. The electron gun 2 is controlled by an electron gun control device 12. The irradiation lens 4 and the objective lens 5 are controlled by an irradiation lens control device 13 and an objective lens control device 14, respectively. Also, a not-illustrated specimen stage driving mechanism is controlled by a specimen stage control device 16. The scanning coil 6 is controlled by a scanning coil control device 15. These devices, i.e., the electron gun control device 12, the irradiation lens control device 13, the objective lens control device 14, the scanning coil control device 15, and the specimen stage control device 16, configure an observation condition setting device. Characteristic X-rays are generated from surface of the specimen 7 by the electron beam 3 scanned on the specimen 7. Next, the characteristic X-rays generated are detected by an X-ray detector 11, and are supplied to an image processing device 18, then being recorded and stored as image data. Simultaneously, secondary electrons generated from the specimen 7 are detected by a secondary-electron detector 9, and are supplied to the image processing device 18 as image data, then being recorded and stored. Simultaneously, reflection electrons generated from the specimen 7 are detected by a reflection-electron detector 10, and are supplied to the image processing device 18 as image data, then being recorded and stored. An optical image, which is acquired by an optical device 17 independently of the electron microscope, is supplied to the image processing device 18, then being recorded and stored as image data. In this embodiment, the observation condition setting device of the electron microscope, i.e., each of the electron gun control device 12, the irradiation lens control device 13, the objective lens control device 14, the scanning coil control device 15, and the specimen stage control device 16, is so configured as to be connected to the image processing device 18 via a predetermined transmission path so that the mutual data transmission/reception is executable. Also, the image processing device 18 is so configured as to allow implementation of the driving control over the specimen stage and setting of the observation conditions for each lens. On account of this, the image processing device 18 is so configured as to include, e.g., a computer onto which a predetermined program is installed. This program makes it possible to create control data which is necessary for the above-described specimen-stage driving mechanism control device and observation condition setting device, and which should be supplied thereto. Hereinafter, referring to a flowchart in FIG. 2, the explanation will be given below concerning an example of the operation when the same field-of-view as that of a specimen image captured using an optical device is observed using a charged-particle beam device. In the present embodiment, “image judgment processing”, “image record processing”, “image retrieval processing”, and “condition registration processing” are carried out by the image processing device 18. First, using the optical microscope, the operator observes the specimen 7 which is stained for the optical microscope. Although not illustrated in FIG. 1, the specimen image observed is photographed by a record device such as CCD camera. After that, the photographed image is supplied to the image processing device 18. Next, the specimen 7 for the optical microscope is set on the specimen stage 8 inside a specimen chamber of the scanning electron microscope. Moreover, the electron beam 3 is scanned on the surface of the specimen 7 in accordance with a predetermined procedure, and resultant characteristic X-rays generated are detected by the X-ray detector 11 (step: 2-1). In addition, elemental mapping images where intensity of the characteristic X-rays detected by the X-ray detector 11 is displayed in a two-dimensional manner is supplied to the image processing device 18, then being recorded and stored as image data (step: 2-2). Subsequently, in the image processing device 18, the comparison is made between the image data on the specimen image by the optical microscope and the elemental mapping images. At this time, it is advisable to use an image correlation method. Result of the image correlation, which is the degree of coincidence between both of the images, may be displayed as “coincidence degree”. If an elemental mapping image exhibiting the highest coincidence degree has been successfully selected out of the result by the image correlation (step: 2-3), a secondary-electron image and a reflection-electron image within the field-of-view are observed (step: 2-4). Incidentally, in the present embodiment, a predetermined threshold value may be set in advance regarding the coincidence degree of the images, and an image which is found to exceed this threshold value may be selectively acquired, observed, and recorded. Simultaneously with the acquisition of the elemental mapping image of the specimen image acquired by the image optical device, the secondary-electron image and the reflection-electron image within the field-of-view may be observed, and be recorded into the image processing device 18 as the image data. These series of image data, i.e., the specimen image acquired by the optical device, its elemental mapping image, and its secondary-electron image and reflection-electron image, may be recorded into the image processing device 18 as a single data group. At this time, the observation conditions of the optical microscope have been supplied to the observation condition setting device of the electron microscope via the image processing device 18. As a result, the observation conditions in the electron microscope coincide with the observation conditions of the optical microscope. In the image data groups, in the case of the image data stored in, e.g., TIF image format (step: 2-5), all the observation conditions of the observation condition setting device of the electron microscope may be written into tag areas, thereby being recoded in a manner of being made to correspond to the images (step: 2-6, step: 2-7). Moreover, the specimen stage is displaced to the next observation position (step: 2-8), then returning to observation of the specimen depending on the requirements. Hereinafter, basically the same steps will be executed. Next, in this embodiment, the same field-of-view is automatically searched for by performing displacement of the specimen stage in such a manner that the observation area by the optical device is selected and defined as the unit of this displacement. Referring to a flowchart in FIG. 3, the explanation will be given below concerning the operation at this time. With respect to the specimen image by the optical microscope, the observation field-of-view area of the optical microscope is determined from the image data supplied to the image processing device 18 (step: 3-1). The specimen stage 8 is controlled by the specimen stage control device 16 of the electron microscope in such a manner that the specimen stage 8 displaces with the observation field-of-view area as the unit of the displacement amount of the specimen stage (step: 3-2). Acquisition positions of the elemental mapping of the optical microscope specimen by the X-ray detector 11 are read by the specimen stage control device 16, then being recorded in a manner of being made to correspond to the elemental mapping images (step: 3-3). The results of the image correlation between the elemental mapping of the optical microscope specimen by the X-ray detector 11 and the optical microscope specimen images are displayed as, e.g., the coincidence degrees (step: 3-4). Depending on rank of the coincidence degrees by the image correlation between the elemental mapping of the optical microscope specimen by the X-ray detector 11 and the optical microscope specimen images, the elemental mapping images, secondary-electron images, and reflection-electron images of the specimen are ranked. The optical microscope specimen images, the elemental mapping images of the optical microscope specimen by the X-ray detector, the secondary-electron images, and the reflection-electron images are recorded and stored into the image processing device 18 as the data group (step: 3-5). Also, in this embodiment, the specimen stage control device 16 may be controlled so that the specimen stage 8 displaces in such a manner that the acquisition area of the elemental mapping of the optical microscope specimen by the X-ray detector 11 is selected and defined as the displacement amount of the specimen stage. As the result of the image correlation between the elemental mapping images of the optical microscope specimen by the X-ray detector 11 and the optical microscope specimen images, if the highest coincidence degree is found, or if a coincidence degree is found to exceed a predetermined threshold value, the displacement of the specimen stage 8 is halted. Then, its position coordinate at this time is recorded and stored (step: 3-6). Consequently, according to this embodiment, it becomes possible to directly observe the specimen for the optical microscope in the scanning electron microscope without preparing a specific specimen manufacturing intended for the scanning electron microscope. On account of the image correlation between the elemental mapping images of the specimen for the optical microscope and the specimen images acquired by the optical device, the same field-of-view as that of the observation region of the specimen for the optical microscope can be observed by the scanning electron microscope. The reaction region of the specimen for the optical microscope to which a staining corresponding to a living-body reaction has been applied can be directly observed by the electron microscope. This makes it possible to directly observe the relationship between the living-body reaction and the fine structure. Moreover, the specimen stage is displaced in such a manner that the acquisition area of the elemental mapping images of the optical microscope specimen is selected and defined as the unit of the displacement amount. Then, these pieces of coordinate information are stored in a manner of being made to correspond to the elemental mapping images. Furthermore, the elemental mapping images of the optical microscope specimen, the secondary-electron images, and the reflection-electron images are stored as the data group, then being ranked depending on the coincidence degrees. Then, the displacement of the specimen stage can be automatically halted at the position at which the highest coincidence degree is found. It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims.
abstract
The present relates to the integration of the primary functional elements of graphite moderator and reactor vessel and/or primary heat exchangers and/or control rods into an integral molten salt nuclear reactor (IMSR). Once the design life of the IMSR is reached, for example, in the range of 3 to 10 years, it is disconnected, removed and replaced as a unit. The spent IMSR functions as the medium or long term storage of the radioactive graphite and/or heat exchangers and/or control rods and/or fuel salt contained in the vessel of the IMSR. The present also relates to a nuclear reactor that has a buffer salt surrounding the nuclear vessel. During normal operation of the nuclear reactor, the nuclear reactor operates at a temperature that is lower than the melting point of the buffer salt and the buffer salt acts as a thermal insulator. Upon loss of external cooling, the temperature of the nuclear reactor increases and melts the buffer salt, which can then transfer heat from the nuclear core to a cooled containment vessel.
claims
1. A method for generating a projective X-ray representation of an examination object, which comprises the following method steps of:performing an interferometric projective imaging using a radiator-detector system with a Talbot-Lau grating configuration having a first linear phase grating with a first alignment;ascertaining a projective absorption image with absolute absorption values in absolute representation format;ascertaining a first projective differential phase contrast image with differential phase contrast values in differential representation format;adapting the representation format of one of the ascertained images to the other image respectively;generating at least one new result image by combining an unmodified image with an image which has been adapted in respect of its representation format; andstoring and/or outputting the at least one result image. 2. The method according to claim 1, wherein for adapting the absolute representation format of the projective absorption image, forming spatial derivatives on a pixel-by-pixel basis perpendicular to the first alignment of grating lines of the first linear phase grating, and a differential absorption image produced thereby is combined with the first projective differential phase contrast image. 3. The method according to claim 1, wherein for adapting the differential representation format of the first projective differential phase contrast image, forming absolute values by integration on a pixel-by-pixel basis perpendicular to the first alignment of grating lines, and an absolute phase contrast image, produced thereby is combined with the projective absorption image. 4. The method according to claim 1, which further comprising ascertaining a second projective differential phase contrast image with differential phase contrast values in the differential representation format using the first linear phase grating which is aligned in a second direction. 5. The method according to claim 4, wherein for ascertaining the second projective differential phase contrast image, rotating the first linear phase grating. 6. The method according to claim 4, wherein for ascertaining the second projective differential phase contrast image, using a second phase grating with a different alignment instead of the first linear phase grating. 7. The method according to claim 4, wherein a second alignment of the first linear phase grating runs perpendicular to the first alignment of the first linear phase grating. 8. The method according to claim 4, which further comprises:ascertaining the projective absorption image with absolute image values and the first and second projective differential phase contrast images, Δφ(|)) with differential image values using respectively different alignments of generating phase gratings;converting the first and second projective differential phase contrast images, Δφ(|)) into absolute phase contrast images, ∥φ(−)) by means of unidimensional integration perpendicular to an alignment of the generating phase gratings; andcomputing the at least one result image from the projective absorption image and the absolute phase contrast images, ∥φ(−)) by means of pixel-by-pixel weighted combination. 9. The method according to claim 4, which further comprises:ascertaining the projective absorption image with absolute image values and the first and second projective differential phase contrast images with differential image values using respectively different alignments of generating phase gratings;converting the first and second projective differential phase contrast images into an absolute phase contrast image by means of two-dimensional integration perpendicular to alignments of the generating phase gratings; andcomputing the at least one result image from the absolute absorption image and the absolute phase contrast image by means of pixel-by-pixel combination. 10. The method according to claim 1, which further comprises using a polynomial for combining) images. 11. The method according to claim 10, which further comprises using polynomial factors previously ascertained in a context of calibration in a chosen polynomial. 12. The method according to claim 1, which further comprises performing a phase contrast measurement by means of “phase stepping” one of gratings that is used. 13. The method according to claim 12, which further comprises performing the phase contrast measurement by using a detector whose resolution lies in a range of grating spacing's of an analysis grating. 14. The method according to claim 12, which further comprises ascertaining the absorption image from a sum of intensity measurements of the phase contrast measurement. 15. The method according to claim 1, which further comprises recording the projective absorption image in an absence of the first linear phase grating in a ray path. 16. The method according to claim 1, which further comprises positioning the first linear phase grating between a radiator and the examination object. 17. The method according to claim 1, which further comprises using a polynomial, being a degree 1 to 3, for combining the images. 18. An X-ray apparatus for generating a projective X-ray representation of an examination object, the X-ray apparatus comprising:a radiator-detector system for X-ray examination of the examination object disposed in a ray path and having a detector;a Talbot-Lau grating configuration disposed in the ray path and having a first linear phase grating with a first alignment; anda control and computing unit with a memory containing program code which is used during operation for controlling the X-ray apparatus and for data processing of signals received from said detector, wherein X-ray representations of the examination object are generated, said memory of said control and computing unit storing the program code which during operation further performs method steps according to claim 1.
047602686
summary
BACKGROUND OF THE INVENTION The present invention relates to a container for the conditioning of medium or low activity radioactive waste. For facilitating the storage thereof, low and medium activity radioactive waste is normally conditioned or stored in containers in the form of drums sealed by covers. After being placed in the drums, the waste is coated with a bituminous mixture injected through an opening made in the cover. In the case of low activity waste, the containers are normally locked by bolting the cover onto the drum. In the case of medium activity waste, it is not possible for personnel to bolt down the cover, so that the container is locked through the setting of the bituminous mixture. In both cases, the containers takes a long time to lock making the presently used container locking means completely unsuitable for the automation of the operations of conditioning medium and low activity radioactive waste. However, as a result of the increase in the number of nuclear power stations throughout the world, there has been a considerable rise in the amount of waste. It is therefore highly desirable to have an easily remotely manipulatable and lockable container, so that it is possible to achieve a sufficiently high speed to allow the conditioning and coating of the waste by an automatic line. SUMMARY OF THE INVENTION The present invention therefore specifically relates to a container for medium or low activity radioactive waste, comprising a drum equipped with an open end and a cover able to seal said end, the open end of the drum having a shoulder turned towards the inside thereof, said cover carrying at least three circumferentially spaced tongues, whereof the end is turned so as to be able to bear against said shoulder when the cover bears on the edge of the open end of the drum, wherein the cover comprises two parallel plates interconnected by radial baffle members defining between them angular sectors and an outer cylindrical ferrule fixed to the baffle members between the two plates, a first of said plates having an elastically deformable peripheral portion able to bear on the edge of the open end, said outer cylindrical ferrule having a diameter smaller than the internal diameter of the shoulder and carrying said tongues and wherein the cover also comprises a central ferrule defining an injection shaft traversing the first plate and communicating by openings with part of the angular sectors, said sectors communicating with one another by windows formed in the baffle members and with the interior of the drum by at least one opening formed on the peripherary of the other plate, said first plate being provided in the vicinity of the injection shaft with vents issuing into the angular sectors not communicating with the injection shaft. The automation of the conditioning can be improved by having a means for detecting the presence of the drum filling material level with the vents. In order to guarantee the sealing of the locking of the container, the elastically deformable part of the cover can carry a gasket able to bear on the edge of the open end of the drum.
046612904
claims
1. An apparatus for compacting a solid waste material comprising radioactive material including a thermoplastic material, comprising: (a) a hollow cylindrical body having a charging inlet for charging the solid waste material therethrough into the hollow cylindrical body, a heating portion for heating the solid waste material in said hollow cylindrical body, and a discharging outlet for discharging the solid waste material from said hollow cylindrical body; (b) a rotatable shaft disposed in said hollow cylindrical body and having a helical screw blade thereon, said screw blade and an inner wall surface of said hollow cylindrical body being spaced from each other with a clearance provided therebetween for allowing the solid waste material in said hollow cylindrical body to form a bridge therein; (c) support means for radially movably supporting an end of said rotatable shaft; (d) a prime mover coupled to said end of the rotatable shaft through said support means; and (e) an outlet nozzle coupled to said discharging outlet for compressing the solid waste material discharged from said discharging outlet. (a) a plurality of shaping tubes into each of which an extruded mass from said compacting apparatus can be forcibly charged; (b) means for closing one of said shaping tubes at a time when the extruded mass is charged into said one shaping tube; (c) means for moving said shaping tubes in a direction; and (d) means spaced from said compacting apparatus in said direction for ejecting the extruded mass out of said one shaping tube. (a) positioning a shaping tube with an end thereof opening toward said discharging outlet; (b) closing an opposite end of said shaping tube with closing means; (c) forcibly charging an extruded mass from said apparatus into said shaping tube; (d) thereafter rotating said rotatable shaft in a reverse direction to cut off said extruded mass in the vicinity of said discharging outlet; (e) moving over said shaping tube filled with said extruded mass to cool the same; and (f) ejecting the shaped and cooled extruded mass out of said shaping tube. (a) filtering the exhaust gas produced by said compacting apparatus to collect dust particles therefrom; (b) thereafter discharging the exhaust gas through an exhaust gas line; and (c) cooling a cooling medium for said induction heating coil with said exhaust gas in a heat exchanger disposed on said exhaust gas line. (a) charging a solids cleaning material into said hollow cylindrical body to force a remaining solid waste material out of said hollow cylindrical body. 2. An apparatus according to claim 1, wherein said hollow cylindrical body is inclined downwardly toward said discharging outlet at an angle smaller than the angle of repose of the solid waste material including a plastics material. 3. An apparatus according to claim 1, wherein said clearance ranges from 5 to 20 mm with said rotatable shaft and said hollow cylindrical body being coaxial with each other. 4. An apparatus according to claim 1, wherein said outlet nozzle has a cross section which is substantially the same as that of said hollow cylindrical body. 5. An apparatus according to claim 1, wherein said screw blade has a substantially half distal end portion inclined toward said end of the rotatable shaft between said charging inlet and said heating portion. 6. An apparatus according to claim 1, wherein said screw blade has at least one recess between said charging inlet and said heating portion. 7. An apparatus according to claim 1, further comprising an accessory facility for controlling rotation of said rotatable shaft depending on a current flowing through said prime mover or a torque imposed on said prime mover. 8. An apparatus according to claim 7, wherein in the accessory facility said rotatable shaft is rotated in a reverse direction for a preset interval of time when said current or torque exceeds a predetermined level. 9. An apparatus according to claim 8, wherein in the facility the number of reverse rotations of said rotatable shaft is counted, and the rotation of said rotatable shaft is stopped when the counted number reaches a given one within a given period of time. 10. An apparatus according to claim 9, wherein the operation of a chopper disposed at said charging inlet is stopped at the same time that the rotation of said rotatable shaft is stopped. 11. An apparatus according to claim 1, further comprising an accessory facility for producing a solid mass comprising: 12. An apparatus according to claim 11, wherein in the facility each of said shaping tubes is of a rectangular cross section. 13. An apparatus according to claim 11 wherein in the facility said closing means comprises a presser plate having an outer periphery substantially identical in shape to an inner peripheral surface of said shaping tube, a piston on which said presser plate is mounted, and a fluid cylinder for moving said piston under a prescribed fluid pressure to displace said presser plate in said shaping tube. 14. An apparatus according to claim 11, wherein in the facility said ejecting means comprises a piston for ejecting the extruded mass out of said shaping tube, and a fluid cylinder for moving said piston. 15. An apparatus according to claim 1, further comprising an accessory facility for producing a solid mass comprising the means of: 16. An apparatus according to claim 15, wherein in the facility said shaping tube is of a rectangular cross section. 17. An apparatus according to claim 15 or 16, wherein in the facility said closing means comprises a presser plate having an outer periphery substantially identical in shape to an inner peripheral surface of said shaping tube, a piston on which said presser plate is mounted, and a fluid cylinder for moving said piston under a prescribed fluid pressure to displace said presser plate in said shaping tube. 18. An apparatus according to claim 1, further comprising an accessory facility for processing an exhaust gas from an apparatus for compacting a solid waste material including a plastics material, said facility comprising the means of: 19. An apparatus according to claim 18, wherein in the facility said cooling medium comprises water or a coolant with an anti-freeze added thereto. 20. A method of cleaning an apparatus for compacting a solid waste material comprising radioactive material including a plastics material, said apparatus comprising a hollow cylindrical body having a charging inlet for charging the solid waste material therethrough into the hollow cylindrical body, a heating portion for heating the solid waste material in said hollow cylindrical body, and a discharging outlet for discharging the solid waste material from said hollow cylindrical body; a rotatable shaft disposed in said hollow cylindrical body and having a helical screw blade thereon, said screw blade and an inner wall surface of said hollow cylindrical body being spaced from each other with a clearance provided therebetween for allowing the solid waste material in said hollow cylindrical body to form a bridge therein; support means for radially movably supporting an end of said rotatable shaft; a prime mover coupled to said end of the rotatable shaft through said support means; and an outlet nozzle coupled to said discharging outlet for compressing the solid waste material discharged from said discharging outlet, said method comprising the step of: 21. A method according to claim 20, wherein said cleaning material is said solid waste material and said remaining solid waste material is forced out thereby at a temperature lower than the melting point of said solid waste material and higher than the temperature at which said solid waste material remains flowable. 22. A method according to claim 20, wherein said cleaning material is sand. 23. A method according to claim 22, wherein when said sand is charged as the cleaning material, said remaining solid waste material is forced out thereby at a temperature higher than the temperature at which said solid waste material remains flowable.
description
This is a divisional application of and claims priority to U.S. patent application Ser. No. 16/889,514, entitled “Synchronized Parallel Tile Computation for Large Area Lithography Simulation” and filed Jun. 1, 2020, which is a divisional application of and claims priority to U.S. patent application Ser. No. 15/867,437, entitled “Synchronized Parallel Tile Computation for Large Area Lithography Simulation” and filed Jan. 10, 2018, which is a non-provisional application of and claims priority to U.S. Provisional Patent Application Ser. No. 62/586,621, entitled “Synchronized Parallel Tile Computation for Large Area Lithography Simulation” and filed Nov. 15, 2017, the entire disclosures of which are hereby incorporated by reference. The semiconductor device industry has experienced rapid growth. In the course of semiconductor device evolution, the functional density has generally increased while feature size has decreased. This scaling down process provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of design and manufacturing these devices. For example, one technique applied to the design and manufacturing of semiconductor devices is optical proximity correction (OPC). OPC includes applying features that alter the photomask design layout of a semiconductor device in order to compensate for distortions, for example, caused by the diffraction of light through subwavelength features on the photomask, the bandlimiting effect of a lens system, and the chemical process of the photoresist that occur during lithography. Thus, OPC allows circuit patterns on a substrate to conform more closely to an integrated circuit (IC) designer's layout for the semiconductor device. As process nodes shrink, OPC processes and the resultant patterns become more complex. There is also inverse lithography technology (ILT), which may produce complex, curvilinear patterns on a photomask or reticle, rather than Manhattan patterns that are formed via OPC on conventional photomasks or reticles. Unfortunately, even though existing OPC and ILT techniques have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. The following disclosure provides many different embodiments, or examples, for implementing different features of the present disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature “over” or “on” a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. As semiconductor fabrication progresses to increasingly small technology nodes, various techniques have been employed to help achieve the small device sizes. One example of such technique is computational lithography, which aims to simulate the lithography process before actually fabricating a photomask. The simulation helps optimize pattern geometries on the photomask. With increasingly small technology nodes, more devices and features are packed into the same area of IC design layout. Shorter light wavelengths are used in lithography processes to help realize smaller technology nodes. Therefore, in applications of computational lithography, such as Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT), a large area of IC layout is divided into small tiles for distributed processing. Distributed processing helps lithography simulation applications due to limited physical memory associated with a single central processing unit (CPU). Lithography simulation may be performed more effectively and more efficiently with parallel processing by multiple CPUs located on multiple machines. Conventional parallel computing solutions and tiling schemes frequently result in low simulation area efficiency. Further, special care is required to prevent inconsistent computational results at the tile boundaries, which cause difficulties when the processed tiles are then stitched back together to form a complete solution for the whole mask layout. For example, in some mask correction algorithms, such as OPC and ILT, an iterative solver is applied independently within each tile in an ordered fashion. The information flow between neighboring tiles goes one-way only: each tile is initialized (near its boundary) based on the solutions of its predecessors, and the tile passes on its own results (near its boundary) to its successors. If a particular tile is allowed to change a mask solution from its predecessors, in general this will lead to boundary inconsistencies. Boundary stitching is done at the very end after solutions have already diverged, and special techniques are required to correct boundary inconsistencies. Alternatively, a tile can freeze the solution from its predecessors, but this will limit the degrees of freedom the tile has in computing an optimized mask pattern. Moreover, in order to simulate a tile accurately, it is useful to simulate a larger surrounding region (sometimes referred to as a halo). In certain conventional OPC and ILT practices, the halo can be quite large (and frequently larger than would seem to be necessary based on model considerations), which results in low simulation area efficiency. Overall, conventional methods and practices are expected to be insufficient, especially for developing most advanced nodes (5 nm and beyond). The present disclosure provides a new parallel computing architecture for large area lithography simulation that naturally solves tile boundary issues by preventing them from happening in an intrinsic manner. Specifically, a manager machine is used to execute overall computing algorithms while multiple worker machines are used in local computation of different tiles. The workers exchange their intermediate computational results with neighboring tiles, which are appropriately stitched together. Within each iteration of an iterative process, such as OPC and ILT, such an exchange of information can occur at every image simulation step in order to synchronize the simulation results. The continuous information exchange intrinsically avoids the tile boundary stitching issues that arise in conventional practices. The parallel computing architecture disclosed herein treats an integrated circuit (IC) design layout (or a large area thereof that is larger than usual tiles) as a whole. The computing architecture still uses an underlying tiling scheme but smoothly and symmetrically combines simulation results from each tile into a single larger simulation. A lithography simulation process may have multiple steps that produce intermediate results, such as optical images, various resist images, and wafer contours. The disclosed computing architecture stages the computation in such a manner that the intermediate results can be synchronized before simulation proceeds to the next step, thereby effectively eliminating tile boundary inconsistencies at each step. Functionally, such synchronization is equivalent to performing OPC/ILT on the single, larger area of IC design layout. Therefore, the present disclosure provides an effective and efficient solution to lithography simulation for a large area of IC design layout. Such a solution can be used for lithography simulation and computation where an iterative solver (e.g., OPC and ILT) is used in a parallel computing environment. The various embodiments of the present disclosure are discussed in more detail with reference to FIGS. 1-10. FIG. 1 is a block diagram of an IC manufacturing system 10, along with an IC manufacturing flow associated with IC manufacturing system 10, according to various embodiments of the present disclosure. IC manufacturing system 10 includes a plurality of entities—such as a design house (or design team, or design shop) 15, a mask house 20, and an IC manufacturer 25 (e.g., an IC fab)—that interact with one another in design, development, and manufacturing cycles and/or services related to manufacturing an IC device 30. The entities are connected by a communication network, which may be a single network or a variety of different networks, such as an intranet and/or Internet, and may include wired and/or wireless communication channels. Each entity may interact with other entities and may provide services to and/or receive services from the other entities. One or more of design house 15, mask house 20, and IC manufacturer 25 may be owned by a single large company, and may even coexist in a common facility and use common resources. It should be understood that figures herein including FIG. 1 have been simplified in the interest of clarity. Therefore, the figures may include additional features, processes, and/or operations that exist before, between, and/or after those explicitly shown. Design house 15 generates an IC design layout 35 (also referred to as an IC design pattern). IC design layout 35 includes various circuit features (represented by geometrical shapes) designed for an IC product based on specifications of the IC product to be manufactured. The circuit features correspond to geometrical features formed in various material layers (such as metal layers, dielectric layers, and/or semiconductor layers) that combine to form IC features (components) of the IC product, such as IC device 30. For example, a portion of IC design layout 35 includes various IC features to be formed in a substrate (e.g., a silicon substrate) and/or in various material layers disposed on the substrate. The various IC features can include an active region, a gate feature (e.g., a gate dielectric and/or a gate electrode), a source/drain feature, an interconnection feature, a bonding pad feature, other IC feature, or combinations thereof. In some examples, assist features are inserted into IC design layout 35 to provide imaging effects, process enhancements, and/or identification information. A geometry proximity correction (GPC) process, similar to an optical proximity correction (OPC) process used for optimizing mask patterns (mask layouts), may generate the assist features based on environmental impacts associated with IC fabrication, including etching loading effects, patterning loading effects, and/or chemical mechanical polishing (CMP) process effects. Design house 15 implements a proper design procedure to form IC design layout 35. The design procedure may include logic design, physical design, place and route, or combinations thereof. IC design layout 35 is presented in one or more data files having information of the circuit features (geometrical patterns). In an example, IC design layout 35 is expressed in a Graphic Database System file format (such as GDS or GDSII). In another example, IC design layout 35 is expressed in another suitable file format, such as Open Artwork System Interchange Standard file format (such as OASIS or OAS). Mask house 20 uses IC design layout 35 to manufacture masks, which are used for fabricating various layers of IC device 30 according to IC design layout 35. A mask (sometimes referred to as a photomask or reticle) is a patterned substrate used in a lithography process to pattern a wafer, such as a semiconductor wafer. Mask house 20 performs mask data preparation 40, where IC design layout 35 is translated into a form that may be written by a mask writer to generate a mask. For example, IC design layout 35 is translated into machine readable instructions for a mask writer, such as an electron-beam (e-beam) writer. Mask data preparation 40 generates a mask pattern (mask layout) that corresponds with a target pattern defined by the design layout 35. The mask pattern is generated by fracturing the target pattern of IC design layout 35 into a plurality of mask features (mask regions) suitable for a mask-making lithography process, such as an e-beam lithography process. The fracturing process may be implemented according to various factors, such as IC feature geometry, pattern density differences, and/or critical dimension (CD) differences, and the mask features are defined based on methods implemented by the mask writer for printing mask patterns. In some examples, where an e-beam writer uses a variable-shaped beam (VSB) method for printing mask patterns, a mask pattern may be generated by fracturing IC design layout 35 into polygons (such as rectangles or trapezoids). A corresponding mask shot map may include exposure shot information for each polygon. For example, at least one corresponding exposure shot, including an exposure dose, an exposure time, and/or an exposure shape, is defined for each polygon. In some examples, where an e-beam writer uses a character projection (CP) method for printing mask patterns, a mask pattern may be generated by fracturing IC design layout 35 into characters (typically representing complex patterns) that correspond with a stencil used by the e-beam writer. A corresponding mask shot map may include exposure shot information for each character. For example, at least one corresponding exposure shot, including an exposure dose, an exposure time, and/or an exposure shape, is defined for each character. In such examples, any portions of fractured IC design layout 35 that do not match characters in the stencil may be printed using the VSB method. Mask data preparation 40 can include various processes for optimizing the mask pattern, such that a final pattern formed on a wafer (often referred to as a final wafer feature) by a lithography process using a mask fabricated from the mask pattern exhibits enhanced resolution and precision. For example, mask data preparation 40 includes OPC 42, which uses lithography enhancement techniques to compensate for image distortions and errors, such as those that arise from diffraction, interference, and/or other process effects. OPC 42 can add assist features, such as scattering bars, serifs, and/or hammerheads, to the mask pattern according to optical models or optical rules in order to enhance resolution and precision of a final pattern on a wafer. In some examples, the assist features can compensate for line width differences that arise from different densities of surrounding geometries. In some examples, the assist features can prevent line end shortening and/or line end rounding. OPC 42 may further correct e-beam proximity effects and/or perform other optimization features. Although not shown in FIG. 1, one technique that may be used in conjunction with OPC is inverse lithography technology (ILT), which computes a mask pattern using the entire area of a design rather than just its edges. While OPC may be restricted to Manhattan or otherwise simple manipulation of the edges of a photomask, ILT considers a much richer representation of the mask, for example, as a pixelated image. Commonly, ILT includes a process to feed an error (the difference between a simulated wafer pattern and a designer's layout) back into the simulation in “reverse” order (analogous to so-called backpropagation in machine learning) to compute a gradient, which (or some function of it) is then fed into the iterative correction of the mask. While ILT may in some cases produce unintuitive mask patterns, ILT may be used to fabricate masks having high fidelity and/or substantially improved depth-of-focus and exposure latitude, thereby enabling printing of geometric patterns that may otherwise be unattainable. In some embodiments, an ILT process may be referred to as a type of model-based mask correction process. In some examples, mask data preparation 40 may use a mask rule check (MRC) process to check the mask pattern after undergoing an OPC process, where the MRC process uses a set of mask creation rules. The mask creation rules can define geometric restrictions and/or connectivity restrictions to compensate for variations in IC manufacturing processes. In some examples, mask data preparation 40 can include a lithography process check (LPC) 44, which simulates wafer making processes that will be implemented by IC manufacturer 25 to fabricate IC device 30. In some examples, based on a generated mask pattern, LPC 44 simulates a mask image using various LPC models (or rules), which may be derived from actual processing parameters implemented by IC fab 25. The processing parameters may include parameters associated with various processes of the IC manufacturing cycle, parameters associated with tools used for manufacturing IC device 30, and/or other aspects of the manufacturing process. LPC 44 may take into account various factors, such as image contrast, depth of focus (“DOF”), mask error sensitivity or Mask Error Enhancement Factor (“MEEF”), other suitable factors, or combinations thereof. After a simulated device has been created by LPC 44, if the simulated device is not close enough in shape to satisfy pre-set design rules, certain steps in mask data preparation 40, such as OPC 42 and MRC, may be repeated to further refine the IC design layout. It should be understood that mask data preparation 40 has been simplified in the interest of clarity, and mask data preparation 40 can include additional features, processes, and/or operations for modifying the IC design layout to compensate for limitations in lithographic processes used by IC fab 25. In addition to performing mask data preparation 40, mask house 20 also performs mask fabrication 45, where a mask (e.g., mask 222 described below in FIG. 2) is fabricated according to the mask pattern generated by mask data preparation 40. In some examples, the mask pattern is modified during mask fabrication 45 to comply with a particular mask writer and/or mask manufacturer. During mask fabrication 45, a mask making process is implemented that fabricates a mask based on the mask pattern (mask layout). A mask may include a mask substrate and a patterned mask layer, where the patterned mask layer includes a final (real) mask pattern. The final mask feature, such as a mask contour, corresponds with the mask pattern (which in turn corresponds with the target pattern provided by IC design layout 35). In some examples, the mask is a binary mask. For example, an opaque material layer (such as chromium) may be formed over a transparent mask substrate (such as a fused quartz substrate or calcium fluoride (CaF2)), and the opaque material layer may be patterned based on the mask pattern to form a mask having opaque regions and transparent regions. In some examples, the mask is a phase shift mask (PSM) that can enhance imaging resolution and quality, such as an attenuated PSM or alternating PSM. For example, a phase shifting material layer (such as molybdenum silicide (MoSi) or silicon oxide (SiO2)) may be formed over a transparent mask substrate (such as a fused quartz substrate or calcium fluoride (CaF2)), and the phase shifting material layer may be patterned to form a mask having partially transmitting, phase shifting regions and transmitting regions that form the mask pattern. In another example, the phase shifting material layer is a portion of the transparent mask substrate, such that the mask pattern is formed in the transparent mask substrate. In some examples, the mask is an extreme ultraviolet (EUV) mask. For example, a reflective layer may be formed over a substrate, an absorption layer may be formed over the reflective layer, and the absorption layer (such as a tantalum boron nitride (TaBN)) may be patterned to form a mask having reflective regions that form the mask pattern. The substrate may include a low thermal expansion material (LTEM), such as fused quartz, TiO2 doped SiO2, or other suitable low thermal expansion materials. The reflective layer may include multiple layers formed on the substrate, where the multiple layers include a plurality of film pairs, such as molybdenum-silicide (Mo/Si) film pairs, molybdenum-beryllium (Mo/Be) film pairs, or other suitable material film pairs configured for reflecting EUV radiation (light). The EUV mask may further include a capping layer (such as ruthenium (Ru)) disposed between the reflective layer and the absorption layer. Alternatively, another reflective layer is formed over the reflective layer and patterned to form an EUV phase shift mask. Mask fabrication 45 may use various lithography processes for fabricating a mask. For example, a mask making process may include a lithography process, which involves forming a patterned energy-sensitive resist layer on a mask material layer and transferring a pattern defined in the patterned resist layer to a mask patterning layer. The mask material layer may be an absorption layer, a phase shifting material layer, an opaque material layer, a portion of a mask substrate, and/or other suitable mask material layer. In some examples, forming the patterned energy-sensitive resist layer includes forming an energy-sensitive resist layer on the mask material layer (e.g., via spin coating), performing a charged particle beam exposure process, and performing a developing process. The charged particle beam exposure process directly “writes” a pattern into the energy-sensitive resist layer using a charged particle beam, such as an electron beam or an ion beam. Since the energy-sensitive resist layer is sensitive to charged particle beams, exposed portions of the energy-sensitive resist layer chemically change, and exposed (or non-exposed) portions of the energy-sensitive resist layer are dissolved during the developing process depending on characteristics of the energy-sensitive resist layer and characteristics of a developing solution used in the developing process. After development, the patterned resist layer includes a resist pattern that corresponds with the mask pattern. The resist pattern is then transferred to the mask material layer by any suitable process to form a final mask feature in the mask material layer. For example, the mask making process may include performing an etching process that removes portions of the mask material layer, where the etching process uses the patterned energy-sensitive resist layer as an etch mask during the etching process. After the etching process, a lithography process may remove the patterned energy-sensitive resist layer from the mask material layer, for example, using a resist stripping process. IC manufacturer 25 (also referred to as IC fab 25), such as a semiconductor foundry, uses one or more masks fabricated by mask house 20 to fabricate IC device 30. For example, a wafer making process may use a mask to fabricate a portion of IC device 30 on a wafer. In some examples, IC manufacturer 25 performs a wafer making process numerous times using various masks to complete fabrication of IC device 30. FIG. 2 is a schematic view of a lithography system 200, constructed in accordance with some embodiments. For example, lithography system 200 may be used by IC manufacturer 25 to fabricate IC device 30. Lithography system 200 is designed to expose a semiconductor wafer 202 by radiation or light 210. Semiconductor wafer 202 may be a silicon wafer or other type of wafer used for fabricating IC device 30. Semiconductor wafer 202 may include a resist layer 204, which is a material sensitive to light 210. Lithography system 200 employs a radiation source to generate light 210, such as extreme ultraviolet (EUV) light having a wavelength ranging between about 1 nm and about 100 nm. Lithography system 200 also includes a mask stage 220 configured to secure a mask 222, which may be fabricated by mask house 20. In some embodiments, mask stage 220 includes an electrostatic chuck (e-chuck) to secure mask 222. As shown in FIG. 2, when lithography system 200 is a EUV lithography system, mask 222 is a reflective mask. Lithography system 200 may also include a projection optics box (POB) 230 for imaging patterns on mask 222 to semiconductor substrate 210. The POB 230 includes reflective optics for directing light 40 from mask 222, carrying the image of patterns defined on mask 222. Although not shown in FIG. 2, similar principles may be used to fabricate IC device 30 using deep UV (DUV) light having a wavelength of about 193 nm or greater. Depending on the IC fabrication stage, semiconductor wafer 202 can include various material layers and/or IC features (e.g., doped features, gate features, source/drain features, and/or interconnect features) when undergoing the wafer making process. Patterns may be formed in resist layer 204 and transferred to a wafer material layer, which may be a dielectric layer, a semiconductor layer, a conductive layer, a portion of a substrate, and/or other suitable wafer material layer. Forming a patterned resist layer in semiconductor wafer 202 can include forming resist layer 204 on a substrate (e.g., by spin coating), performing a pre-exposure baking process, performing an exposure process using mask 222 (including mask alignment), performing a post-exposure baking process, and performing a developing process. During the exposure process, resist layer 204 is exposed to light 210 (such as ultraviolet (UV) light, deep UV (DUV) light, or extreme UV (EUV) light). Mask 222 blocks, transmits, or reflects light 210 to resist layer 204 depending on a final mask feature of the mask and/or mask type (e.g., binary mask, phase shift mask, or EUV mask), such that an image is projected onto resist layer 204 that corresponds with the final mask feature. This image is referred to herein as a projected wafer image 50. Since resist layer 204 is sensitive to light 210, exposed portions of resist layer 204 chemically change, and exposed (or non-exposed) portions of resist layer 204 are dissolved during the developing process depending on characteristics of resist layer 204 and characteristics of a developing solution used in the developing process. After development, resist layer 204 includes a resist pattern that corresponds with the final mask feature. Referring back to FIG. 1, an after development inspection (ADI) 55 may be performed to capture information associated with the resist pattern, such as critical dimension uniformity (CDU) information, overlay information, and/or defect information. Ideally, final wafer feature 60 matches the target pattern defined by IC design layout 35. However, due to various factors associated with the mask making process and the wafer making process, a final mask feature formed on a mask often differs from a mask pattern (generated from the target pattern defined by IC design layout 35), causing final wafer feature 60 formed on the wafer to differ from a target pattern. For example, mask writing blur (such as e-beam writing blur) and/or other mask-making factors may cause variances between the final mask feature and the mask pattern, which in turn causes variances between final wafer feature 60 and the target pattern. Various factors associated with the wafer making process (such as resist blur, mask diffraction, projection imaging resolution, acid diffusion, etching bias, and/or other wafer making factors) further exacerbate variances between final wafer feature 60 and the target pattern. To minimize or eliminate such variances, computational lithography helps enhance and optimize the mask making process and the wafer making process. Computational lithography comprises a set of techniques that implement computationally-intensive physical models and/or empirical models to predict and optimize IC feature patterning. The physical models and/or the empirical models are based on phenomena that affect lithographic process results, such as imaging effects (e.g., diffraction and/or interference) and/or resist chemistry. IC manufacturing system 10 can implement such techniques to generate optimal settings for the mask making process (often referred to as mask optimization) and/or the wafer making process (often referred to as source optimization, wave front engineering, and/or target optimization). For example, IC manufacturing system 10 can implement OPC, MRC, LPC, and/or ILT techniques to generate a shape for a final mask feature of a mask fabricated by mask house 20 that optimizes projected wafer image 50 so that projected wafer image 50 may correspond as closely as possible with the target pattern of IC design layout 35. FIG. 3 is a block diagram of a mask design system 300 according to various embodiments of the present disclosure. Mask design system 300 may be part of mask house 20 shown in FIG. 1, and more specifically, may be operable to perform the functionality described in association with mask data preparation 40 of FIG. 1. In operation, mask design system 300 is configured to manipulate IC design layout 35 according to a variety of pre-set conditions (e.g., design rules, IC fabrication capability, and limitations) before it is transferred to mask 222 by mask fabrication 45. For example, mask data preparation 40, including OPC, ILT, MRC, and/or LPC, may be implemented as software instructions executing on mask design system 300. In such an embodiment, mask design system 300 receives an IC design layout 35 (e.g., as a GDSII file) from design house 20. After mask data preparation 40 is complete, mask design system 300 provides a modified IC design layout 37 to mask fabrication 45 for fabricating mask 222. Mask design system 300 may include one or more computer devices or machines. As discussed above, with increasingly small technology nodes, more devices and features are packed into the same area of an IC design layout. Therefore, in applications of computational lithography, such as OPC and ILT, a large area of IC layout is divided into small tiles for distributed processing. Distributed processing helps lithography simulation due to limited physical memory associated with a single CPU. Lithography simulation may be performed more quickly and more efficiently with parallel processing by multiple CPUs located on multiple machines. In an embodiment, mask design system 300 includes a plurality of machines including a manager machine 310 and multiple worker machines such as 320 and 330. Each machine is an information handling system such as a computer, server, workstation, or other suitable device. The plurality of machines may reside at the same location (e.g., as units of a larger mask design system) or at different locations, and may interact with one another through communication means. Each manager or worker machine includes a processor 312, a system memory 314, a mass storage device 316, and a communication module 318. Processor 312 may include one or more CPUs. System memory 314 provides processor 312 with non-transitory, computer-readable storage to facilitate execution of computer instructions by processor 312. Examples of system memory may include random access memory (RAM) devices such as dynamic RAM (DRAM), synchronous DRAM (SDRAM), solid state memory devices, and/or a variety of other memory devices known in the art. Computer programs, instructions, and data are stored on mass storage device 316. Examples of mass storage devices may include hard discs, optical disks, magneto-optical discs, solid-state storage devices, and/or a variety other mass storage devices. Communication module 318 is operable to communicate information such as IC design layout files with other components in mask design system 300 or in IC manufacturing system 10, such as design house 20. Examples of communication modules may include Ethernet cards, 802.11 WiFi devices, cellular data radios, and/or other suitable devices. The new parallel computing architecture shown in FIG. 3 may naturally solve tile boundary issues for large area lithography simulation by preventing tile boundary inconsistencies from happening in an intrinsic manner. In an embodiment, manager machine 310 is used to execute overall computing algorithms while multiple worker machines including 320 and 330 are used in local computation of different tiles. Worker machines exchange their intermediate computational results with neighboring tiles, which are appropriately stitched together. Within each iteration of an iterative process, such as OPC and ILT, such an exchange of information can occur at every image simulation step to synchronize simulation results. The continuous information exchange intrinsically avoids the tile boundary stitching issues that arise in conventional practices. More details of such synchronized parallel tile computation schemes are described in regard to FIG. 4. FIG. 4 is a flowchart of a computational lithography method 400 according to various embodiments of the present disclosure. Computational lithography method 400 may be implemented by IC manufacturing system 10 of FIG. 1, where design house 15, mask house 20, and/or IC manufacturer 25 can perform (or collaborate to perform) method 400 to manufacture IC device 30. For example, method 400 may be implemented by mask house 20 as a computational lithography process, which uses lithography enhancement techniques to compensate for image distortions and errors, such as those arising from diffraction, interference, or other process effects. Method 400 may be jointly implemented by manager machine 310 and worker machines of mask design system 300. FIG. 4 has been simplified for the sake of clarity. It is understood that additional steps can be provided before, during, and after method 400 and that some of the steps described can be replaced or eliminated for other embodiments of method 400. Unless otherwise noted, steps in method 400 may be performed in any order including concurrently. In step 405, a manager machine (e.g., manager machine 310) receives an IC design layout, such as IC design layout 35. The IC design layout is presented in one or more data files (e.g., GDSII file format) having information of a target pattern. The IC design layout may be an original design layout or a version processed therefrom. The IC design layout includes various IC features (represented by geometrical shapes) designed for an IC product to be manufactured, for example, by IC manufacturing system 10. The IC features may be formed in various material layers (e.g., metal layers, dielectric layers, and/or semiconductor layers) that combine to form IC features of the IC product. In some examples, the IC features specify mask features on a mask (e.g., mask 222) for selectively exposing a resist layer (e.g., resist layer 204) to radiation energy (e.g., light 210). The IC design layout may contain a relatively large area that warrants partition into smaller tiles for distributed processing. Such an area may have any suitable shape and/or size. The size of such an area may depend on various factors such as computation capabilities of the manager machine. For example, a length or a width of the IC design layout may range from 50 micrometers (μm) to 1 millimeter (mm). In some embodiments, the IC design layout include an area of about 200×200 square micrometers (μm{circumflex over ( )}2), 100×300 μm{circumflex over ( )}2, 28×32 μm{circumflex over ( )}2, etc. In step 410, the manager machine divides or partitions the IC design layout (or an area thereof) into a plurality of smaller tiles. In some embodiments, an IC design layout comprises a region of interest and a surrounding freeze region, and the region of interest is partitioned into tiles. Each tile represents a job unit, which is a smaller area of the IC design layout, to be assigned to a worker machine for parallel computing. Each tile may have any suitable shape (e.g., rectangle or square) and/or size. For example, a large area of design layout (e.g., 200×200 μm{circumflex over ( )}2) may be partitioned by a manager machine into a number of tiles (e.g., 16 tiles each with a size of 50×50 μm{circumflex over ( )}2). In terms of relative position within the IC design layout, each tile may be defined or identified by the coordinates of its four corners. In terms of image content, each tile may have a plurality of pixels (or points or dots) with image values, as further described below with respect to FIG. 6. After partitioning, each tile is assigned to a worker machine (e.g., worker machine 320 or 330) that will be used to support local calculations for the manager machine. Moreover, the manager machine sends to each worker machine message delivery instructions defining which points or pixels go to which of the other worker machines interacting with it, thereby enabling the worker machines to exchange information with other worker machines in order to synchronize their simulation results. Note that, if an IC design layout is too big for one manager machine to compute, multiple manager machines may be used to handle the computation load, each interacting with a plurality of worker machines. In the present disclosure, an IC design layout (or an area thereof) may be partitioned into tiles (this process is sometimes referred to as “tiling”) in flexible ways depending on the application. As examples, FIG. 5A is a diagram illustrating a uniform tiling scheme 500, FIG. 5B is a diagram illustrating a staggered tiling scheme 550, and FIG. 5C is a diagram illustrating an adaptive tiling scheme 580. In uniform tiling scheme 500, rectangular tiles have equal sizes and are tightly packed (with or without overlapping areas). FIG. 5A shows nine rectangular tiles (four corner tiles represented by solid-line rectangles and other tiles represented by broken-line rectangles), where each tile partially overlaps its neighboring tiles. Details of different regions associated with each tile are described with respect to FIG. 6. In staggered tiling scheme 550, rectangular tiles may have equal or different sizes, and may or may not overlap with one another in certain areas of the layout. FIG. 5B shows five rectangular tiles, two represented by solid-line rectangles and three represented by broken-line rectangles. In some embodiments, staggered tiling scheme 550 is used to simulate non-standard (non-rectangular) regions as efficiently as possible (e.g., by not simulating certain unnecessary regions). In adaptive tiling scheme 580, tiles are not uniformly distributed in the layout; rather, the shape, size, and location of tiles may be adapted based on IC features in the layout. As shown in FIG. 5, if needed, certain tiles may be further divided into smaller areas (called “subtiles”). One advantage of adaptive tiling scheme 580 over uniform tiling scheme 500 is the option to omit some of the subtiles from the computation. For example instead of computing one 16×16 μm{circumflex over ( )}2 tile, one may compute two or three 8×8 μm{circumflex over ( )}2 subtiles (and omitting two or one), which may improve efficiency. It should be understood that other tiling schemes, though not shown in FIGS. 5A-5C, are also contemplated within the scope of the present disclosure. Moreover, it should be understood that, since a tile represents a job unit assigned to a worker machine for computation, the concept of tile may be captured or otherwise expressed herein by other terms such as a simulation or bounding box. The simulation box and its associated regions are described further below with respect to FIG. 7. In step 420, the worker machines prepare or pre-process their respective portions of the IC design layout for simulation. For example, since each partitioned tile (or simulation box) may contain geometry content, each worker machine may receive geometry content in its respective tile (or simulation box) and then convert the geometry content to a pixelated representation, if desired. Although FIG. 4 shows pre-processing done by the worker machines, tiles may alternatively be pre-processed by the manager machine and then sent to the worker machines. In some embodiments, the pre-processing may include steps such as rasterization and/or anti-aliasing filtering. Rasterization or pixelation refers to the task of taking an image described in a vector graphics format (e.g., including the polygonal shapes of the mask patterns) and converting it into a raster image that comprises pixels or dots. In the rasterization process, a high resolution rasterized image may be obtained. However, such a high resolution image may sometimes be unnecessary, in which case the high resolution rasterized mask is down-sampled to a lower resolution representation, which might include anti-aliasing filtering to limit the impact of aliasing on the lower resolution grid. In some embodiments, each pre-processed tile comprises a plurality of pixels (or points or dots), such as a pixel 611 (discussed in more details below with respect to FIG. 6). Each pixel may represent a very small area of image (e.g., a square with an area of 0.1×0.1 nm{circumflex over ( )}2, 1×1 nm nm{circumflex over ( )}2, 10×10 nm{circumflex over ( )}2, 50×50 nm{circumflex over ( )}2, etc.). Each pixel has a set of coordinates (e.g., X-Y coordinates or polar coordinates) that defines its relative position within the image. Each pixel also has a pixel or image value. For example, a value of one may be given to a pixel fully or partially covered by a shape, and a value of zero may be given to a pixel not covered by any shape. In some cases, a weighted value between zero and one may also be given to a pixel if it is partially covered by a shape (e.g., value of 0.6 if 60% of the area in the pixel is covered by the shape). During computational lithography, changes in the image value of a pixel may signal edge movement or displacement of a geometric shape (e.g., a polygon edge) that covers the pixel. For example, edge displacement values or vertices may be derived by comparing how image values of pixels in a tile have changed. In some embodiments (e.g., when OPC manipulates geometric shapes directly without deriving them from pixel values), each pre-processed tile may comprise geometric shapes directly, and principles disclosed herein may work similarly in such embodiments. After step 420, method 400 may enter a simulated imaging process 430 to simulate various stages of a lithography process. In some embodiments, simulated imaging process 430 is an iterative process, where each iteration includes multiple steps. For example, as shown in FIG. 4, each iteration of simulated imaging process 430 includes a mask update step 432 and multiple imaging steps such as imaging step 434 and imaging step 436. A modified design layout is generated at the end of each iteration. The iterations may repeat until a final modified design layout 450 is close enough in shape to satisfy design rules. In step 432, each worker machine updates its respective portion of the IC design layout from previous simulation results to get a new layout. As shown in FIG. 4, simulation results obtained from step 436 may be used by the same worker machine to update an IC design layout for the next iteration. Step 432 may be skipped if simulation has not been conducted yet (e.g., in the first iteration). For example, in the first iteration, pixel values from an original IC design layout may be used for the next imaging step 434. Note that the calculation of mask update from simulation may be an inverse problem and computed by OPC or ILT. Moreover, in step 432, each worker passes the values at the pre-determined pixels to its designated neighbors (following the message delivery instructions generated in step 410) in order to facilitate their computation in the next imaging step 434. For example, a first worker machine working on a first tile may deliver messages (sometimes denoted in drawings as “msg”) to one or more second worker machines working on neighboring tiles. Messages are delivered according to delivery instructions, which are sent by the manager machine to the worker machines in step 410. The delivery instructions define which points or pixels go to which of the other worker machines interacting with it, thereby enabling the worker machines to exchange information with other worker machines in order to synchronize their simulation results. The plurality of imaging steps—including the first imaging step 434, intermediate imaging steps (not shown in FIG. 4), and the last imaging step 436—represent how simulated imaging process 430 specifically simulates various stages of a lithography process. A lithography process involves various stages or steps such as mask fabrication, diffraction of light through the mask, projection of light through the lens system and onto the resist, resist exposure, post-exposure baking, development, etching, metal line formation, etc. Different images may be used or formed in the various stages of the lithography process, such as a mask image, an aerial image or optical image, and a photoresist or resist image. The stages (and images used therein) may be simulated in the forward order, e.g., for OPC, or additionally have the errors propagated backward in “reverse” order, e.g., for the computation of the gradient in ILT. In some embodiments, a standard forward lithography simulation may be computed step by step (e.g., n steps as shown in FIG. 4), where each step starts with an image and results in another image. Examples of images generated in such steps include a mask near field, an aerial image, and a resist image. Therefore, depending on the stage of simulation, an IC design layout being computed by simulated imaging process 430 may represent any of such images. Completion of these steps gives one full cycle of the forward simulation. In some embodiments of simulated imaging process 430, step 434 applies a thin mask model to a processed mask layout, thereby generating a mask near field. The mask near field can be approximated by the thin mask model that assigns two different constant field values to areas occupied or not occupied by patterns, respectively. An intermediate step (not labeled in FIG. 4) applies an optical model to the mask near field, thereby generating an aerial image on the wafer. This step may also be viewed as performing an exposure simulation. Step 436 applies a photoresist model to the aerial image to obtain a final photoresist image on the wafer. This step may also be viewed as performing a photoresist simulation. More stages of lithography may be simulated if needed. In parallel lithography simulation, in order to simulate a tile accurately, it is useful to simulate a larger surrounding region that may overlap with one or more neighboring tiles. As a result, for pixels located in the overlapping region, multiple pixel values may be computed for the same pixel by different worker machines. Without proper synchronization, the multiple pixel values for the same pixel may differ, leading to tile boundary inconsistencies. If boundary stitching is done at the very end of the simulation process, it may be too late to solve boundary inconsistencies because computed solutions may have already diverged significantly. In the present disclosure, to solve tile boundary inconsistencies and therefore improve the accuracy of lithography simulation, a worker machine may start each of a plurality of image steps with averaging pixel values from the worker machine itself (e.g., the result of a previous imaging step) and pixel values delivered from its tiling neighbors. In some embodiment, when computing the updated pixel value of a pixel, every weight for averaging is non-negative, and all contributing weights for the pixel sum up to one. Moreover, during each imaging step, each worker machine passes pixel values at the pre-determined pixels to its designated neighbors (following message delivery instructions generated in step 410) in order to facilitate their computation in the next imaging step. Computation principles are further illustrated in FIG. 6, which is a schematic diagram showing a computation scheme 600. In FIG. 6, a first tile 610 is situated in the middle, with two neighboring tiles 620 and 630 located on both sides of tile 610. Tiles 610, 620, and 630 may be located anywhere on an IC design layout (e.g., any of the rows shown in FIG. 5A). Tile 610 may be labeled “tile i,” tile 620 “tile i−1,” and tile 630 “tile i+1,” where i represents a number of the current tile. Tile 610 can have additional neighboring tiles in both the X-direction and Y-direction (for example, center tile in FIG. 5A has eight neighboring tiles), but for the sake of simplicity, they are not shown in FIG. 6. As described above, each of tiles 610, 620, and 630 comprises a plurality of pixels, and each pixel has a pixel value to be updated by simulated imaging process 430. For example, tile 610 includes a pixel 611 whose value may be changed by the execution of imaging steps 434 and 436. In some embodiments, averaging pixel values of the same pixel from a worker machine itself and from its tiling neighbors is realized by the use of weight functions, which are designed for and assigned to each tile. As shown in FIG. 6, weight functions 612, 622, and 632 are designed for and assigned to tiles 610, 620, and 630, respectively. Weight functions 612, 622, and 632 are plotted along the X-direction because they are used to combine pixel values from tiles that are “neighbors” in the X-direction. Similar weight functions may be designed to combine pixel values from tiles that are “neighbors” in the Y-direction (e.g., from tile 610, upper tile, and lower tile which are not shown in FIG. 6). In some embodiments, weight functions 612, 622, and 632 are the same (e.g., if tiles 610, 620, and 630 have the same width in the X-direction). But weight functions 612, 622, and 632 may vary if needed (e.g., if tiles 610, 620, and 630 have different widths in the X-direction, or if one of tiles 610, 620, and 630 is further divided into subtiles). Each weight function specifies a series of weights (valued between zero and one), each corresponding to a pixel with the same X-coordinate value. For example, weight function 612 has a first weight 613 corresponding to pixel 611, and weight function 632 has a second weight 633 also corresponding to pixel 611. Based on weight function profiles, several regions may be derived to associate with each tile (e.g., tile 610). Depending on the location of a pixel with respect to a weight function, the pixel may fall in different regions. For example, if a pixel is updated based on only results from tile 610, the pixel falls in a core region 614 (i.e., where weight function 612 equals one). Instead, if a pixel (e.g., pixel 611) is updated based on a weighted combination of pixel values from multiple contributing tiles including tile 610 and neighboring tiles, the pixel falls in a transition region 616 (i.e., where weight function 612 greater than zero but less than one). A rectangular area including both core region 614 and transition region 616 constitutes tile 610, since it represents a region for which tile 610's worker machine is responsible in terms of pixel updating. Otherwise, if a pixel is not to be updated by tile 610 (but its value is needed to accurately simulate other pixels in transition region 616 or core region 614), the pixel falls in a halo region 618 (i.e., where weight function 612 equals zero). Values of pixels located in halo region 618 are not transmitted to neighboring tiles (alternatively, values of pixels located in halo region 618 may be transmitted to neighboring titles but such values are to be given a weight of zero by the neighboring tiles). An overall rectangular area including, from inside out, core region 614, transition region 616, and halo region 618 constitutes a simulation box 619 (sometimes referred to as a bounding box, a marker, or a frame). In some embodiments, simulation box 619 is the job unit assigned to a worker machine since simulation box 619 contains all intrinsic pixel values the worker machine needs to have in order to process its respective portion of the IC design layout. In this sense, the concept of tile 610 may be captured equivalently by simulation box 619. For example, when a rectangular simulation box 619 is assigned to a worker machine by a manager machine, the manager machine may simply define or identify simulation box 619 by the coordinates of its four corners. Weight function 612 would specify the rest of regions associated with simulation box 619. In order to generate consistent simulation results for overlapping regions, the sum of the weights—each with a value greater than zero but less than one—associated to each contributing tile for the same pixel equals about one (e.g., 1, 1.01, 1.001, 1.0005, 0.99, 0.999, 0.9995, etc.) Note that the weights may add up to a different number and then rescaled to about one. This may be referred to as “partition of unity.” Therefore, a weight function Wi may be associated with each tile (e.g., tile 610) so that Wi=0 outside its transition region (e.g., transition region 616), Wi=1 inside its core region, and ΣWi(x,y)=1 inside its transition region. In some embodiments, a weighted combination for a pixel located at coordinates (x,y) may be computed using equation: P update ⁡ ( x , y ) = ∑ i = 1 k ⁢ ⁢ W i ⁡ ( x , y ) ⁢ P i ⁡ ( x , y ) ,where Pupdate (y) denotes an updated pixel value of the pixel based on a weighted combination;Pi(x,y) denotes a previous pixel value of the pixel generated by contributing tile i;Wi(x,y) denotes a weight of the pixel according to weight function Wi; andk denotes a number of contributing tiles (including the current tile and neighboring tiles) whose transition regions cover the pixel at (x,y). FIG. 7 is a schematic diagram illustrating how transition regions of neighboring tiles overlap. Depending on where a pixel is located, the pixel may be covered by a different number of transition regions. For example, pixel 611 is covered by transition regions 616 and 636 of two neighboring tiles (i.e., tiles 610 and 630), respectively. Therefore, weights 613 and 633—which are to be multiplied by values of pixel 611—add up to about one. As shown in FIG. 6, weight 613 is about 0.9, and weight 633 is about 0.1. However, another pixel 617 located near a corner of transition region 616 is covered by four neighboring tiles. Therefore, four weights—which are to be multiplied by values of pixel 617—add up to one. Note that, since tiles may be flexibly partitioned herein (see FIGS. 5A-5C), a pixel may be covered by any suitable number of transition regions. Consequently, a pixel may be updated based on a weighted combination of any suitable number of pixel values from contributing tiles. Note that, in FIG. 6 and FIG. 7, the tiles (or simulation boxes) and their associated regions may have any suitable sizes. For example, simulation box 619 may have a size of about 3×3 μm{circumflex over ( )}2, 5×10 μm{circumflex over ( )}2, 10×10 μm{circumflex over ( )}2, 10×25 μm{circumflex over ( )}2, 50×50 μm{circumflex over ( )}2, etc. In such cases, simulation box 619 would have in the X-direction a simulation box width of about 3 μm, 5 μm, 10 μm, 50 μm, etc. A halo distance—between boundaries of tile 610 and simulation box 619—may be set to any suitable value (e.g., 0.3 μm, 1 μm, 2 μm, etc.). The rest of region sizes may be determined by corresponding weight functions, which may be designed using any suitable means. For example, in the X-direction, the width of core region 614 and the width of tile 610 are both determined by weight function 612. A transition distance separates core region 614 and tile 610. The transition distance defines the overlapping distance between tiles 610 and 630. In some embodiments, the middle-point of one side of the transition region 616 may be defined as the point where weight functions 612 and 632 intersect. As shown in FIG. 6, weight functions 612 and 632 intersect where their weights are both valued at 0.5. Note that the values of weight functions 612 and 632 may be adjusted depending on how many weight functions overlap at a certain point. The profile of weight function 612 over the transit distance may or may not be symmetrical about both sides of core region 614. As a specific example, in terms of size in the X-direction, core region 614 may have a width of about 29 μm; tile 610 may have a width of about 35 μm, including a transit distance of about 3 μm on either side of core region 614; and simulation box 619 may have a width of about 37 μm, including a halo distance of about 1 μm on either side of tile 610. The synchronized parallel tile computation techniques disclosed herein may intrinsically remove tile boundary inconsistencies. For example, suppose manager machine 310 assigns first and second simulation boxes to worker machines 320 and 330 for performing simulated imaging process 430. The first and second simulation boxes—associated with tiles 610 and 630, respectively—overlap in a region that includes a pixel or point with a set of coordinates (e.g., transition regions 616 and 636 both include pixel 611 at coordinates (x,y)). In some embodiments, in a first imaging step (e.g., step 432), worker machine 320 may compute a first image value (A) of pixel 611, and worker machine 330 may compute a second image value (B) of pixel 611. Further, in the first imaging step, worker machines 320 and 330 may exchange image values A and B with each other. Then, in a second imaging step, worker machine 320 may compute a third image value (C) of pixel 611 based on a weighted combination of image values A and B, for example, using equation: C=A*(weight 613)+B*(weight 633). Also in the second imaging step, worker machine 330 may compute a fourth image value (D) of pixel 611 based on the same weighted combination of image values A and B, for example, using equation: D=A*(weight 613)+B*(weight 633). The two equations show that image values C and D have equal value. In other words, both worker machines 320 and 330 are able to generate identical image values for the same pixel in the same imaging step, even though their computations are executed separately and independently. Tile boundary inconsistency is therefore removed. In implementations, although image values C and D may not match perfectly due to various factors (e.g., differences in computation algorithms or capabilities of worker machines, model inaccuracies, communication errors, etc.), the potential divergence between images values C and D is significantly reduced. As another advantage, since each tile uses results obtained in a previous step (but not current step), tile ordering becomes irrelevant to boundary stitching treatment. For example, tiles 610 and 630 may be processed in either order in the same imaging step without impacting the results of the final output. That said, the synchronization techniques disclosed herein can also be run on one CPU, with one tile simulated after another. In such a case, tiles may still be symmetrically combined so that the tile order does not impact the final output. FIG. 8 is a schematic diagram illustrating part of a synchronized parallel tile computation scheme 800, which may be used in simulated imaging process 430. In tiling step 810, a manager machine receives and partitions an IC design layout 812 into a plurality of tiles including 814 and 816. In imaging step 820 (e.g., same as imaging step 434), tiles are simulated or updated. For example, tiles 814 and 816 are transformed into tiles 824 and 826, respectively, by updating image values contained therein (but coordinates of the tiles remain the same). For example, a mask image or near field may be transformed to an optical image. As described above, simulation of tiles involves using weighted combinations of previous simulation results generated by different tiles. Moreover, imaging step 820 includes synchronization process 822 to synchronize image values from the plurality of tiles via data exchange between neighboring tiles. Specifically, pixel values located in overlapping regions of neighboring tiles are exchanged to synchronize simulation results from such neighboring tiles. During computational lithography, changes in pixel values are converted to displacement values to reflect edge movement of associated geometries or shapes. As a result, a full modified IC design layout 830 may be stitched together from all tiles. For example, modified IC design layout 830 may represent an optical image. Modified IC design layout 830 may be stored in a manager machine and used for the next imaging step (or the next iteration of simulated imaging process 430). Alternatively, due to the nature of distributed processing by multiple worker machines, modified IC design layout 830 may not be physically stored in the memory of a single CPU or single device, but rather may be distributed among many worker machines with synchronized images (i.e. identical data in overlapped regions with no tile boundary issues). Therefore, whether or not stored in multiple machines, modified IC design layout 830 is effectively a synchronized image (e.g., a virtual synchronized image). In scheme 800, imaging step 820 may be repeated in each following imaging step until modified IC design layout 830 satisfies design rules. In that sense, data is continuously exchanged between tiles and stitched. For example, during standard forward imaging or verification, each forward image may be synchronized, and then CD may be measured at appropriate gauges. In some embodiments, optical images are synchronized, and then various resist images (such as gradient, quenching, etc.) are also synchronized. During OPC, an initial mask, the Jacobian, and edge movements may be synchronized at each stage. During ILT, an initial mask, wafer image, and the gradient may be synchronized at each stage. When modified IC design layout 830 satisfies design rules, final synchronized image values may be combined or stitched together from the plurality of tiles by the manager machine. The final modified IC design layout may then be used for mask fabrication. FIG. 9 is a flowchart of a computational lithography method 900 according to various embodiments of the present disclosure. Computational lithography method 900 may be implemented by IC manufacturing system 10 of FIG. 1, where design house 15, mask house 20, and/or IC manufacturer 25 can perform (or collaborate to perform) computational lithography method 900 to manufacture IC device 30. For example, lithography method 900 may modify an IC design layout using synchronized parallel processing by a manger machine (e.g., manger machine 310) and multiple worker machines (e.g., worker machines 320 and 330), as described above. FIG. 9 has been simplified for the sake of clarity. It is understood that additional steps can be provided before, during, and after the method 900 and that some of the steps described can be replaced or eliminated for other embodiments of the method 900. Unless otherwise noted, the processes of the method 900 may be performed in any order including concurrently. In step 910, the manager machine receives an IC design layout. In step 920, the manager machine partitions the IC design layout into a plurality of tiles. The manager machine may further assign the plurality of tiles to the worker machines for simulation. In step 930, the worker machines perform a simulated imaging process (e.g., simulated imaging process 430) on the plurality of tiles. Pre-processing may be done before the simulated imaging process. Performing the simulated imaging process comprises executing a plurality of imaging steps (e.g., imaging steps 434 and 436) on each of the plurality of tiles. Further, executing each of the plurality of imaging steps comprises synchronizing image values from the plurality of tiles via data exchange between neighboring tiles. In some embodiments, the simulated imaging process is an iterative process used in OPC or ILT, and each iteration of the iterative process includes the plurality of imaging steps. Method further comprises repeatedly performing the iterative process until the modified IC design layout satisfies pre-set design rules. In some embodiments, the neighboring tiles include a first tile (e.g., tile 610) and a second tile (e.g., tile 630) that neighbors the first tile. The first tile is associated with a first transition region (e.g., transition region 616), and the second tile is associated with a second transition region (e.g., transition region 636). An overlapping area of the first transition region and the second transition region includes a pixel (e.g., pixel 611). The pixel has a first image value previously computed by the first tile and a second image value previously computed by the second tile. Executing an imaging step on the first tile comprises computing an updated image value of the pixel based on a weighted combination (using weights 613 and 633) of the first image value of the pixel and the second image value of the pixel. The data exchange between the neighboring tiles in the imaging step comprises delivering the updated image value of the pixel from the first tile to the second tile. In some embodiments, the weighted combination includes a first weight (e.g., weight 613) multiplied by the first image value and a second weight (e.g., weight 633) multiplied by the second image value. A sum of the first weight and the second weight is greater than zero but equal to or less than one. In some embodiments, the imaging step executed on the first tile is a first imaging step (e.g., step 434), and the plurality of imaging steps further includes a second imaging step that follows the first imaging step. Here, executing the second imaging step on the second tile comprises computing a second updated image value of the pixel based on the weighted combination of (a) the updated image value of the pixel delivered to the second tile and (b) a third image value of the pixel computed by the second tile in the first imaging step. Method 900 is intended to solve IC fabrication issues. In step 940, the manager machine generates a modified IC design layout by combining final synchronized image values from the plurality of tiles. In step 950, the manager machine provides the modified IC design layout for fabricating a mask. FIG. 10 is a flowchart of a computational lithography method 1000 according to various embodiments of the present disclosure. Computational lithography method 1000 may be implemented by IC manufacturing system 10 of FIG. 1. For example, lithography method 1000 may be implemented by a worker machine (e.g., worker machine 320 or 330) to modify a portion of an IC design layout. FIG. 10 has been simplified for the sake of clarity. It is understood that additional steps can be provided before, during, and after the method 1000 and that some of the steps described can be replaced or eliminated for other embodiments of the method 1000. Unless otherwise noted, the processes of the method 1000 may be performed in any order including concurrently. In step 1010, a first worker machine receives a simulation box of an IC design layout (e.g., simulation box 619). The simulation box includes a first transition region (e.g., transition region 616). The first transition region covers a pixel (e.g., pixel 611), which is also covered by one or more second transition regions processed by one or more second worker machines. In step 1020, the first worker machine computes a first pixel value of the pixel to simulate a first stage of a lithography process. The lithography process involves various stages or steps such as mask fabrication, radiation projection, resist exposure, post-exposure etching, and metal line formation. Different images are formed in the various stages of the lithography process, such as a mask image, an optical image, and a photoresist or resist image. In step 1030, the first worker machine receives one or more second pixel values that have been computed for the pixel by one or more second worker machines interacting with the first worker machine. The one or more second worker machines may have computed the second pixel values also to simulate the first stage of the lithography process. In step 1040, the first worker machine computes an updated pixel value of the pixel to simulate a second stage of the lithography process based on a weighted combination of the first pixel value and the one or more second pixel values. In some embodiments (e.g., for OPC), the first updated pixel value of the pixel may represent an optical image value at the pixel, and the second updated pixel value of the pixel may represent a resist image value at the pixel. In other embodiments (e.g., for ILT), the first updated pixel value of the pixel may represent a wafer image value at the pixel, and wherein the second updated pixel value of the pixel may represent a gradient value at the pixel. In step 1050, the first worker machine transmits the updated pixel value of the pixel to each of the one or more second worker machines. As described above, the parallel computing architecture disclosed herein treats a large IC design layout as a whole. Although an underlying tiling scheme is still used, simulation results are combined smoothly and symmetrically from each tile into a single larger simulation domain. Since a simulated imaging process has multiple steps that produce intermediate results, the disclosed computing architecture stages the computation in such a manner that the intermediate results can be synchronized before simulation proceeds to the next step, thereby effectively eliminating tile boundary inconsistencies at each step. Functionally, such synchronization is equivalent to performing the simulated imaging process on the single, larger area of IC design layout. Therefore, the present disclosure provides an effective and efficient solution to lithography simulation for a large area of mask layout. Such a solution can be used for lithography simulation and computation where an iterative solver (e.g., OPC and ILT) is used in a parallel computing environment. The parallel computing architecture disclosed herein may achieve appreciable gain in simulation efficiency. As a first example, in a flat IC design layout without meaningful pattern repetitions, assume each tile is included in a 32×32 μm{circumflex over ( )}2 simulation domain. Assume a halo distance of about 1 μm based purely on model considerations. Using techniques disclosed herein, a total transit distance may be about or less than 3 μm. It is estimated that, in an OPC simulation, the reduction in transit distance (from 6 μm to 3 μm) compared to other approaches may result in an efficiency gain of about 24%. As a second example, assume each tile is included in a 16×16 μm{circumflex over ( )}2 simulation domain, and assume a halo distance of about 0.3 μm based purely on model considerations. It is estimated that, in an OPC simulation, the reduction in transit distance (from 1.5 μm to 0.3 μm) compared to other approaches may result in an efficiency gain of about 10%. The use of smaller halos (e.g., size is only limited by model considerations) also improves efficiency of large area simulation. The efficiency gain achieved herein may be especially helpful for certain tiling schemes. For example, in a staggered tiling scheme (e.g., staggered tiling scheme 550) which is useful for hotspot fixing, non-rectangular regions (tiles or simulation boxes) may be simulated efficiently with minimal tiles. Efficiency gain in this case can be enormous, especially for complicated hotspot areas. Additionally, continuous hotspot areas may be handled simultaneously by multiple worker machines without requiring any freezing of features. In general, the tile synchronization techniques disclosed have benefits regardless of the tiling scheme. By freezing features (e.g., in full-chip OPC), the system is restricted in terms of its degrees of freedom to optimize a mask. The present disclosure places limited if any restrictions (e.g., no such restrictions in cases such as hotspot fixing), thereby resulting in better convergence of computation results, particularly near tile boundaries. In addition, the synchronization techniques disclosed herein may be selectively incorporated into existing frameworks, e.g., by implementing them on larger tiles. The disclosed tiling schemes may be combined with conventional schemes to gain efficiency if simultaneously treating an entire design layout may be prohibitive on available computational resources. While such a partial implementation may not eliminate boundary stitching issues, it does reduce its frequency of occurrence. Thus, the present disclosure provides examples of synchronized parallel tile computation methods for IC fabrication. In some examples, a method comprises receiving an IC design layout, partitioning the IC design layout into a plurality of tiles, performing a simulated imaging process on the plurality of tiles, wherein performing the simulated imaging process comprises executing a plurality of imaging steps on each of the plurality of tiles, wherein executing each of the plurality of imaging steps comprises synchronizing image values from the plurality of tiles via data exchange between neighboring tiles. The method further comprises generating a modified IC design layout by combining final synchronized image values from the plurality of tiles, and providing the modified IC design layout for fabricating a mask. In some such examples, the neighboring tiles include a first tile and a second tile that neighbors the first tile. An overlapping area of the first tile and the second tile includes a pixel, and the pixel has a first image value previously computed by the first tile and a second image value previously computed by the second tile. Executing an imaging step on the first tile comprises computing an updated image value of the pixel based on a weighted combination of the first image value of the pixel and the second image value of the pixel. The data exchange between the neighboring tiles in the imaging step comprises delivering the updated image value of the pixel from the first tile to the second tile. In some such examples, the weighted combination includes a first weight multiplied by the first image value and a second weight multiplied by the second image value, and a sum of the first weight and the second weight is greater than zero but equal to or less than one. In some such examples, the imaging step executed on the first tile is a first imaging step, and the plurality of imaging steps further includes a second imaging step that follows the first imaging step. Here executing the second imaging step on the second tile comprises computing a second updated image value of the pixel based on the weighted combination of (a) the updated image value of the pixel delivered to the second tile and (b) a third image value of the pixel computed by the second tile in the first imaging step. In some such examples, the simulated imaging process is an iterative process used in OPC or ILT, and each iteration of the iterative process includes the plurality of imaging steps. Here the method further comprises repeatedly performing the iterative process until the modified IC design layout satisfies pre-set conditions. In further examples, a system comprises a manager machine interacting with a plurality of worker machines including first and second worker machines interacting with the manager machine. The manager machine is configured to receive an IC design layout, partition the IC design layout into a plurality of simulation boxes including first and second simulation boxes, assign the first and second simulation boxes to the first and second worker machines, respectively, for performing a simulated imaging process including first and second imaging steps. Here an overlapping region of the first and second simulation boxes includes a point with a set of coordinates. The first and second worker machines are configured to: in the first imaging step, compute image value A of the point using the first worker machine and image value B of the point using the second worker machine; in the first imaging step, exchange image value A and image value B with each other; and in the second imaging step, compute image value C of the point using the first worker machine and image value D of the point using the second worker machine. The computation of both image value C and image value D is based on a weighted combination of image value A and image value B. In some such examples, the weighted combination of image value A and image value B uses a first weight multiplied by image value A and a second weight multiplied by image value B, and wherein a sum of the first and second weights equals one. In some such examples, image value C of the point computed using the first worker machine and image value D of the point computed using the second worker machine are equal. In some such examples, the first and second worker machines are further configured to send image value C of the point and image value D of the point to the manager machine. In some such examples, the manager machine is further configured to generate a modified IC design layout based in part on image value C of the point and image value D of the point, and provide the modified IC design layout for fabricating a lithography mask based on the modified IC design layout. In further examples, a method for lithography simulation comprises receiving a simulation box of an IC design layout by a first worker machine. The simulation box includes a first transition region, the first transition region covers a pixel, and the pixel is also covered by one or more second transition regions processed by one or more second worker machines. The method further comprises computing a first pixel value of the pixel to simulate a first stage of a lithography process, receiving one or more second pixel values that have been computed for the pixel by the one or more second worker machines interacting with the first worker machine, and computing an updated pixel value of the pixel to simulate a second stage of the lithography process based on a weighted combination of the first pixel value and the one or more second pixel values. In some such examples, the weighted combination uses a plurality of weights, each multiplied by one of the first pixel value and the one or more second pixel values in computing the updated pixel value. Here a sum of the plurality of weights equals one. In some such examples, the method further comprises transmitting the updated pixel value of the pixel to each of the one or more second worker machines. In some such examples, the method further comprises receiving the IC design layout by a manager machine interacting with the first worker machine and with the one or more second worker machines, partitioning, by the manager machine, the IC design layout into a plurality of simulation boxes including the simulation box, assigning by the manager machine the simulation box to the first worker machine for simulation, and sending, from the manager machine to the first worker machine, message delivery instructions that specify how the updated pixel value of the pixel is to be transmitted to each of the one or more second worker machines. In some such examples, the updated pixel value of the pixel is a first updated pixel value that is computed by the first worker machine in a first imaging step. The method further comprises, in a second imaging step that follows the first imaging step: receiving one or more third pixel values that have been generated for the pixel in the first imaging step by the one or more second worker machines, and computing a second updated pixel value of the pixel based on a weighted combination of the first updated pixel value and the one or more third pixel values. In some such examples, the first imaging step and the second imaging step are used for OPC, where the first updated pixel value of the pixel represents an optical image value at the pixel, and where the second updated pixel value of the pixel represents a resist image value at the pixel. In some such examples, the first imaging step and the second imaging step are used for ILT, where the first updated pixel value of the pixel represents a wafer image value at the pixel, and where the second updated pixel value of the pixel represents a gradient value at the pixel. In some such examples, the method further comprises generating a modified IC design layout based in part on the second updated pixel value, and providing the modified IC design layout for fabricating a lithography mask based on the modified IC design layout. In some such examples, the weighted combination of the first pixel value and the one or more second pixel values is specified by one or more weighting functions, wherein each weighting function accords (a) a weight of one to an core region of the simulation box, (b) weights between zero and one to the transition region, and (c) a weight of zero to a halo region of the simulation box. In some such examples, the simulation box further includes a core region surrounded by the transition region and a halo region surrounding the transition region. Here the method further comprises computing updated pixel values of a plurality of pixels in the core region by using only pixel values that have been generated for the plurality of pixels by the first worker machine, while not using any previous pixel values generated for the plurality of pixels by the one or more second worker machines. Computing the updated pixel value of the pixel is further based on additional pixels located in the halo region. Values of the additional pixels located in the halo region are not transmitted by the first worker machine to any of the one or more second worker machines. The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
054992788
claims
1. A method for raising the water level in a condenser pool having a condenser at least partly submerged in water when the water level is at a predetermined elevation, comprising the steps of: anchoring a structure in the condenser pool so that at least a portion of said structure is submerged in water, said structure being suitable for retaining a gas at an elevation below a predetermined water level in said condenser pool, and injecting a volume of gas into said gas retaining structure, whereby an equal volume of water in said condenser pool is displaced from an elevation below said predetermined elevation to an elevation above said predetermined elevation without adding water into the condenser pool. injecting gas into a volume which is submerged in the water in the condenser pool; and retaining the injected gas in said volume, whereby an equal volume of water in said condenser pool is displaced from an elevation below said predetermined elevation to an elevation above said predetermined elevation without adding water into the condenser pool. 2. The method as defined in claim 1, wherein said volume of gas is injected from an accumulator containing a poison solution and pressurized nitrogen gas. 3. A method for raising the water level in a condenser pool of a boiling water reactor having a condenser at least partly submerged in water when the water level in the condenser pool is at a predetermined elevation, comprising the steps of: 4. The method as defined in claim 3, wherein the gas is injected from an accumulator containing a poison solution and pressurized nitrogen gas.
claims
1. A neutron absorbing apparatus comprising:a plate structure having a first wall and a second wall that is non-coplanar to the first wall;the first and second walls being formed by a single panel of a metal matrix composite having neutron absorbing particulate reinforcement which is bent into the non-coplanar arrangement along a crease; anda plurality of spaced-apart elongated slits formed into the single panel along the crease which are configured and arranged to facilitate bending the single panel into the non-coplanar arrangement;wherein the elongated slits cover between 50% to 70% of an entire length of the crease;wherein the slits are not formed as a result of a weldment of plural panels. 2. The neutron absorbing apparatus of claim 1, wherein the slits each define a major axis and a minor axis, the major axis of the slits coextensive with the crease and the minor axis being perpendicular to the major axis. 3. The neutron absorbing apparatus of claim 2, wherein adjacent slits are separated by a distance that is shorter than the major axis. 4. The neutron absorbing apparatus of claim 3, wherein the distance is between 50% to 75% of the major axis. 5. The neutron absorbing apparatus of claim 1, wherein the first and second walls are substantially planar and angled to form a chevron shape. 6. The neutron absorbing apparatus of claim 1, wherein the plate structure is a chevron shape. 7. The neutron absorbing apparatus of claim 6 further comprising:a reinforcement bar connected to a top end of the plate structure;wherein the metal matrix composite having neutron absorbing particulate reinforcement is at least 20% by volume neutron absorbing particulate;wherein the metal matrix composite having neutron absorbing particulate reinforcement is a boron carbide aluminum matrix composite material, a boron carbide steel matrix composite material, a carborundum aluminum matrix composite material or a carborundum steel matrix composite material; andwherein the crease has a gauge thickness between 0.05 to 0.09 inches. 8. A neutron absorbing apparatus insert comprising:a plate structure having a first wall and a second wall that is non-coplanar to the first wall;the first and second walls being formed by a single panel of a metal matrix composite having neutron absorbing particulate reinforcement which is bent into the non-coplanar arrangement along a crease; anda plurality of spaced-apart elongated slits formed into the single panel along the crease to facilitate bending the single panel into the non-coplanar arrangement;wherein the plate structure is configured for insertion into cells of a fuel storage rack;wherein the slits are not formed as a result of a weldment of plural panels. 9. The neutron absorbing apparatus of claim 8, wherein the plate structure is a chevron shape. 10. The neutron absorbing apparatus of claim 9, wherein the elongated slits cover between 50% to 70% of an entire length of the crease.
039895904
abstract
An internally pressurized hermetically clad fuel element for a nuclear reactor having a sealed collapsible capsule within the fuel element plenum. The capsule is controllably collapsed in a buckling mode with increases in plenum pressure to maintain the fuel element pressure substantially constant throughout its operating life.
description
An electron beam irradiation apparatus having a power supply according to an embodiment of the present invention will be described below with reference to FIGS. 1 and 2. In FIGS. 1 and 2, like components in the present invention are designated by the same reference numerals as those shown in FIGS. 3 and 4. The electron beam irradiation apparatus has the same structure as that shown in FIG. 3 except for a power supply. A power supply shown in FIGS. 1 and 2 comprises a step-down transformer 36 for lowering an AC voltage of a commercial power supply from 6600 V to 3300 V, an inverter device 37 for transforming the lowered voltage of the commercial AC power supply into a desired AC voltage having a desired frequency, a LC filter 38 connected to the downstream side of the inverter device 37, a transformer 27 for stepping up the AC voltage outputted from the inverter device 37, and rectifying devices 28 for rectifying the stepped up AC voltage. The high DC voltage Vo is produced by a plurality of rectifying devices 28 connected in series. The rectifying devices 28 produces a high voltage of about 800 kV which is the sum of 20 kV produced by each rectifying device. The high DC output voltage Vo is applied via voltage dividing resistances 30 to each of accelerating electrodes in an accelerating tube 13. The inverter device 37 adopts a so-called pulse-width modulation control, which controls the turn-on pulse width and the turn-off pulse width of the carrier frequency signal to form the desired waveform of the AC output voltage. In this control, a cycle of the carrier frequency signal can be a unit of the control. Thus, the AC output voltage can be switched on and off within each cycle of the carrier frequency signal as a unit. For example, if the carrier frequency is 2 kHz, then the cycle time of the carrier frequency signal is 0.5 millisecond, and hence the output voltage can be adjusted within the cycle of 0.5 millisecond as a unit. Specifically, when the inverter device 37 is commanded to stop off the output in a certain cycle, the inverter device 37 can output zero voltage at the next cycle. The LC filter 38 is connected to the downstream side of the inverter device 37 and employed for preventing the carrier frequency signal having a high frequency from being transmitted into the step-up transformer 27. The DC output voltage Vo is detected by a voltage detector 29 and the signal from the voltage detector 29 is transmitted into a controller in the inverter device 37. In the controller of the inverter device 37, the DC output voltage Vo is adjusted so as to be kept at a certain set value, e.g. 800 kV. Specifically, the output voltage is compared with the set value in each cycle of the carrier frequency signal in the inverter device 37 and controlled to make the deference between the output voltage and the set value zero by the feedback control. Accordingly, even if the input voltage of the commercial AC power supply varies, the output voltage can be controlled to follow the variation of the input voltage within a cycle of the carrier frequency signal. Current detectors 26, 26a are disposed in the downstream side of the inverter device 37. The detected results by the current detectors are fed back to the controller in the inverter device 37. Accordingly, if an electric discharge in the parts to which a high voltage is applied in the power supply, an electric discharge at the accelerating electrodes in the accelerating tube 13, or a short-circuit occurs, then the current detectors 26, 26a can detect the abnormal current produced by the electric discharge or short-circuit. Then, the signal from the current detectors 26, 26a is transmitted into the controller in the inverter device 37. As described above, since the output voltage can be controlled at each cycle of the carrier frequency signal in the inverter device 37, as soon as the abnormality is detected by the current detector 26, 26a, the inverter device 37 can stop off the output. Specifically, the output can be stopped off within one or two cycles from the time when the abnormal current is detected. For example, if the carrier frequency is 2 kHz, the output can be stopped off within 1 millisecond. Thus, the power supply according to the present invention can prevent the rectifying devices such as diodes from being broken. The primary of the step-down transformer 36 is connected to the commercial power supply of 6600 V AC. The secondary of the step-down transformer 36 lowers the voltage in electric power to 3300 V AC and then supplies the power to the inverter device. The transformer 36 has a delta connection at the primary, and a star connection and a delta connection in parallel at the secondary. As shown in FIG. 2, two rectifying circuits each comprising a converter are disposed in the inverter device and connected in series to DC output device forming a DC power supply. The DC supply supplies a direct-current power to a single inverter. Since a large number of semiconductor elements are used as the rectifying elements and the switching elements in the inverter device 37, it is preferable to use the voltage of about 3300 V because of a relatively low allowable voltage range. Inasmuch as the step-down transformer 36 has the delta-star connection and the delta-delta connection in parallel, the formation of the harmonics at the commercial AC power supply side can be completely suppressed. Hence, the power supply according to the present invention can dispense with the harmonic suppression filter 22 shown in FIG. 4 used in the conventional system. As described above, the conventional harmonic suppression filter 22 is employed for suppressing the 23rd or lower-order harmonics, for example, and requires a large space and cost. By removing such a filter, the cost reduction and the compactness of an electron beam irradiation apparatus can be achieved. As described above, according to the present invention, a power supply in an electron beam irradiation apparatus comprises an inverter device. Therefore, the output can be controlled within each cycle of the carrier frequency signal in the pulse-width modulation control, and hence the power supply can ensure high stability and safe operation in which the output can be stopped off instantaneously. Thus, the electron beam irradiation apparatus can be operated stably and safely. Although certain preferred embodiments of the present invention have been shown and described in detail, it should be understood that various changes and modifications may be made therein without departing from the scope of the appended claims.
summary
043115586
abstract
Disclosed is a pressure vessel of a nuclear power plant comprising a generally cylindrical shaped first partial section, a cavity for housing a high temperature reactor within the first partial section, a second partial section forming the lower part of the pressure vessel, a horizontal tunnel for housing a gas turbine assembly within the second partial section and a plurality of recesses for housing components of a heat exchanger apparatus, wherein the cavity for housing the high temperature reactor is eccentrically arranged in the first partial section of the pressure vessel with respect to the cylindrical shape.
abstract
A multi-beam source for generating a plurality of beamlets of energetic electrically charged particles. The multi-beam source includes an illumination system generating an illuminating beam of charged particles and a beam-forming system being arranged after the illumination system as seen in the direction of the beam, adapted to form a plurality of telecentric or homocentric beamlets out of the illuminating beam. The beam forming system includes a beam-splitter and an electrical zone device, the electrical zone having a composite electrode composed of a plurality of substantially planar partial electrodes, adapted to be applied different electrostatic potentials and thus influencing the beamlets.
049869566
abstract
A closed passive nuclear reactor pressure suppression containment system segrated from the nuclear island having suppression tanks connected to the reactor compartment by one or more vent lines terminating in downcomer lines submerged into a water pool in each of said suppression tanks and a return line from each suppression tank whereby water is directed from the suppression tanks to the reactor, by gravity and without active components, to flood the reactor during a loss of coolant accident.
042010926
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT In the "Background of the Invention" the curves of FIG. 1 have already been explained as being obtained from several different high pressure pipes in a specific reactor where ultrasonic sensors were used to provide the signals which were later analyzed in the form as shown. FIG. 2 illustrates a system which could be used to generate the curves of FIG. 1 but which may also be adapted in conjunction with the method of the present invention. Referring to FIG. 2, a single pipe 16 is illustrated with several ultrasonic transducers or sensors 17, 18, 19 mounted thereon at space locations. Each transducer includes a preamplifier 21, 22, 23 respectively whose outputs are time sequenced by a sequencing switch 24, amplified by amplifier unit 25 and connected to a spectrum analyzer 26. Such analyzer in combination with the computer 27 may make a Fourier analysis of a signal from a single sensor and displayed it on an XY plot recorder 28 where amplitude is the vertical axis and frequency the horizontal axis. Such is the case with the curve of FIG. 1. Here the presence of leaks in the pipes corresponding to curves 11, 12 and 13 is indicated by the peaks at various frequencies (which approach 1 MHz) as compared to the nonleaking or sound tube or pipe corresponding to the curve 14. However, as stated above, merely sensing the presence of a leak is not sufficient. Enlargement or growth rate of a through wall crack is very desirable information. In accordance with the present invention it has been discovered that there is a physical similarity between a through wall crack and a nozzle. FIG. 3 illustrates a pipe wall 29 which, for example, might be a portion of pipe 16 of FIG. 2 where in the interior of the pipe there is a relatively high pressure P.sub.1 and temperature T.sub.1 and the ambient conditions on the outside are P.sub.2 ; T.sub.2. The crack is indicated at 31 where the external throat diameter is d, pressure at that point is P.sub.T and the velocity of the leaking fluid is indicated as V. When turbulent flow conditions exist in the crack, considerable acoustic energy is generated. From a general standpoint the following expression relates acoustic energy to flow conditions. EQU Acoustic Energy=K.rho..sub.o V.sup.8 A.sub.o.sup.-5 d.sup.2 (1) .rho..sub.o =Density PA1 V=Velocity PA1 A.sub.o =Speed of sound in fluid PA1 d=Diameter PA1 K=Constant with typical value of 0.6.times.10.sup.4 for Mach number between 0.3 and 1.0. Such equation was propounded by M. J. Lighthill "On Sound Generated Aerodynamically" Proceedings of the Royal Society (London) A, 211 (1952), page 564, and 222 (1954), page 1. The terms of the equation can be related to the throat diameter of the nozzle and the velocity of the fluid. The maximum velocity obtainable for the nozzle is the speed of sound of the fluid, A.sub.0. This occurs when the pressure at the throat reaches the critical pressure, P.sub.c, and is where "choke flow" exists. Fluid velocity will remain constant at the sonic velocity as long as the critical pressure P.sub.c is equal to or greater than the ambient discharge pressure P.sub.2. Moreover, with steam as a fluid it has been found that the ratio of critical pressure P.sub.c to the pressure P.sub.1 is in the range of from 0.56 to 0.575. When choke flow conditions persist, equation (1) reduces to the following expression: ##EQU1## This expression indicates that the acoustic energy generated is independent of the driving pressure once choke flow conditions are established. FIG. 4 illustrates the foregoing. This shows the frequency spectrum results obtained when a mockup tube is pressurized in stages up to 6.9 MegaPascals (MPa) (1,000 psi) with a 0.034 cm diameter hole drilled through the wall. The heavy darkened curve at 6.9 MPa shows that saturation of sound intensity occurs because the escaping fluid velocity reaches sonic velocity and thus remains constant. Equation (2) also demonstrates that the energy at the choke flow condition is related only to the cross sectional area of the crack; thus, the monitoring of the energy generated will provide indication of crack enlargement. Such crack enlargement is determined by the fact that acoustic energy is directly proportional to the cross sectional area of the crack, i.e., d.sup.2. The relationship of equations (1) and (2) is better illustrated in FIG. 5 which is a plot indicating how the acoustic energy detected will change with crack size and is an illustration of the method of the present invention. This curve reflects changes at only one frequency; however, the entire spectrum amplitude should change in a like manner, thus, it is possible to draw a family of frequency spectra curves whose magnitude will change in the same fashion with regard to crack size. The saturated or choke flow curve is called Part 2 and indicates how acoustic energy increses with crack size. Before choke flow is reached, the flow is subsonic; thus from the time of crack initiation to choke flow the signal increases as the velocity to the 8th power and of course the diameter squared; viz, kV.sup.8 d.sup.2. This is a relatively rapid variation because of the high exponent of velocity and will over power the diameter dependence. After choke flow occurs the variation or enlargement of the crack area is a proportional function; viz kd.sup.2. Here the signal changes more slowly but is only a function of crack size. Thus, by either visual examination or use of computer 27 as shown in FIG. 2, by monitoring the change of the acoustic energy over time crack enlargement may be determined. Yet another possible technique is illustrated by the curves of FIG. 4 where the choke flow condition is unique because of the saturation effect. Computer 27 of FIG. 2 could have stored in it a simulated pattern of this choke flow condition in a particular reactor and thus could recognize by the comparison such choke flow condition. And then by the monitoring in time of crack enlargement curves which vary as kd.sup.2 crack diameter, d, could easily be determined. If a certain criteria was exceeded, the computer 27 would then generate an alarm. Thus the present invention has provided an improved method of leak detection and monitoring.
summary
046559990
abstract
A control rod for a nuclear reactor according to the present invention is such that neutron absorbing rods are surrounded with a second neutron absorber which differs in material from the neutron absorbing rods. In a case where the neutron absorbing rod is composed of boron carbide powder and a cladding for receiving the powder therein, the second neutron absorber is a sheet of a material having a neutron irradiation lifetime longer than that of boron carbide, for example, hafnium. In a case where the neutron absorbing rod is a round hafnium rod, the second neutron absorber having a neutron absorption worth higher than that of hafnium is located so as to surround the round hafnium rods. Thus, the neutron absorbing rods are effectively used, and the lifetime of the control rod can be prolonged in the former.
description
The present disclosure relates generally to downhole radiation generation for nuclear well logging and, more particularly, to electrode configurations for downhole nuclear radiation generator tubes. A downhole generator tube may include three main components: an ion source, an acceleration column, and a target. An ion beam from the ion source may advance through the acceleration column toward the target, guided by a potential difference between an electrode near the ion source and an electrode near the target. Neutrons and/or gamma-rays are generated when the accelerated ions strike the target. As the ion beam progresses through the acceleration column, however, some of the ions may strike an electrode instead of the target. This may occur in part because the acceleration column of a downhole neutron generator tube may hold a pressurized gas, rather than a vacuum, and ions from the ion beam may strike pressurized gas particles in the acceleration column and change direction. When an ion from the ion beam impinges on an electrode in the acceleration column, ion-induced sputtering may result. Sputtering causes the emission and transport of electrode material, which generally may be isotropic and generally may travel in a straight line from the point of emission. As a result, electrically conductive electrode material may condense on nearby ceramic high voltage insulators that surround the acceleration column. If the high voltage insulators are coated by sputtered electrode material across a substantial length of the acceleration column, the voltage potential between the electrode near the ion source and the electrode near the target may short circuit in a catastrophic leakage event. Even if the acceleration column does not short circuit, sputtered electrode material along the high voltage insulator may form a conductive deposited film that takes on an intermediate voltage between the potential of the ion source and the potential of the target. This conductive film may increase electric field stresses on the adjacent electrodes in the acceleration column. Increased electrical field stresses may yield an increase in a high voltage leakage current, as well as increase the likelihood of catastrophic leakage events due to leakage currents on the insulator or field emission from one of the electrodes. Uneven target surface wear may also be problematic for a downhole neutron generator. Because the ion beam from the ion source to the target may be center-weighted, the ion beam may be unevenly distributed across the beam spot upon striking the target. This uneven distribution may generate uneven wear on the end of the target, which may cause the neutron yield of the neutron generator to diminish as part of the target wears out prematurely. Similarly, a downhole x-ray generator tube also may include three main components: an electron emitter (cathode), an acceleration column, and a target (anode). An electron beam from the cathode may advance through the acceleration column toward the anode, guided by the potential difference between an electrode near the electron gun (cathode) and the anode or an adjacent electrode. X-rays are generated through Bremsstrahlung or characteristic x-ray emission following inner shell ionization when the electrons hit the anode and are decelerated and scattered in the material. As the electron beam progresses through the acceleration column, however, some of the electrons may strike an electrode instead of reaching the anode. For this reason and others, many of the same problems mentioned above may affect downhole neutron generator tubes as well as x-ray generator tubes. Certain aspects commensurate in scope with the originally claimed embodiments are set forth below. It should be understood that these aspects are presented merely to provide the reader with a brief summary of certain forms the disclosed embodiments might take and that these aspects are not intended to limit the scope of the disclosed subject matter. Indeed, embodiments of the disclosed subject matter may encompass a variety of aspects that may not be set forth below. Presently disclosed embodiments relate to devices and methods associated with improved electrode configurations for downhole nuclear radiation generators. In one example, an embodiment of a nuclear radiation generator capable of downhole operation may include a charged particle source, a target material, and an acceleration column between the charged particle source and the target material. The acceleration column may include several electrodes shaped such that substantially no electrode material from the electrodes is sputtered onto an insulator surface of the acceleration column during normal downhole operation. In another example, an embodiment of a neutron generator tube capable of downhole operation may include an ion source, a target electrode, and an acceleration column disposed between the ion source and the target electrode. The acceleration column may include an extractor electrode nearer to the ion source than the target electrode, a suppressor electrode nearer to the target electrode than the ion source, and an intermediate electrode disposed between the extractor electrode and the suppressor electrode. In a further example, an embodiment of an x-ray generator capable of downhole operation may include a cathode, an anode, and an acceleration column between the cathode and the anode. The acceleration column may include several electrodes shaped such that substantially no electrode material from the electrodes is sputtered onto an insulator surface of the acceleration column during normal downhole operation. One or more specific embodiments are described below. In an effort to provide a concise description of these embodiments, not all features of an actual implementation are described in the specification. It should be appreciated that in the development of any such actual implementation, as in any engineering or design project, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which may vary from one implementation to another. Moreover, it should be appreciated that such a development effort might be complex and time consuming, but would nevertheless be a routine undertaking of design, fabrication, and manufacture for those of ordinary skill having the benefit of this disclosure. The disclosure herein generally describes various electrode configurations for an acceleration column of a downhole nuclear radiation generator, such as a neutron generator tube or an x-ray generator tube. While the present disclosure primarily describes such configurations in the context of a neutron generator tube, the disclosed electrode configurations and techniques regarding their use may equally apply to a downhole x-ray generator tube. Specifically, the ion source and target electrode of a neutron generator tube may be respectively analogous to the cathode and anode of a downhole x-ray generator tube. As such, the acceleration column of a downhole x-ray generator tube may similarly employ the electrode configurations and techniques described with reference to a neutron generator tube. When such electrode configurations and techniques are employed in a downhole x-ray generator tube, an electron beam from the cathode may be less likely to impinge on any of the intermediate electrodes while traveling toward the anode, which could cause parasitic x-rays and/or secondary electron emission. A general description of such an x-ray tube may be found in U.S. Pat. No. 5,680,431, “X-RAY GENERATOR,” assigned to Schlumberger Technology Corporation, which is incorporated herein by reference in its entirety. Accordingly, the electrode configurations and associated techniques disclosed herein may apply to any downhole nuclear radiation generator that may generate nuclear radiation by accelerating charged particles through an acceleration column toward a target material. Such a downhole nuclear radiation generator may include a charged particle source (e.g., an ion source and/or a cathode) to emit charged particles (e.g., ions and/or electrons) toward a target material (e.g., a target electrode and/or an anode) that may produce nuclear radiation (e.g., neutrons and/or x-rays) when struck by the charged particles. With the foregoing in mind, FIG. 1 represents a schematic cross-sectional view of a neutron generator 10 suitable for use in a downhole tool. The neutron generator 10 may include a pressure housing 12 having a pressurized insulating gas 3 and various device components. For example, the neutron generator 10 may include a high voltage supply 4 controlled externally via external power and control feedthroughs 5. This high voltage supply 4 may deliver high voltages in the range from 50 kV to 150 kV or more via a negative high voltage line 6 to a target electrode 18 in an evacuated neutron generator tube 11. Neutron generator tube 11 may also include an ion source 16 within a vacuum envelope controlled by external power and control feedthroughs 7. The vacuum envelope may include a high voltage insulator 28 separating various electrodes (shown in FIG. 3 and described below) located between the ion source 16 and the target electrode 18. The ions generated in the ion source 16 may be accelerated to the target electrode 18, and a nuclear reaction between the incoming ions and nuclei located in the target electrode 18 may generate neutrons. The neutrons may be generated with the reaction of deuterons with tritium (i.e. the d-T reaction), which generates 14.1 MeV neutrons from d+T→n+alpha+17.6 MeV; where d is the nucleus of a 2H atom, T is a 3H atom and alpha is the nucleus of the 4He atom. The target electrode 18 of the neutron generator tube may be a disk of titanium saturated with 3H. The ion source 16 may ionize deuterium 2H2 gas, and the resulting molecular ions or bare nuclei may be accelerated to the target electrode 18 by an electric field between the ion source 16 and the target electrode 18. The ion beam may be pulsed to obtain bursts of neutrons. Continuous operation also may be possible. The neutron generator tube 11 and the high voltage source 4 may be enclosed in the pressure housing 12 containing the insulating gas 3, as well as insulating sleeves (not shown) to allow the generation and application of the required high voltage in the limited available space. The insulating gas 3 may include SF6 at pressures ranging from a few psig to more than 100 psig. The feedthroughs 5 and 7 into the pressure housing 12 may provide the power to the high voltage sections of the neutron generator 11 and monitoring outputs for external controls. Similarly, power may be provided to the ion source 16, which may include control voltages and currents to regulate and/or pulse the ion beam current. FIG. 2 is a more detailed schematic cross-sectional view of the neutron generator 10 of FIG. 1, illustrating particularly an improved electrode configuration of the neutron generator tube 11. Located within the pressure housing 12, an acceleration column 14 may provide a path for an ion beam from the ion source 16 to travel toward the target electrode 18. The acceleration column 14 may generally remain at a low gas pressure, as generally required for the proper functioning of the ion source 16, rather than at a vacuum, because the neutron generator tube 10 is a tool for downhole use and the neutron generator tube 11 may be sealed. As such, differential pressure may not be maintained between the various sections of the neutron generator tube 11, as may be the case in a differentially pumped ion source used in many laboratory applications. The ion source 16 may generally produce a pulsed ion beam that may be rapidly turned on and off. Such an ion source 16 and other components of the neutron generator tube 10 may generally be described in U.S. Pat. No. 5,293,410, “NEUTRON GENERATOR TUBE,” assigned to Schlumberger Technology Corporation, which is incorporated herein by reference in its entirety. The ion beam from the ion source 16 may be guided through the acceleration column 14 by an extractor electrode 20, an intermediate electrode 22, and a suppressor electrode 24, before reaching a titanium coating 26 on the target 18. Though the titanium coating 26 is schematically illustrated as covering an entire end of the target 18, the titanium coating 26 may cover only a part of the end where the ion beam is expected to strike the target 18. When the ion beam from the ion source 16 hits the titanium coating 26, the target electrode 18 may generate neutrons in the manner discussed above. The extractor electrode 20, the intermediate electrode 22 and the suppressor electrode 24 may be electrically separated from one another by an insulator 28, which may be constructed of alumina (Aluminum oxide ceramic). One or more high voltage power sources, such as the high voltage power source 4 illustrated in FIG. 1, may supply power to the electrodes 20, 22, and 24 at various potentials. In particular, the extractor electrode 20 may have a potential approximately 100 kV higher than that of the suppressor electrode 24 (i.e. the suppressor electrode 24 may have a negative potential with respect to the extractor electrode 20). The target electrode 18 may have a nominally higher voltage than the suppressor electrode 24 of approximately 200 V to 1000 V or more to suppress the backstreaming of secondary electrons generated in the target by the impinging ion beam. The intermediate electrode 22 may have an approximately median voltage potential between the voltage potentials of the extractor electrode 20 and of the suppressor electrode 24. For example, if the extractor electrode 20 has a potential of 100 kV, and the suppressor electrode 24 has a potential of 0 V, the intermediate electrode 22 may have a potential of approximately 50 kV. In alternative embodiments, the intermediate electrode 22 may have any suitable intermediate potential. By distributing the potentials across the electrodes 20, 22, and 24 in this way, the electrical fields produced by the electric potentials applied to the electrodes may be relatively evenly distributed across the acceleration column 14, as described in greater detail below with reference to FIG. 3. When the presently illustrated electrode configuration is employed in the acceleration column of an x-ray generator tube, the applied voltage potentials may be substantially the same. The extractor electrode 20, the intermediate electrode 22, and the suppressor electrode 24 may be shaped to reduce sputtering events that may occur when the neutron generator tube 10 is operating. For example, the extractor electrode 20 may have a rounded shape extending into the acceleration column 14, which may guide the ion beam from the ion source 16 to the target 18 without striking the intermediate electrode 22 or the suppressor electrode 24 during normal operation. As noted above, the interception of the ion beam from the ion source 16 by the intermediate electrode 22 or the suppressor electrode 24 may cause electrode material to wear away, sputtering out into the acceleration column 14. The shape of the extractor electrode 20 may thus reduce the likelihood of harmful sputtering of electrode material. The configuration of the intermediate electrode 22 may further reduce the likelihood that the insulator 28 be coated by electrode material due to sputtering events. Indeed, the very presence of the intermediate electrode 22 in the acceleration column 14 may serve to shadow, or shield, the ceramic insulator 28 from conductive material that may sputter off of the suppressor electrode 24. Any material sputtered off of the suppressor electrode 24 may be deposited on the intermediate electrode 22 instead of the ceramic insulator 28. The same considerations may apply to any other electrodes that protect the ceramic insulator 28 from being coated by sputter deposits. The intermediate electrode 22 also may be shaped so as to increase the likelihood that sputtered electrode material from the suppressor electrode 24 is deposited on the intermediate electrode 22 rather than the ceramic insulator 28. For example, the intermediate electrode 22 may be inserted between the ceramic insulators 28 approximately midway between the extractor electrode 20 and the suppressor electrode 24, at an approximate length L.sub.1 from both. Extending from the insulator 28 into the acceleration column 14, the end of the intermediate electrode 22 may reach approximately half way between the ends of the extractor electrode 20 and the suppressor electrode 24, at an approximate length L.sub.2 from both. The intermediate electrode is disposed in the high voltage insulator farther from the ion source than an end of the suppressor electrode that is not disposed in the high voltage insulator. The shaping of the intermediate electrode 22, in addition to shadowing the ceramic insulator 28 from sputtering events, may also reduce electron leakage and/or catastrophic leakage events in the acceleration column 14. Due to the shape of the intermediate electrode 22, the electrical field between the extractor electrode 20 and the suppressor electrode 24 may be controlled through the acceleration column 14 in a manner that may reduce electrical field stresses on the extractor electrode 20, the intermediate electrode 22, and/or the suppressor electrode 24 as well as on the insulators 28 separating the electrodes. With reduced and/or more predictable electrical field stresses on the electrodes 20, 22, and/or 24, the likelihood of spontaneous electron emission and/or catastrophic leakage events may be correspondingly reduced. The suppressor electrode 24 may also be shaped so as to reduce the likelihood of sputtering events. Specifically, the end of the suppressor electrode 24 may extend inside the acceleration column 14 to beyond the location of the start of the intermediate electrode 22. This arrangement may reduce the likelihood that any electrode material that sputters from the surface of the suppressor electrode 24 reaches the insulator 28. Because the end of the suppressor electrode 24 extends beyond the start of the intermediate electrode 22, any electrode material sputtered off of the suppressor electrode 24 is more likely to be shielded by the intermediate electrode 22 than deposited on the ceramic insulator 28. It should be noted that, independent of the shape of the intermediate electrode 22, imposing a defined potential at an intermediate position between the suppressor electrode 24 and the extractor electrode 20 may improve the stability and/or the longevity of the neutron generator tube 11, even in the presence of some sputtered material deposited onto the ceramic insulator 28. FIG. 3 illustrates a schematic cross-sectional view of the electric field distribution in the top half of the neutron generator tube 11. Because of the axial symmetry of the generator tube 11, only half of the tube is outlined. As shown in FIG. 3, an ion beam (not shown) from the ion source 16 may be guided by an electric field 34 that is approximately evenly distributed along the length between the end of the extractor electrode 20 and the end of the suppressor electrode 24. Because the intermediate electrode 22 may have an approximately median voltage potential between the voltage potentials of the extractor electrode 20 and of the suppressor electrode 24, and the intermediate electrode 22 may be located at an approximately median distance between the extractor electrode 20 and the suppressor electrode 24, the electric field 34 may be generally evenly distributed between all three electrodes 20, 22, and 24. Though two primary electrical field stress points 36 and 38 may appear on the intermediate electrode 22 and the suppressor electrode 24, respectively, the electrical field stresses at the points 36 and 38 may be substantially lower than those found on electrodes of an acceleration column having only the extractor electrode 20 and the suppressor electrode 24 (i.e., lacking any intermediate electrodes 22). By way of example, the voltage potential of the extractor electrode 20 may be approximately 50 kV higher than the intermediate electrode 22 and may be approximately 100 kV higher than the suppressor electrode 24. The point 36 may have an electrical field stress of approximately 192 kV/cm, and the point 38 may have an electrical field stress of approximately 221 kV/cm. In contrast, in an acceleration column without an intermediate electrode, the electrical field stress on a suppressor electrode may reach 449 kV/cm when a layer of sputtered electrode material has been deposited on a surrounding ceramic insulator. Because the electrical field stresses at the points 36 and 38 are reduced, the likelihood of spontaneous electron emission from the electrodes and/or catastrophic leakage events may also be reduced. Additionally, adjusting the shape of the electrodes 22 and 24 through modeling and experimentation may further reduce the electrical field stresses on points 36 and 38. As described above with reference to FIGS. 2 and 3, the inclusion of the intermediate electrode 22 in the acceleration column 14 of the downhole neutron generator tube 11 may result in a more even distribution 34 of the electrical field. Similarly, because multiple intermediate electrodes 22 may more evenly distribute the electrical field, FIG. 4 illustrates another electrode configuration for the neutron generator tube 11 having multiple intermediate electrodes 40-54 in place of a single intermediate electrode 22. In particular, FIG. 4 illustrates a simulated electrode configuration with eight intermediate electrodes 22 between the extractor electrode 20 and the suppressor electrode 24. The eight electrodes 40-54 represent the intermediate electrodes 22 between the extractor electrode 20 and the suppressor electrode 24. In the simulation of FIG. 4, the extractor electrode 20 is simulated as having a voltage potential approximately 100 kV higher than the suppressor electrode 24. The intermediate electrodes 22 may have voltage potentials that vary between 100 kV and 0 V higher than the suppressor electrode 24. For example, the electrodes 40-54 may have voltage potentials of approximately 100 kV, 85.7 kV, 71.4 kV, 57.1 kV, 42.9 kV, 28.6 kV, 14.3 kV, and 0 V, respectively, higher than the suppressor electrode 24. The target electrode 18 may have a voltage potential approximately 200 V higher than that of the suppressor electrode 24. When the presently illustrated electrode configuration is employed in the acceleration column of an x-ray generator tube, the applied voltage potentials may be substantially the same. As a result of the relatively small potential differences between each of the intermediate electrodes 22, the electrical field stresses may be relatively minor. Accordingly, spontaneous electron emission and/or catastrophic leakage events may be particularly unlikely on the electrodes 40-54. Moreover, an electrical field distribution 56 may be approximately even near the location of the ion beam 32. The evenness of the electrical field distribution 56 may decrease the likelihood that the ion beam 32 will strike the suppressor electrode 24, which may correspondingly reduce sputtering events. The evenness of the electrical field distribution 56 also may reduce the likelihood of spontaneous electron emission and/or catastrophic leakage events due to electrical field stresses on the suppressor electrode 24. This improved focusing effect may produce an ion beam 32 that is substantially parallel to the axis of the neutron generator tube 11 and that does not cross over. Such a focused ion beam 32 may further reduce the probability that ions or neutral atoms caused when ions strike the pressurized gas 3 may strike any electrodes other than the target electrode 18. FIG. 5 is a plot 58 describing a relationship between electrical field stresses on the surfaces of the electrodes 20, 40-54, and 24 simulated in FIG. 4, and the electrical potential distribution across the length of the electrode configuration of FIG. 4. In the plot 58, a first ordinate 60 represents electrical field stress in units of kV/cm, and a second ordinate 62 represents electrical potential distribution in units of kV. An abscissa 64 represents the relative axial position of the surfaces of the electrode configuration of FIG. 4, extending from behind the extractor electrode 20 on the far left to beyond the target 18 on the far right. In the plot 58 of FIG. 5, a dashed line represents electrical field potential 66 over the length of the electrode configuration of FIG. 4. As illustrated in the plot 58, rather than drop dramatically between any two electrodes, the electrical potential 66 slowly drops from 100 kV, at a point representing the first electrode 40 of the intermediate electrodes 22, to 0 V, at a point representing the last electrode 54 of the intermediate electrodes 22. A curve 68 represents the electrical field stresses at various points along the electrode configuration of FIG. 4. A peak 70 represents a maximum electrical field stress point on the extractor electrode 20, peaks 72-86 represent maximum electrical field stress point on the electrodes 40-54, respectively, and a peak 88 represents a maximum electrical field stress point on the suppressor electrode 24. As shown in the plot 58, the maximum electrical field stress for the electrode configuration simulated in FIG. 4 remains less than 140 kV/cm, which may be 300 kV/cm less than the maximum electrical field stress for electrode configurations without intermediate electrodes. FIG. 6 represents another electrode configuration for the neutron generator tube 11 that includes multiple electrodes 90-108 in place of a single intermediate electrode 22. In particular, FIG. 5 illustrates a simulated electrode configuration with ten intermediate electrodes 22 between the extractor electrode 20 and the suppressor electrode 24. The ten electrodes 90-108 represent the intermediate electrodes 22 between the extractor electrode 20 and the suppressor electrode 24. In the simulation of FIG. 5, the extractor electrode 20 is simulated as having a voltage potential 100 kV higher than the suppressor electrode 24 and as having an extruding shape to evenly guide the electrical field and to reduce electrical field stresses. The ten intermediate electrodes 90-108 may have voltage potentials that vary between 100 kV and 0 V higher than the suppressor electrode 24. For example, the electrodes 90-108 may have voltage potentials of approximately 100 kV, 88.9 kV, 77.8 kV, 66.7 kV, 55.6 kV, 44.4 kV, 33.3 kV, 22.2 kV, 11.1 kV, and 0 V, respectively, higher than the suppressor electrode 24. The target electrode 18 may have a voltage potential approximately 200 V higher than that of the suppressor electrode 24. The suppressor electrode 24 may also be shaped so as to evenly guide the electrical field and to reduce electrical field stresses. When the presently illustrated electrode configuration is employed in the acceleration column of an x-ray generator tube, the applied voltage potentials may be substantially the same. As a result of the relatively small potential differences between each of the intermediate electrodes 22, the electrical field stresses may be relatively minor. Accordingly, spontaneous electron emission and/or catastrophic leakage events may be particularly unlikely on the electrodes 90-108. Moreover, an electrical field distribution 110 may be approximately uniform near the location of the ion beam 32. The evenness of the electrical field distribution 110 may decrease the likelihood that the ion beam 32 will strike the suppressor electrode 24, which may correspondingly reduce sputtering events. The evenness of the electrical field distribution 110 also may reduce the likelihood of spontaneous electron emission and/or catastrophic leakage events due to electrical field stresses on the suppressor electrode 24, as may the shape of the suppressor electrode 24. This improved focusing effect may produce an ion beam 32 that is substantially parallel to the axis of the neutron generator tube 11 and that does not cross over. Such a focused ion beam 32 may further reduce the probability that ions or neutral atoms caused when ions strike the pressurized gas 3 may strike any electrodes other than the target electrode 18 FIG. 7 is a plot 112 describing a relationship between electrical field stresses on the surfaces of the electrodes 20, 90-108, and 24 simulated in FIG. 6, and the electrical potential distribution across the length of the electrode configuration of FIG. 6. In the plot 112, a first ordinate 114 represents electrical field stress in units of kV/cm, and a second ordinate 116 represents electrical potential in units of kV. An abscissa 118 represents the relative length of the surfaces of the electrode configuration of FIG. 6, extending from behind the extractor electrode 20 on the far left to beyond the target 18 on the far right. In the plot 112 of FIG. 7, a dashed line represents the electrical potential 120 over the length of the electrode configuration of FIG. 6. Like the simulation described in the plot 58 of FIG. 4, in the plot 112 of FIG. 7, rather than drop dramatically between any two electrodes, the electrical potential 120 slowly drops from 100 kV, at a point representing the first electrode 90 of the intermediate electrodes 22, to 0 V, at a point representing the last electrode 108 of the intermediate electrodes 22. A curve 122 represents the electrical field stresses at various points along the electrode configuration of FIG. 6. A peak 124 represents a maximum electrical field stress point on the extractor electrode 20, peaks 126-144 represent maximum electrical field stress point on the electrodes 90-108, respectively, and a peak 146 represents a maximum electrical field stress point on the suppressor electrode 24. As shown in the plot 112, the maximum electrical field stress for the electrode configuration simulated in FIG. 5 remains less than 80 kV/cm. Because the electrode configuration of FIG. 6 may further reduce electrical field stresses, the electrode configuration of FIG. 6 may be adapted for higher voltage potentials. For example, FIG. 8 is a plot 148 describing a relationship between electrical field stresses on the surfaces of the electrodes 20, 90-108, and 24 simulated in FIG. 6 and the electrical potential distribution across the length of the electrode configuration of FIG. 6, when the voltage potentials of the extractor electrode 20 and the intermediate electrodes 22 are doubled. Thus, the extractor electrode 20 may have a voltage potential of approximately 200 kV greater than that of the suppressor electrode 24, and the ten intermediate electrodes 90-108 may have voltage potentials that vary between approximately 200 kV and 0 V higher than the suppressor electrode 24. For example, the electrodes 90-108 may have voltage potentials of approximately 200 kV, 177.8 kV, 155.6 kV, 133.3 kV, 111.1 kV, 88.9 kV, 66.7 kV, 44.4 kV, 22.2 kV, and 0 V, respectively, higher than the suppressor electrode 24. The target electrode 18 may continue to have a voltage potential approximately 200 V higher than that of the suppressor electrode 24. When the presently illustrated electrode configuration is employed in the acceleration column of an x-ray generator tube, the applied voltage potentials may be substantially the same. In the plot 148 of FIG. 8, a first ordinate 150 represents electrical field stress in units of kV/cm, and a second ordinate 152 represents electrical potential distribution in units of kV. An abscissa 154 represents the relative length of the surfaces of the electrode configuration of FIG. 6, extending from behind the extractor electrode 20 on the far left to beyond the target 18 on the far right. In the plot 148 of FIG. 8, a dashed line represents the electrical potential distribution 156 over the length of the electrode configuration of FIG. 6. Like the simulation described in the plot 112 of FIG. 7, in the plot 148 of FIG. 8, rather than drop dramatically between any two electrodes, the electrical potential 156 slowly drops from 200 kV, at a point representing the first electrode 90 of the intermediate electrodes 22, to 0 V, at a point representing the last electrode 108 of the intermediate electrodes 22. A curve 158 represents the electrical field stresses at various points along the electrode configuration of FIG. 6. A peak 160 in the curve 158 represents a maximum electrical field stress point on the extractor electrode 20, peaks 162-182 represent maximum electrical field stress point on the electrodes 90-108, respectively, and a peak 184 represents a maximum electrical field stress point on the suppressor electrode 24. As shown in the plot 148, the maximum electrical field stress for the electrode configuration simulated in FIG. 6 remains less than 140 kV/cm, even though the voltage potential from the extractor electrode 20 to the suppressor electrode 24 is approximately 200 kV. FIG. 9 is a cross-sectional view of a portion of a neutron generator tube 184 having eight intermediate electrodes 22 arranged in an efficient pattern for guiding the electrical field across the acceleration column 14. Like the neutron generator tube 11, the neutron generator tube 184 may be located within a generator housing 12, and an ion source 16 may provide ions for acceleration through the acceleration column 14 to the target 18. In the neutron generator tube 184, the extractor electrode 20 is shaped to further reduce a likelihood that ions from the ion source 16 will strike any of the intermediate electrodes 22 or the suppressor electrode 24. Electrodes 186-198 represent intermediate electrodes 22, of which electrode 192 forms two intermediate electrodes of the same voltage potential. A ceramic insulator 28 separates the outer intermediate electrodes from 186-192 and 192-198. The ceramic insulator 28 is not necessary between the two electrodes 192, as the electrodes 192 both share the same voltage potential. Each of the intermediate electrodes 22 may be curved inwardly in acceleration column 14. The curvature of the intermediate electrodes 22 may be calculated to guide the electric field through the acceleration column 14 so as to evenly distribute the electrical field. Due to the number and shape of the intermediate electrodes 22 in the neutron generator tube 184, the acceleration column 14 may be extended to a greater length than that of the neutron generator tube 10 or of similar neutron generator tubes with fewer electrodes. This extended acceleration column 14 may enable the ions from the ion source 16 to reach higher energy levels before reaching the target 18. At higher energy levels, the target 18 may generate a greater number of neutrons. The electrodes 20, 22, and 24 may be shaped for reduced electrical field stresses. In particular, the intermediate electrodes 22 may be shaped to maintain an approximately equal spacing between adjacent electrodes, including the extractor electrode 20 and the suppressor electrode 24. Further, tips 200 of all electrodes of the neutron generator tube 184 may be shaped to minimize a quantity of electrical field stresses that may occur outside of the acceleration column 14 but within the generator housing 12. Additionally, the intermediate electrodes 22 may generally curve toward the radial center of the acceleration column 14. The suppressor electrode 24 may also be shaped to guide the ions from the ion source 16 to the target 18 without excessive sputtering events. To the extent that any ions from the ion source 16 strike the suppressor electrode 24, the array of intermediate electrodes 22, aligned pervasively across the length of the acceleration column 14, may prevent any sputtered electrode material from being deposited on the insulator 28. The plot 202 in FIG. 10 illustrates a simulated electrical potential distribution 204 obtained for the electrode configuration of the neutron generator tube 184 of FIG. 9. The eight intermediate electrodes 186-198 represent the intermediate electrodes 22 between the extractor electrode 20 and the suppressor electrode 24. In the simulation of FIG. 10, the extractor electrode 20 is simulated as having a voltage potential 100 kV higher than the suppressor electrode 24 and as having an extruding shape to evenly guide the electrical field and to reduce electrical field stresses. The eight intermediate electrodes 186-198 may have voltage potentials that vary between 100 kV and 0 V higher than the suppressor electrode 24. For example, the electrodes 186-198 may have voltage potentials of approximately 87.5 kV, 75 kV, 62.5 kV, 50 kV, 37.5 kV, 25 kV, and 12.5 kV, respectively, higher than the suppressor electrode 24. The target electrode 18 may have a voltage potential approximately 200 V higher than that of the suppressor electrode 24. The suppressor electrode 24 may also be shaped so as to evenly guide the electrical field and to reduce electrical field stresses. When the presently illustrated electrode configuration is employed in the acceleration column of an x-ray generator tube, the applied voltage potentials may be substantially the same. In a manner similar to the simulations described above, the relatively small potential differences between each of the intermediate electrodes 22 may cause the electrical field stresses to be relatively minor. Accordingly, spontaneous electron emission and/or catastrophic leakage events may be particularly unlikely on the electrodes 186-198. Moreover, an electrical potential distribution 204 may be approximately uniform near center of the acceleration column 14, where the ion beam 32 would generally travel. The evenness of the electrical potential distribution 204 may decrease the likelihood that the ion beam 32 will strike the suppressor electrode 24 or the intermediate electrodes 186-198, which may correspondingly reduce sputtering events. The evenness of the electrical potential distribution 204 also may reduce the likelihood of spontaneous electron emission and/or catastrophic leakage events due to electrical field stresses on the suppressor electrode 24, as may the shape of the suppressor electrode 24. This improved focusing effect may produce an ion beam 32 that is substantially parallel to the axis of the neutron generator tube 184 and that does not cross over. Such a focused ion beam 32 may further reduce the probability that ions or neutral atoms caused when ions strike the pressurized gas 3 may strike any electrodes other than the target electrode 18. FIG. 11 illustrates an additional consideration for a neutron generator employing a neutron generator tube 214, which may generally represent the neutron generator tubes 11 and 184 above having one or more intermediate electrodes 22. In order to ensure the proper voltage potentials on the different electrodes 20, 22, and 24, the electrodes may be connected to the correct voltages as delivered by the high voltage generator 4. This may be accomplished either through the use of multiple high voltage generators 4 and/or by the tapping of different voltages from the single high voltage generator 4. However, such an approach may require bringing additional high voltages to the electrodes 20, 22, and 24, and may pose problems due to the limited available space. The proper voltage potentials on the electrodes 20, 22, and 24 also may be provided by a voltage divider that causes the appropriate high voltages to appear on each of the electrodes. Such a voltage divider may include various resistive elements 214, as shown in FIG. 11, which may be discrete resistors and/or a resistive coating on the outer edge of the insulator 28. The extractor electrode 20 may be connected to ground, and the suppressor electrode 24 may be connected to a negative high voltage potential via the negative high voltage line 6. The resistive divider provided by the various resistive elements 214 may ensure the proper voltage distribution to the intermediate electrodes 22. As should be appreciated, the resistances provided by the resistive elements 214 may vary depending on design considerations and the voltage potentials to be applied to the various intermediate electrodes 22. Using the improved electrode configurations disclosed above, a downhole neutron generator or x-ray generator may employ a greater voltage potential across the acceleration column 14 from the extractor electrode 20 to the suppressor electrode 24. Accordingly, FIG. 12 illustrates an embodiment of a neutron generator configured to supply approximately double the voltage potential of existing downhole neutron generators. As shown in FIG. 12, a high potential neutron generator tube 216 may receiver high voltage power from two high voltage power supplies 4, electrically connected to the extractor electrode 20 and the suppressor electrode 24, respectively. Specifically, a positive output of the first high voltage power supply 4 may be coupled to the extractor electrode 20, while a negative output may be coupled to ground, effectively supplying a nominal voltage potential of approximately +100 kV to the extractor electrode 20. Meanwhile, a negative output of the second high voltage power supply 4 may be coupled to the suppressor electrode 24, while a positive output may be coupled to ground, effectively supplying a nominal voltage potential of approximately −100 kV to the suppressor electrode 24. In this way, a total accelerating voltage of 200 kV may be supplied while the high voltage with respect to ground, and accordingly the pressure housing 12, may not exceed 100 kV. In some embodiments, the two high voltages may not be symmetrical. For example, one high voltage generator 4 may supply approximately −120 kV and the other high voltage generator 4 may supply approximately +80 kV to provide a total voltage of approximately 200 kV. The extractor electrode 20 may be electrically separated from one or more intermediate electrodes 22, by one or more resistive elements 214. The resistive elements 214 may include, for example, discrete resistors or a resistive coating on the exterior of the acceleration column 14, which may connect the outer tips of the electrodes and may divide the voltage between the extractor electrode 20 and the suppressor electrode 24. One of the one or more intermediate electrodes 22 may or may not be coupled to ground. The suppressor electrode 24 may be similarly electrically separated from the one or more intermediate electrodes 22 by one or more resistive elements 214. If the neutron generator tube 212 instead included only a single intermediate electrode 22, which may be tied to ground, the single intermediate electrode 22 may be maintained at a predetermined potential with respect to the other electrodes. Under such conditions, a resistive voltage divider may not be employed, but rather an electrode tied to ground may facilitate the task of securing the neutron generator tube 212 mechanically to the pressure housing 12, which, as illustrated above with reference to FIG. 1, may surround a neutron generator tube such as the neutron generator tube 212. This alternative arrangement may more easily survive the rough handling often encountered by downhole tools, and may also help with the evacuation of heat from the neutron generator tube 11. If such a single intermediate electrode 22 were not tied to a predetermined potential provided by a high voltage power supply 4, one or more resistive voltage dividers 214 may be employed to ensure the proper electric potentials for the electrode 22. FIG. 13 represents a configuration of the neutron generator tube 212 having a grounded mechanical support 216 coupled to one of the intermediate electrodes 22. As illustrated, the extractor electrode 20 may be separated from the suppressor electrode 24 by the several intermediate electrodes 22. The neutron generator tube 212 may be contained within a pressure housing 12 that includes an insulating sleeve 218. An insulating gas 220 may fill the spaces surrounding the neutron generator tube 212, and may be the same as the insulating gas 3 described above with reference to FIG. 1. A grounded support 216 on one of the intermediate electrodes 22 may improve the mechanical ruggedness of the neutron generator tube 212 and may also improve heat evacuation. In the embodiment of FIG. 13, the center intermediate electrode 22 is coupled to the grounded support 216. Additionally or alternatively, the grounded support 216 may be coupled to any of the intermediate electrodes 22. The configuration illustrated in FIG. 13 may be particularly advantageous if the positive and negative high voltages from the high voltage supplies 4 are not symmetrical to ground. Under such conditions, the present configuration may ensure that the supported intermediate electrode 22 always remains at ground regardless of the potentials supplied by the high voltage power supplies 4. While only certain features have been illustrated and described herein, many modifications and changes will occur to those skilled in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the present disclosure.
summary
039895890
claims
1. A nuclear reactor comprising, a sealed, pressurized, reactor vessel having a plurality of openings in a wall thereof, each opening having a tubular member extending therethrough and sealingly attached thereto, the interior of said tubular member being exposed to the interior of said vessel, a core fixedly positioned within said reactor vessel, said core including a multiplicity of elongated fuel elements in spaced, parallel relationship, and a multiplicity of control rod channels interspersed among said fuel elements, means associated with said sealed reactor vessel and said core contained therein for converting heat produced by said core into useful energy, a plurality of control rod assemblies situated for axial movement within said control rod channels, each of said control rod assemblies including at least one control rod containing neutron absorbing material and a drive shaft attached thereto, a plurality of said drive shafts extending through each of said tubular members attached to said reactor vessel, sealed hollow hydraulic drive means sealingly attached to each of said tubular members for individually moving said drive shafts, and thereby said at least one control rod associated therewith, into and out of said core, said drive means having the interior thereof exposed to the interior of said tubular members, respectively, said drive means including a plurality of cylinders comprising cylindrical channels for respective movement therein of said control rod drive shafts, said plurality of cylinders being located within said drive means, and latching apparatus entirely within said drive means for positively and mechanically holding individual drive shafts of control rods in a withdrawn position, the ends of said drive shafts terminating within said drive means, said latching apparatus including complementary engaging members one mounted on said drive shaft and movable to engage another engaging member mounted entirely within said drive means, said other engaging member including an elongated arm of magnetic material mounted pivotally against a resilient means and biased toward said one engaging member when the control rod associated with said one engaging member is in a withdrawn position, and actuating means for said latching apparatus positioned adjacent said latching apparatus and located on the exterior of said drive means, said actuating means including electromagnetic pole means positioned in alignment with the elongated arm of said other engaging member to move said arm pivotally against said resilient means and away from said one engaging member when said electromagnetic pole means is energized. 2. The nuclear reactor of claim 1, wherein said hydraulic drive means includes decelerating apparatus for smoothly stopping the movement of said control rods during withdrawal from said core, said decelerating apparatus being operated hydraulically. 3. The nuclear reactor of claim 2, wherein said decelerating apparatus comprises a plurality of hydraulic shock absorbers, each of said shock absorbers being associated with an individual control rod drive shaft, each of said hydraulic shock absorbers being formed by an extension of said cylinder within said drive means, said cylinder extension being closed at one end and having its other end opened to the interior of said reactor vessel, said open end having a diameter smaller than the diameter of said cylinder but larger than the diameter of said control rod drive piston, said diameter being sized such that a flow restricting annulus is formed on passage of said piston therethrough, said flow restricting annulus permitting reactor coolant which is trapped within said cylinder extension during withdrawal of individual control rod assemblies to be released therefrom at a preselected rate. 4. The nuclear reactor of claim 2, wherein said decelerating apparatus includes a vent comprising a hole in the wall of said control rod cylinder, said hole flow connecting said cylinder to a pressure source having a lower pressure than the pressure within said reactor vessel, said hole being axially positioned with respect to the lower end of said control rod drive piston such that the hydraulic driving force associated with said drive means and comprising the differential pressure between the pressure within said reactor vessel and the pressure within said low pressure source, is progressively reduced as said control rod reaches a more withdrawn position. 5. The nuclear reactor of claim 1 wherein each of said one engaging members of said latch apparatus comprises a latch rod, each of said rods being located within an extension to each of said control rod cylinders within said drive means, each of said rods having a radial projection at one end for pivotal connection to said drive means and a hook-shaped configuration at the other end of said rods, said other engaging member including a hook-shaped end on each control rod drive piston, and said actuating means allowing individual disengagement of each of said control rod drive shafts from each of said latch rods, respectively. 6. A hydraulically operated control rod drive mechanism for individually moving a plurality of clusters of one or more control rods into and out of a core of a nuclear reactor, each of said clusters including at least one elongated rod containing neutron absorbing material, said drive mechanism having a sealed hollow housing and having mechanical means associated with each of said clusters for individually and positively retaining said clusters in a withdrawn position each of said mechanical means being located entirely within said sealed housing, said mechanical means for retaining each of said clusters in a withdrawn position includes a pair of engaging members, each of said pairs of engaging members for each cluster including a first elongated engaging member of magnetic material connected to said drive mechanism, said first engaging member having a ledge integral therewith, and a second engaging member connected to said cluster, said second engaging member having a ledge integral therewith, said ledges being complementarily positioned so as to become interlocked when said cluster is withdrawn from said core, actuating means for said engaging members located on the exterior of said housing, said actuating members being formed to individually disengage and engaging means, said actuating means including a plurality of electromagnetic pole means positioned respectively adjacent each of said first elongated engaging members to dislodge said ledge when said pole means are energized. 7. The drive mechanism of claim 6, wherein each of said first engaging members comprises a rod with said ledge being integral with one end thereof and being pivotally connected at its other end to said drive mechanism, and wherein each of said second engaging members respectively comprises a rod fixedly connected at one end to its respective cluster, said ledge being integral with the other end, said first and second engaging members thereby having oppositely positioned hook-shaped configurations which interlockingly engage when said cluster is withdrawn from said core. 8. The drive mechanism of claim 6, including means for mechanically maintaining said engaging members in an interlock position said means for mechanically maintaining said engaging members in an interlocked position comprises a resilient member disposed entirely within said drive mechanism and positioned so as to resiliently bias said first engaging member toward an interlocked position. 9. The drive mechanism of claim 6 including decelerating means for smoothly reducing the velocity of said cluster of one or more control rods during withdrawal from said core. 10. The drive mechanism of claim 9 wherein said decelerating means is hydraulically operated and comprises a piston connected to said control rod cluster, a cylinder formed by an elongated channel within said drive mechanism, said piston being mounted for axial movement within said cylinder, said cylinder having a flow restriction formed therein, said flow restriction comprising a localized reduced diameter of said cylinder, said reduced diameter being sized such that a flow restricting annulus is formed on passage of said piston therethrough, said flow restricting annulus allowing hydraulic fluid which is trapped within said elongated channel within said drive mechanism during withdrawal of said control rod to be released therefrom at a preselected rate. 11. The decelerating means of claim 10 including a vent comprising a hole in the wall of said cylinder, said hole flow connecting said cylinder to a pressure source having a pressure lower than the pressure being used to hydraulically withdraw said control rod from said core, said hole being axially positioned within said cylinder such that increased movement of said piston therepast, progressively reduces the hydraulic driving force associated with said drive mechanism, thereby progressively reducing the withdrawal velocity of said control rod.
042386438
abstract
A steam propulsion system for planes or vehicles, which utilizes a directional thrust, generated by nuclear heated water and air.
description
This application is a divisional of U.S. patent application Ser. No. 13/864,377, filed Apr. 17, 2013, now U.S. Pat. No. 9,721,685, which claims the benefit of U.S. Provisional Application No. 61/625,326 filed Apr. 17, 2012, the entire disclosures of which are incorporated by reference herein. The following relates to the nuclear power reactor arts, nuclear reaction coolant system arts, nuclear power safety arts, and related arts. Light water nuclear reactors are known for maritime and land based power generation applications and for other applications. In such reactors, a nuclear reactor core comprising a fissile material (for example, 235U) is disposed in a pressure vessel and immersed in primary coolant water. The radioactive core heats the primary coolant in the pressure vessel, and the pressure vessel (or an external pressurizer connected with the pressure vessel by piping) includes suitable devices, such as heaters and spargers, for maintaining the primary coolant at a designed pressure and temperature, e.g. in a subcooled state in typical pressurized water reactor (PWR) designs, or in a pressurized boiling water state in boiling water reactor (BWR) designs. Various vessel penetrations take primary coolant into and out of the pressure vessel. For example, in some PWR designs primary coolant is passed through large-diameter penetrations to and from an external steam generator to generate steam for driving a turbine to generate electrical power. Alternatively, an integral steam generator is located inside the reactor pressure vessel, which has advantages such as compactness, reduced likelihood of a severe loss of coolant accident (LOCA) event due to the reduced number and/or size of pressure vessel penetrations, retention of the radioactive primary coolant entirely within the reactor pressure vessel, and so forth. Additional smaller diameter vessel penetrations are provided to add primary coolant (i.e., a makeup line) or remove primary coolant (i.e., a letdown line). These lines are typically connected with an external reactor coolant inventory and purification system (RCIPS) that maintains a reservoir of purified primary coolant. Further vessel penetrations may be provided to connect with an external steam generator, an emergency condenser, or for other purposes. Light water reactors are evaluated to determine their response in the event that a pipe outside of the reactor vessel breaks and a loss of coolant accident (LOCA) occurs. The compact integral reactor design was developed, in part, to minimize the consequence of an external pipe break by eliminating large-diameter piping leading to and from external steam generators. However, integral reactors still utilize small bore connecting piping that transports reactor coolant to and from the reactor vessel. For example, in reactors with an integral pressurizer the reactor vessel has penetrations at the top for pressurizer spray and venting. Some emergency core cooling system (ECCS) designs include piping connecting with an emergency condenser. The vessel also has makeup and letdown penetrations for coolant makeup, letdown, and decay heat removal. These lines run from the vessel to one of two valve rooms where isolation valves act to limit loss of water for breaks down stream of the valve rooms. This arrangement results in three categories of LOCAs. Type 1 LOCAs result from a leak between the vessel and the valve room. Type 2 LOCAs result from a least at penetrations in the upper vessel. Type 3 LOCAs result from leaks that occur in the valve rooms. Type 2 and Type 3 LOCAs do not drain the reactor water storage tanks RWSTs at the end of the LOCA and result in long term cooling using the water left in the RWSTs. Type 1 LOCAs drain coolant into the refueling cavity, draining the RWSTs. The present disclosure sets forth apparatuses for reducing or eliminating Type 1 LOCAs. In accordance with one aspect, a nuclear reactor comprises a nuclear reactor core comprising a fissile material, a pressure vessel containing the nuclear reactor core immersed in primary coolant disposed in the pressure vessel, and an isolation valve assembly including, an isolation valve vessel having a single open end with a flange, a spool piece having a first flange secured to a wall of the pressure vessel and a second flange secured to the flange of the isolation valve vessel, a fluid flow line passing through the spool piece to conduct fluid flow into or out of the first flange wherein a portion of the fluid flow line is disposed in the isolation valve vessel, and at least one valve disposed in the isolation valve vessel and operatively connected with the fluid flow line. The spool piece and the isolation valve vessel can cooperatively define a sealed volume capable of withstanding an operating pressure of the pressure vessel of the nuclear reactor. The at least one valve can be a check valve preventing fluid flow out of the pressure vessel. The fluid flow line can be a makeup line for supplying reactor coolant to the pressure vessel and the at least one valve is a check valve preventing primary coolant from flowing out of the pressure vessel through the fluid flow line. The at least one valve can comprise at least two valves arranged in series on the fluid flow line. At least one valve can include an actuator for moving the valve between open and closed positions. The actuator can be an electric, hydraulic, pneumatic or manual actuator. The fluid flow line can be a letdown line that removes reactor coolant from the pressure vessel responsive to the actuator opening the at least one valve. An end of the fluid flow line can be disposed coaxially inside the spool piece. A redundant valve can be disposed outside of the isolation valve vessel and operatively connected with the fluid flow line. In accordance with another aspect, an apparatus comprises an isolation valve assembly including an isolation valve vessel, a mounting flange sealing with the isolation valve vessel to define a sealed volume, a fluid flow line in fluid communication with the mounting flange to flow fluid through the mounting flange, and a valve disposed in the isolation valve vessel inside the sealed volume and operatively connected with the fluid flow line. The isolation valve assembly can further include a forging including the mounting flange and a second flange to which the isolation valve vessel is secured, the forging having a passageway extending between the mounting flange and the second flange through which the fluid flow line passes. The valve can be a check valve allowing flow out of the mounting flange and blocking flow into the mounting flange. The valve can include first and second valves disposed in the isolation valve vessel inside the sealed volume and arranged in series along the fluid flow line. The isolation valve assembly can further include an external isolation valve disposed outside the isolation valve vessel and outside the sealed volume and operatively connected with the fluid flow line. The valve can include an actuator for moving the valve between open and closed positions. The actuator can be an electric, hydraulic, pneumatic or manual actuator. The apparatus can further comprise a nuclear reactor comprising (i) a pressure vessel including a mating flange and (ii) a nuclear reactor core comprising fissile material disposed in the pressure vessel, wherein the mounting flange of the isolation valve is connected with the mating flange of the pressure vessel of the nuclear reactor. The fluid flow line can be a makeup line of a reactor coolant inventory and purification system (RCIPS) and the valve can be a check valve preventing backflow of reactor coolant from the pressure vessel into the makeup line. The fluid flow line can be a coolant letdown line of a reactor coolant inventory and purification system (RCIPS) and the valve can be an actuated valve. In accordance with still another aspect, a nuclear reactor comprises a nuclear reactor core comprising a fissile material, a pressure vessel containing the nuclear reactor core immersed in primary coolant disposed in the pressure vessel, and an isolation valve assembly including a valve cover having a single open end with a flange, a spool piece including a first flange and a second flange secured with the flange of the valve cover to define a sealed volume enclosed by the valve cover, a fluid flow line passing through the spool piece and flowing fluid into or out of the first flange, and a valve supported in the sealed volume and operatively connected with the fluid flow line. The reactor can further comprise a reactor coolant inventory and purification system (RCIPS), wherein the fluid flow line is a makeup line supplying makeup coolant water from the RCIPS to the pressure vessel and the valve is a check valve preventing backflow of coolant water from the pressure vessel to the RCIPS. In another embodiment, the reactor can further comprise a reactor coolant inventory and purification system (RCIPS), wherein the fluid flow line is a letdown line and the valve is an actuated valve that is opened by an actuation signal to initiate flow of coolant water through the letdown line from the pressure vessel to the RCIPS. FIG. 1 is a schematic illustration of a nuclear reactor including a pressure vessel 10. The pressure vessel 10 contains a nuclear reactor core 11 (shown in phantom) disposed at or near the bottom of the pressure vessel 10 and immersed in primary coolant water also disposed in the pressure vessel 10. The pressure vessel 10 further contains numerous internal components that are not shown in FIG. 1 but which are known in the art, such as structures defining a primary coolant flow circuit, e.g. a hollow cylindrical central riser defining a hot leg inside the riser and a cold leg in a downcomer annulus (e.g., flow region) defined between the central riser and the pressure vessel 10, and neutron-absorbing control rods and associated drive mechanisms for controlling reactivity of the nuclear reactor core. Some embodiments, e.g. integral pressurized water reactor (PWR) designs, also include one or more steam generators disposed inside the pressure vessel, typically in the downcomer annulus. A reactor coolant inventory and purification system (RCIPS) 12 is provided to maintain the quantity and purity of primary coolant inside the pressure vessel. A letdown line 14 removes primary coolant water from the pressure vessel 10 into the RCIPS 12, and a makeup line 16 delivers makeup primary coolant water from the RCIPS 12 to the pressure vessel 10. The RCIPS 12 includes a pump 17 and other water processing components (not shown) for purifying and storing reserve primary coolant, injecting optional additives such as a soluble boron compound (a type of neutron poison optionally used to trim the reactivity), or so forth. Isolation valves 20, 21 are provided at respective vessel penetration locations where the letdown line 14 and makeup line 16, respectively, pass through an outer wall 18 of the pressure vessel 10. During ordinary operation, makeup water flows into, and/or letdown water flows out of, the pressure vessel 10 through the letdown line 14 and makeup line 16 to maintain desired operating volume and composition (e.g, purity) of the primary coolant water in the pressure vessel 10. However, if a break occurs in one of the fluid flow lines 14, 16, or elsewhere, such that a LOCA is initiated and uncontrolled primary coolant water discharge might occur, then flow of coolant out of the pressure vessel 10 is automatically blocked by the affected valve 20, 21. With reference to FIG. 2, an exemplary letdown isolation valve assembly 20 includes an isolation valve vessel (IVV) with a small pressure boundary containing redundant isolation valves. The pressure boundary is designed to withstand operating pressure and temperature conditions of primary coolant inside the pressure vessel 10. The isolation valve vessel is mounted to the side of the lower vessel with a flanged arrangement 32, which in the illustrative example is a spool piece 32. As used herein, a spool piece includes two flanges connected by piping or another passageway. The spool piece is rated to withstand the operating pressure of the pressure vessel 10, and in some embodiments the spool piece 32 is a forging. One flange of the spool piece 32 is connected with a mating flange of the pressure vessel 10 to connect the isolation valve assembly 20 directly to the wall 18 of the pressure vessel 10. The other flange of the spool piece 32 is connected with a flanged open end of the isolation valve vessel to define a sealed volume. Any leakage at the valves is contained within this sealed volume. With additional reference to FIG. 3, the details of the exemplary isolation valve assembly 20 in accordance with the disclosure will be described. The illustrated valve assembly 20 is a letdown isolation valve that can be used to control the flow of fluid out of the reactor core. However, it will be appreciated that the valve 20 could also be installed on a makeup line for adding fluid to the reactor core, or in another fluid line feeding into and/or out of the pressure vessel 10. The valve 20 includes the spool piece 32 and an isolation valve vessel 34 secured together via a mating flange 36 at a (single) open end of the isolation valve vessel 34 and a flange 38 of the spool piece 32. The spool piece 32 also includes a mounting flange 42 having a centrally located inlet/outlet 44 and a plurality of bolt holes surrounding the inlet/outlet 44 for securing the valve assembly 20 to a mating flange 48 of a pressure vessel, such as pressure vessel 10. Thus, the spool piece 32 includes a first flange (namely the mounting flange 42) and a second flange (namely the flange 38 that connects with the isolation valve vessel 34). The spool piece 32 further includes a passageway 46 connecting the first and second flanges 42, 38. In the illustrative example, the mounting flange 42 is spaced apart from the flange 38 and connected by the passageway 46 which is a reduced diameter section. The isolation valve vessel 34 includes a hemispherical or elliptical head 52 (e.g., a valve cover) having flange 36 which connects with the flange 38 of the spool piece 32. The connection of the isolation valve vessel 32 and the flange 36 defines a sealed volume contained by the isolation valve vessel 32. A fluid flow line 54 includes a “U”-shaped portion disposed inside the isolation valve vessel 32 and then continues on coaxially inside the spool piece 32 to flow fluid into or out of the flange 42. In the illustrative example of letdown valve assembly 20, fluid flows from the pressure vessel 10 through the fluid flow line 54 and into the letdown line 14 (see FIG. 1) to reduce the quantity of primary coolant in the pressure vessel 10. When the letdown valve assembly 20 is mounted to pressure vessel 10, the inlet/outlet 44 serves as an inlet that is in fluid communication with the interior of the pressure vessel 10 such that primary coolant can flow from the pressure vessel 10 through the letdown valve assembly 20 via valve fluid line 54 to an inlet/outlet 56 of the valve assembly 20. In the illustrative case of letdown valve assembly 20, the inlet/outlet 56 serves as an outlet that is connected to the letdown line 14 of the RCIPS 12. The illustrated “U”-shaped portion of the fluid flow line 54 inside the isolation valve vessel 34 advantagely accommodates thermal expansion. Isolation valve vessel 34 together with the flange 38 define a sealed interior volume or chamber C in which a pair of valves 60 and 62 are supported. (In view of this, the hemispherical or elliptical head 52 is alternatively referred to herein as valve cover 52). In the illustrative example of letdown valve assembly 20 which is configured for a letdown application, the valves 60 and 62 are suitably actuated valves which are opened (or closed) by an actuation signal. Typically, it is preferable to have the valves 60, 62 be “normally closed” valves such that the actuation signal causes the valves to open so that the valves are closed in the passive state, although a “normally open” configuration is also contemplated. In some embodiments the valves 60, 62 are pneumatically actuated ball valves, although valves employing electrical, hydraulic, or manual actuation are also contemplated, as are valves other than ball valves. In the makeup valve configuration (e.g., the makeup valve assembly 21 of FIG. 1), the valves 60 and 62 can be swing check valves or another type of check valve, which is configured to prevent fluid flow into the flange 42 (i.e., configured to prevent flow of primary coolant out of the pressure vessel 10). The valves 60 and 62 are arranged in series for redundancy, and it will be appreciated that additional valves, or a single valve, could be provided in the chamber C as desired. The isolation valve vessel 32 optionally includes various penetrations for the plant instrument air system to pressurize the chamber C for vessel leak testing, and for air lines 64 for piloting/actuating the pneumatic actuators in case of pneumatically actuated valves. An optional internal support structure 68 is secured to flange 38 to support the actuated valves 60 and 62 (or to support the check valves in the case of makeup isolation valve assembly 21). The support structure 68 optionally also serves as a mechanical guide for installing the valve cover 52 so that it does not impact any internal components (e.g., valves and/or actuators, etc.) when it is removed and/or installed to allow maintenance access. Thermal insulation, although not illustrated, can be provided and its location will depend on the design of the actuator and/or position indicators. If high temperature actuators are utilized, the insulation can be placed on the outside of the support structure 68 and cover 52. If actuator temperature limitations prevent such positioning of the insulation, multi-layer metal insulation can be provided on the piping and a component cooling water line can be added to actively cool the valve 20 to assure acceptable temperatures. The support structure 68 is optional—in some embodiments the “U” shaped portion of the fluid flow line 54 has sufficient rigidity to support the valves 60, 62. In the illustrated embodiment, an optional third isolation valve 70 disposed outside of the chamber C is provided to isolate the valve fluid line 54 in the event of a pipe break inside of the isolation valve vessel 34. The external valve 70 can be pneumatically operated, for example, and configured to close the valve fluid line 54 in the event of a leak within the valve 20. The third isolation valve 70 can be used, for example, to block flow through the valve fluid line in the event the other valves are disabled due to flooding of the chamber C during an internal pipe break and/or leakage event. Third isolation valve 70 provides a level of redundancy. Turning to FIGS. 4 and 5, another exemplary isolation valve assembly 100 in accordance with the disclosure is illustrated. In this embodiment, the valve assembly 100 is similar to the valve assembly 20 of FIGS. 2 and 3. However, the valve assembly 100 has valves supported by the “U”-shaped portion of the fluid flow line (i.e., the support structure 68 is omitted), and valve actuators are mounted external to the pressure vessel. To this end, the valve 100 generally includes a spool piece 104 and an isolation valve vessel 108 comprising a valve cover 112 including a flange 116 that is removably secured to a mating flange 120 of the spool piece 104 with bolts or other fasteners (not shown). The valve assembly 100 is mountable to a pressure vessel of a nuclear reactor or other component via a mounting flange 124 of the spool piece 104 that is axially spaced from flange 120 of the spool piece 104 by a passageway 122. A fluid flow line 128 fluidly connects an inlet/outlet (not shown) of the mounting flange 124 with an inlet/outlet 132. As with valve assembly 20, the valve assembly 100 includes an interior chamber C formed by the valve cover 112 and the flange 120 secured to the flange 120 of the spool assembly 104, and a pair of valves 140 and 142 are supported inside the chamber C. Valves 140 and 142 are supported by valve fluid line 128 and are arranged in series for redundantly blocking flow through the valve fluid line 128. In embodiment of FIGS. 4 and 5, externally mounted valve actuators 146 and 148 are provided for actuating valves 140 and 142. To this end, the actuators 146 and 148 are mounted to respective actuator flanges 152 and 154 on the valve cover 112 with bolts or other suitable fasteners (not shown). A connecting shaft 156 (see FIG. 5) extends from the valves 140 through the valve cover 112 for coupling with the actuator 146. In one embodiment having ball valves, rotation of the connecting shaft 156 by the actuator 146 moves a ball of the valve 140 between respective open and closed positions. Valves 142 includes a similar configuration, although its connecting shaft is not visible in the drawings. This configuration places the actuators 146, 148 outside of the relatively harsh environment of the chamber C, and therefore can increase component longevity and/or allow the use of conventional actuators. This generally simplifies the design and potentially eliminates the need for thermal insulation inside the pressure vessel. The connecting shafts for connecting the actuators to the valve member introduce the potential for some leakage around the connecting shafts, but leakage up to several gallons per minute or more can be accommodated while still achieving acceptable performance. As an alternative approach, a wireless actuation signal is also contemplated, which would eliminate the penetrations through the valve cover 112. The isolation valve vessel of the present disclosure provides isolation for any pipe break of the makeup or letdown lines, assuming any active component failure. The makeup lines with check valves will automatically close if flow reverses, isolating the LOCA. The letdown lines require closure of the ball valves which is effected via the pneumatic actuators and occurs on a low RCS pressure signal. Elimination of the low break LOCA simplifies design basis accident analysis and eliminates sump recirculation after a LOCA. The valves in the vessel would isolate the broken line and long term makeup and letdown would continue using the non-effected lines. Because of the limited volume of the vessel, the amount of debris that can flow into the RCS is significantly limited, reducing concerns of debris plugging of flow passages in the fuel assemblies. It will now be appreciated that the present disclosure provides at least one or more of the following advantages: 1. Eliminates the two separate valve rooms used in conventional reactors. 2. Eliminates the Type 1 LOCA described above. Type 1 LOCA is generally considered the most difficult type of failure in which to provide long term cooling because most of the water spills on the refueling cavity floor. The RWST level drops to approximately 8 ft above the lower vessel penetrations minimizing the driving head to inject water. 3. The higher driving head allows greater flexibility in automatic depressurization valve sizing because very low differential pressures (e.g., less than 5 psi) are not required for long term injection. 4. During long-term cooling, there is a potential for water to flow through the break back into the reactor vessel. The invention limits the water that can flow back into the vessel and, because it is a closed structure, limits the amount of fibrous debris that can be mixed with the water. 5. By eliminating the Type 1 LOCA and its low passive injection pressure, the ADV and upper vessel penetration sizes may be reduced, making any upper breaks more benign. 6. The vessel reduces the length of ASME Class I piping. The exemplary embodiment has been described with reference to the preferred embodiments. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the exemplary embodiment be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
048266469
abstract
An apparatus and method for controlling charged particles. The charged particles comprise electrons and positive ions. A magnetic field having only point cusps is used to confine injected electrons and so to generate a negative potential well. Positive ions injected into the negative potential well are trapped therein. The preferred means for generating the magnetic field is current-carrying elements arranged at positions corresponding to the edges of any of several truncated regular polyhedrons.
claims
1. A reactor operable to produce an isotope, the reactor comprising: an activation cell for containing controlled nuclear fission reactions, the activation cell segmented into n independent compartments, wherein n is an integer greater than or equal to 2, wherein the n compartments are radially disposed about a central axis of the activation cell, wherein the n compartments collectively comprise the annular activation cell that is concentrically disposed about a target chamber, wherein each of the compartments is configured for containing a parent material in an aqueous solution, wherein the parent material interacts with neutrons to produce the isotope via fission reactions in each compartment, and wherein the compartments are configured proximal to each other to facilitate neutron multiplication. 2. The reactor of claim 1, wherein the fission reactions are maintained at a subcritical level, and driven by a neutron source. 3. The reactor of claim 2, wherein the neutron source comprises the target chamber and an ion source, the ion source operable to produce an ion beam from a gas, wherein the target chamber contains a target, the target interacting with the ion beam to produce neutrons. 4. The reactor of claim 2, wherein the ion source and the target chamber together at least partially define a fusion reactor. 5. The reactor of claim 1, wherein the parent material comprises uranium. 6. The reactor of claim 5, wherein the parent material comprises low enriched U-235. 7. The reactor of claim 1, wherein the isotope comprises Mo-99. 8. The reactor of claim 1, wherein the isotope comprises Mo-99, I-131, I-125, Xe-133, Cs-137, Co-60, or Sr-89. 9. The reactor of claim 1, wherein at least two adjacent compartments share a divider. 10. The reactor of claim 9, comprising a plurality of dividers, each divider dividing at least two adjacent compartments. 11. The reactor of claim 9, wherein the divider physically separates the adjacent compartments. 12. The reactor of claim 1, wherein the plurality of compartments is configured for interaction of the parent material with neutrons simultaneously in each compartment. 13. The reactor of claim 1, wherein the compartments are circumferentially arranged in series. 14. The reactor of claim 1, wherein the target chamber is in a concentric relationship with at least one pair of diametrically opposed compartments. 15. The reactor of claim 3, wherein the target interacts with the ion beam to produce neutrons via a fusion reaction. 16. A reactor operable to produce an isotope, the reactor comprising:a target chamber; andan annular activation cell, wherein the annular activation cell is segmented into a plurality of independent compartments, each of the compartments configured for containing a parent material in an aqueous solution,wherein the plurality of compartments collectively comprises the annular activation cell that is concentrically disposed about the target chamber,wherein the compartments are radially disposed about a central axis of the annular activation cell and the compartments are circumferentially arranged in series,wherein the parent material interacts with neutrons to produce the isotope via fission reactions in each compartment, andwherein the compartments are configured proximal to each other to facilitate neutron multiplication. 17. The reactor of claim 16, wherein the target chamber is concentric to the annular activation cell. 18. The reactor of claim 16, wherein the fission reactions are maintained at a subcritical level and driven by a neutron source, the neutron source comprising the target chamber and an ion source, the ion source operable to produce an ion beam from a gas, and wherein the target chamber contains a target, the target interacting with the ion beam to produce neutrons via fusion reactions.
055090419
summary
BACKGROUND OF THE INVENTION The present invention relates, in general, to a lithography method and, more particularly, to an x-ray lithography method that reduces stress-induced distortion in an x-ray lithography mask. An x-ray lithography mask is typically used to form a pattern in a radiation-sensitive resist layer on a semiconductor wafer surface. The mask has a membrane on which a lithography mask pattern is disposed. X-ray radiation is passed through the membrane, and more particularly the mask pattern thereon, to impinge on the resist layer so that a copy of the mask pattern is formed in the resist layer. The conventional types of membrane materials used in an x-ray mask are typically sensitive to x-ray radiation and exhibit a change in stress with cumulative x-ray exposure. This change in stress adversely distorts the lithography pattern on the mask and leads to distortion of the pattern to be formed in the resist layer. Accordingly, it is desirable to have a method that reduces stress changes in the membrane material during x-ray lithography.
summary
summary
058964320
abstract
An electrochemical corrosion potential sensor is fabricated by initially joining an electrical conductor to a sensor tip. An electrical cable is joined to the tip conductor. Ceramic powder is fused under heat around the tip conductor to form an integral annular electrically insulating band therearound to insulate the tip from the cable. The band may be formed by plasma spraying, or it may be molded and sintered to seal it to the tip and conductor without brazing. In a preferred embodiment, the band is formed of yttria-stabilized-zirconia or magnesia-stabilized-zirconia.
summary
summary
043222684
description
Referring now to the drawings, and in particular to FIGS. 1-3, a gas-cooled, high temperature nuclear reactor embodying a cooling system in accordance with the present invention is indicated generally at 10. The nuclear reactor 10 is illustrated schematically as including a prestressed concrete reactor vessel 12 having an internal reactor core chamber or cavity 14 in which is mounted a reactor core 16 of known design suitable for use in a relatively high temperature gas-cooled nuclear reactor. A plug 18 is releasably mounted within the upper end of the chamber 14 to provide access to the reactor core 16 for servicing and replacement of fuel rods or other components within the reactor core. As best seen in FIG. 1, the illustrated high temperature gas-cooled nuclear reactor 10 has three steam generator chambers or penetrations 22a, b and c formed therein equidistantly circumferentially spaced about the center axis of the reactor core chamber 14. Each steam generator chamber or penetration houses a conventional steam generator 24 (FIG. 2) and has its upper end closed by a suitable closure 26, the lower end of each penetration 22a-c being provided with secondary penetrations 28a and 28b (FIG. 3) to receive flow conduits (not shown) which carry water to and superheated steam from each steam generator, as is known. Each chamber 22a, b and c communicates with the reactor core chamber 14 through a corresponding hot gas exhaust duct 30a, b and c, and also communicates with an associated vertical cylindrical chamber or cavity 34a, b and c, respectively, through a corresponding flow duct 36a, b and c which intersects its associated steam generator chamber adjacent the lower end thereof, as best seen in FIG. 2. Each of the vertical cavities 34a-c serves as a common vertical cavity for primary and emergency auxiliary cooling associated with each steam generator and the reactor core 16 in the nuclear reactor 10. To this end, each cavity 34a, b and c communicates with the reactor core chamber 14 through a coolant flow return duct 38a, b and c, respectively, which intersects its associated cavity 34a, b or c generally adjacent its upper end, as best seen in FIGS. 2 and 3. The hot gas exhaust ducts 30a-c and associated steam generator chambers 22a-c, flow ducts 36a-c, vertical cavities 34a-c and coolant return ducts 38a-c cooperate to define primary or "main" cooling loops having communication with the reactor core chamber 14. The primary cooling loops facilitate circulation of a suitable gaseous coolant, such as helium, from the reactor core chamber 14 to the respective steam generators 24 where the heated coolant gas heats water within the steam generators after which the reduced temperature coolant is returned to the reactor core chamber through the cavities 34a-c and return ducts 38a-c. To effect circulation of gaseous coolant through the primary cooling loops, a helium circulator 40 of known design is mounted within a penetration 42 formed at the lower end of each of the respective vertical cavities 34a-c. Each circulator 40 is mounted so that gaseous helium coolant from the associated steam generator chambers 22a-c enters the plenum above the bellmouth 46 of each circulator and is caused to flow upwardly through the associated vertical cavities 34a-c and into the reactor core chamber 14 through the return ducts 38a-c. In accordance with an important feature of the present invention, an emergency auxiliary cooling loop is operatively associated with each of the primary cooling loops so as to utilize the common vertical cavities 34a-c and the common coolant return ducts 38a-c. As will be described more fully hereinafter, circulators for the emergency auxiliary cooling loops are mounted at the upper ends of the common vertical cavities 34a-c, thereby eliminating the need for separate cavities or penetrations within the reactor housing 12 to house the circulators for the emergency auxiliary cooling loops. The auxiliary or emergency cooling loops include ducts 50a-c which are formed in the reactor housing 12 so as to extend generally vertically downwardly from the top of the reactor housing, and have communication with the reactor core chamber 14 through lower curved end portions 50'a-c, as best seen in FIG. 2. Considering the auxiliary cooling duct 50a as being representative of the auxiliary coolant ducts 50a-c, the upper end of the cooling duct 50a is enlarged at 52a and has a suitable conventional heat exchanger 54 mounted therein to effect auxiliary cooling of the gaseous coolant when received from the reactor core chamber 14. The upper end of each auxiliary cooling duct 50a-c is closed by a suitable closure 56. Each auxiliary cooling duct 50a-c is connected through an associated horizontal cross-over duct 58a-c, respectively, to a corresponding vertical cavity 34a-c common with the primary cooling loops. In this manner, the cross-over ducts 58a-c have communication with the common coolant flow return ducts 38a-c to complete the emergency auxiliary cooling loops back to the reactor core chamber 14. An auxiliary circulator 60 is mounted within an enlarged upper end 62a-c, respectively, of each of the common vertical cavities 34a-c in substantially axial alignment with the lower primary circulators 40. The auxiliary circulators 60, which may be identical to the primary circulators 40, are adapted to effect selective flow of gaseous coolant through the emergency auxiliary cooling loops when energized, as will become more apparent hereinbelow. The upper ends 62a-c of the cavities 34a-c are closed by suitable steel closures 64a-c. By mounting the primary and auxiliary circulators 40 and 60, respectively, at the lower and upper ends of the common vertical cavities 34a-c, separate cavities for the auxiliary circulators are eliminated with resulting substantial cost savings in construction of the gas-cooled nuclear reactor 10. To facilitate selective operation of the emergency auxiliary cooling loops, such as in a situation where a problem may exist within one or more of the steam generators 22 requiring shutdown of its corresponding primary cooling loops, a flow diverter valve, indicated generally at 70, is located within each junction defined at the intersection of each flow return duct 38a, b and c with its corresponding common vertical cavity 34a-c. With particular reference to FIGS. 4-7, taken in conjunction with FIGS. 2 and 3, each flow diverter valve 70 includes a valve housing 72 connected through an annular flange 72a to the upper end of a tubular liner 74 formed within the prestressed concrete reactor vessel 12 and defining the associated common vertical cavity 34a-c. The annular flange 72a defines an inlet opening into the valve housing 72 from the associated primary cooling loop. The diverter valve housing 72 has an upwardly facing annular flange 72b similar to the flange 72a and on which is mounted the lower end of a bellmouth 76 forming a part of the associated auxiliary circulator 60. Each bellmouth 76 defines an internal plenum which receives gaseous helium coolant from the associated cross-over duct 58a-c during operation of the auxiliary cooling loops. Each diverter valve housing 72 also has an outlet opening defined by an annular wall 78 adapted for connection to a tubular flow duct 80 disposed within the concrete reactor vessel 12 to establish the corresponding coolant flow return duct 38a. A diverter valve plate 82 having generally the shape of a curved duct having an inner diameter equal to the inner diameter of duct 38a is supported within each valve housing 72 for rotation about an axis coincident with the axis of the associated flow return duct 38a. Each diverter plate 82 is movable between a first mode or position, as represented in solid lines in FIG. 4, operative to isolate the corresponding auxiliary cooling loop while facilitating flow of gaseous coolant through the associated primary cooling loop, and a second mode or position disposed approximately 180.degree. about the axis of the corresponding duct 38a, as shown in phantom in FIG. 4, wherein the diverter plate isolates the primary cooling loop and facilitates flow of gaseous coolant through the emergency auxiliary cooling loop. To this end, the diverter valve plate 82 has an arcuate outer surface 84 which has sliding engagement with a suitably configured annular surface 86 formed in the diverter valve housing 72. The surfaces 84 and 86 comprise portions of a spherical surface. Preferably, an annular bearing 88 is mounted within mutually cooperating annular grooves in the sliding surfaces 84 and 86 to facilitate rotation of the valve plate 82. To effect selective rotation of the diverter valve plates 82 between their first and second operating positions, a control shaft 90 is secured to each valve plate at its axis of rotation and is journaled within the associated housing 72 so that rotation of the control shaft rotates the associated valve plate about the axis of its corresponding return duct 38a. Each diverter valve housing 72 is mounted within an outer casing or housing 94 which also houses control means, indicated generally at 96, for controlling rotation of the associated control shaft 90 and valve plate 82. In the illustrated embodiment, each control means 96 includes a linear actuator in the form of a hydraulic or pneumatic cylinder or ram 98 having an extendible piston rod 100 pivotally connected to a gear segment actuator 102 rotatably mounted on the housing 94 through a stub shaft 104. The actuator 102 has peripheral teeth 102a adapted for engagement with complementary teeth 106a on a spur gear segment 106 mounted on the associated control shaft 90. In this manner, selective actuation of the linear actuators 98 is operative to control rotation of the associated diverter valve plates 82 between their operating positions to control flow of gaseous coolant through either the primary or auxiliary cooling loops. The hydraulic or pneumatic lines (not shown) for each operating ram 98 may be passed through suitable sealed bores in the corresponding closures 64a-c for connection to suitable sources of fluid pressure and control valve mechanisms (not shown). In the operation of the gas-cooled nuclear reactor 10, gaseous coolant, such as helium, is normally passed at a high temperature from the reactor core chamber 14 to the steam generator chambers 22 where heat exchange is effected from the gaseous coolant to the water within the steam generator, and from which the gaseous coolant is returned to the reactor core through the primary cooling loops. In this mode of operation, the diverter valve plates 82 are disposed in positions as shown in solid lines in FIG. 4 isolating the auxiliary or emergency cooling loops so as to prevent coolant flow therethrough. When auxiliary or emergency cooling is necessary, such as in the case of a flow blockage or restriction or other heat exchange problem within one of the heat exchanger chambers 22, one or more of the diverter valve plates 82 may be readily rotated through actuation of its associated linear actuator 98 to a position isolating the corresponding primary cooling loop while facilitating flow of the gaseous coolant through the corresponding auxiliary cooling loop wherein the temperature of the coolant is reduced by the auxiliary heat exchanger 54 and caused to return to the reactor core chamber 14 by the corresponding auxiliary circulator 60. Thus, it is seen that in accordance with the present invention a cooling system for a gas cooled nuclear reactor is provided which employs both primary and auxiliary cooling loops having common cavities or penetrations within the reactor vessel to receive both primary and auxiliary coolant circulators. The primary and auxiliary cooling loops share common flow return ducts to the reactor core chamber so that a single diverter valve having association with each pair of primary and auxiliary cooling loops is operative to selectively control coolant flow through either the associated primary or emergency auxiliary cooling loop. By providing common cavities or penetrations for the primary and auxiliary circulators, and by providing a common flow return duct and a common diverter valve for each pair of primary and auxiliary cooling loops, substantial reductions in manufacturing costs may be realized without sacrificing operating efficiency. While a preferred embodiment of the present invention has been illustrated and described, it will be understood to those skilled in the art that changes and modifications may be made therein without departing from the invention in its broader aspects. Various features of the invention are defined in the following claims.
description
This application claims priority of European Application No. 18187472.8, filed Aug. 6, 2018, which is hereby incorporated by reference in its entirety. The present disclosure relates to a system for the transfer of a target material between a target irradiation station wherein the target material is irradiated by an energetic beam, such as for example a particle beam, and a collecting facility wherein the irradiated target material is collected, such as for example a hot cell in a system for the production of radionuclides. Irradiation of target materials by an energetic beam is used in many modern applications. For example, radionuclides have long been produced by cyclotron irradiation of target materials with a medium- or low-energy (5-30 MeV) beam for medical applications. Radionuclides have many important industrial and scientific uses, including tracers. By reactions with appropriate non-radioactive precursors, radiodrugs are synthesized and, when administered in the human body, permit diagnosis and therapy monitoring by Positron Emission Tomography (PET), especially in the treatment of tumors. Some radiodrugs can have therapeutic effect as well. Document EP 1 717 819 discloses a system for automatically producing radionuclides. In the system disclosed, a cylindrical target carrier, or capsule, comprising a partition wall defining two open cylindrical cavities is disclosed. One of the cylindrical cavities is used to house the target material for irradiation. In the system disclosed, the capsule is used as a shuttle between an irradiation unit where the target material carried by the capsule is irradiated, and a hot cell wherein the electrodeposition and the electrodissolution of the target material can take place thanks to an electrolytic cell. A pneumatic transfer system is arranged to transfer the capsule between the hot cell and the irradiation unit. A purifying system is also present and is used in order to purify the acid solution comprising the radionuclide obtained from the electrodissolution step. In this system, the irradiation takes place in an irradiation unit which receives a particle beam from a cyclotron. In the case that different radionuclides need to be produced or when target materials with different thicknesses are used in this system, the energy of the particle beam irradiating the target material may be varied. This can be done by using a more complex accelerator which can deliver a beam with a variable energy. When the accelerator can only deliver the particle beam at a fixed energy, the energy of the beam irradiating the target material can still be varied by using a degrader foil positioned across the beamline in the irradiating unit. By switching between different degrader foils, the energy of the beam obtained from a fixed energy cyclotron can consequently be tuned so as to irradiate the target material with the appropriate energy level. Switching between different degrader foils is however an awkward procedure which involves a shutting down the system, with obvious adverse economic implications, and accessing the target irradiation station, causing a radiation exposure of the maintenance staff. The present disclosure provides a system for automatically producing radionuclides with an increased flexibility for varying the energy of the beam irradiating the target material. The disclosure concerns a capsule for the transfer of a target material in a conveying system between a target irradiation station and a collecting station, such as a hot cell, comprising: a beamline channel extending along a beamline channel axis for the passage of an energetic beam irradiating the target material, a target holder for holding the target material or a substrate backing the target material at a glancing angle with respect to the beamline channel axis, a housing for enclosing the target holder, the housing being openable such that the target material can be inserted in or removed from the target holder when the housing is opened, at least one degrader foil positioned across the beamline channel, for degrading an energy of the energetic beam upstream of the target material, at least one target cooling inlet and at least one one target cooling outlet for passage of a cooling fluid in a cooling duct in a vicinity of the target holder such that the target material can be cooled during an irradiation, and at least one degrader foil cooling inlet and at least one degrader foil cooling outlet for the passage of a cooling gas in a vicinity of the at least one degrader foil. The disclosure also concerns a system for the irradiation of a target material in a target irradiation station and the transfer of the irradiated target material between the target irradiation station and a collecting facility, such as a hot cell, comprising: at least one capsule described above, a receiving station located in the collecting facility, the target irradiation station for receiving the energetic beam from a beamline along a beamline axis, and a conveying system comprising a transfer tube for conveying at least one capsule between the receiving station and the target irradiation station, wherein the conveying system comprises a first terminal located in the target irradiation station, the target irradiation station comprises: an irradiation unit for irradiation of the target material, a first actuator for a transfer of a capsule between the first terminal and the irradiation unit and a second actuator for locking the transferred capsule in an irradiation position wherein the beamline channel axis of the transferred capsule is aligned and connected with the beamline, a collimator for narrowing the energetic beam from the beamline, at least one target cooling inlet duct and at least one target cooling outlet duct being in fluid communication with the target cooling inlet and the target cooling outlet of the transferred capsule when the transferred capsule is locked in the irradiation position, and at least one degrader foil cooling inlet duct and at least one degrader foil cooling outlet duct being in fluid communication with the degrader foil cooling inlet and the degrader foil cooling outlet of the transferred capsule when the transferred capsule is locked in the irradiation position, and the receiving station is connected to the transfer tube as a second terminal of the conveying system, the receiving station being openable such that the at least one capsule can be extracted from the receiving station. The figures are not drawn to scale. FIGS. 1 and 2 show an example of a capsule for the transfer of a target material 2 for use in a conveying system between a target irradiation station and a collecting station, such as a hot cell. The capsule comprises: a beamline channel 4 extending along a beamline channel axis X1 for the passage of an energetic beam irradiating the target material 2, a target holder 1 for holding the target material 2 or a substrate 2a backing the target material 2 at a glancing angle with respect to the beamline channel axis X1, a housing 3 for enclosing the target holder 1, the housing 3 being openable such that the target material 2 can be inserted in or removed from the target holder 1 when the housing 3 is opened, at least one degrader foil 5a, 5b, 5c positioned across the beamline channel 4, for degrading an energy of the energetic beam upstream of the target material 2, at least one target cooling inlet 14 and at least one one target cooling outlet 15 for passage of a cooling fluid in a cooling duct 6 in a vicinity of the target holder 1 such that the target material 2 can be cooled during an irradiation, and at least one degrader foil cooling inlet 20 and at least one degrader foil cooling outlet 21 for the passage of a cooling gas in a vicinity of the at least one degrader foil 5a, 5b, 5c. The energetic beam to be received in the capsule for irradiating the target material 2 is typically a particle beam, like a proton beam, but may also be an electromagnetic radiation, like gamma rays. Such kinds of energetic beams are used in applications for the production of radionuclides by (photo)nuclear reactions. In FIGS. 1 and 2, the target material 2 is backed by a substrate 2a. Such target material 2 backed by a substrate 2a can be obtained by a chemical process wherein the target material 2 is electrodeposited on the substrate 2a. In another embodiment the target material can be melted or pressed into an appropriate cavity in the substrate. Alternatively, when it is not backed by a substrate, the target material 2 can be directly held by the target holder 1. Typical examples of common targets are enriched or natural nickel electrodeposited on silver or gold or gold plated copper substrates, enriched or natural thallium on copper substrate, enriched or natural zinc on copper or gold plated copper substrate, alloys of enriched or natural gallium and nickel on copper or gold plated copper, enriched or natural antimony on copper or gold plated copper substrate, enriched or natural tellurium oxide melted into a cavity in platinum or iridium substrate, enriched or natural strontium oxide pressed into a cavity in platinum or iridium substrate, natural yttrium foil fixed by a fixing ring into a cavity in platinum or iridium substrate, sheets or foils of metals without substrate, etc. The target holder 1 is configured to receive the target material 2 and to stabilize it at a glancing angle with respect to the beamline channel axis X1. The glancing angle is in a range between 10° and 90° wherein a glancing angle of 90° corresponds to a target material 2 perpendicular to the beamline axis X1. A glancing angle lower than 90° increases the effective thickness of the target material exposed to the irradiation, which ultimately allows increasing the yield of the radionuclides production while keeping constant the actual thickness of the target material. A glancing angle lower than 90° also increases the effective surface area of the target exposed to the beam reducing the average beam current density and thereby increasing the beam current acceptance of the target and consequently the yield. In FIGS. 1 and 2, the capsule has a tubular lateral wall defined by a geometry of revolution around the beamline channel axis X1 and is closed by a front end 12 and a back end 13. The housing 3 is a sheath enclosing the different components of the capsule. The housing 3 has a protective function for the target material 2 and can be made up of any suitable material, e.g. aluminium or aluminium alloys, titanium or titanium alloys, niobium or niobium alloys, etc. The housing 3 is openable such that the target material 2 can be inserted or removed from the target holder 1 by a human or robotic operator, typically in a shielded nuclear radiation containment chamber (the so-called “hot cell”). In this regard, the housing 3 may comprise a main body 31 and a closing lid 7. The closing lid 7 may be coaxially fastenable to the main body 31 with respect to the beamline axis X1 so as to form the back end 13 of the capsule. The target holder 1 may be rigidly coupled to the closing lid 7 so that when the closing lid 7 is fastened to the main body 31, the target holder 1 is inserted into the main body 1 at the glancing angle. Alternatively, when the housing does not comprise a main body 31 and a closing lid 7, the housing 3 may comprise a slide system or door such that the housing is openable and the target material 2 may be accessed. The at least one degrader foil 5a, 5b, 5c positioned across the beamline channel 4 of the capsule allows degrading the energy of the energetic beam received in the capsule such that the energy level may be reached when the beam hits the target material 2. When the beam delivered to the capsule has a fixed energy, the energy of the beam downstream of the beam generator may be tuned. The number, thickness, and material of the degrader foils that are included in the capsule depend on the beam energy level delivered by the beam generator and on the required beam energy level to be delivered on the target material 2. In FIGS. 1 and 2, the capsule comprises three degrader foils 5a, 5b, 5c. In other embodiments, the capsule may comprise only one or two degrader foils, or alternatively more than three degrader foils. In the embodiment of FIGS. 1 and 2, the degrader foils are made of aluminium and have a width of 0.25 mm. Any material of any width with a suitable energy degradation power may however be used. The presence of degrader foils in the capsule according to the disclosure allows for the reduction of the ionising radiation dose received by the operators during the maintenance of the target station. The energy degrader foils are activated during the operation of the target station, hence they are the strongest source of ionizing radiation induced in the target station other than the target and the substrate. Since the energy degrader foils are part of the capsule, they may be removed from the target station together with the irradiated target after every irradiation. Hence, the only activated parts remaining in the vicinity of the target station are the collimators and beam stops along the beamline. The degrader foils 5a, 5b, 5c may be removably mounted on the capsule so as to be replaceable. This allows the degrader foils 5a, 5b, 5c to be replaced, for example, after a predetermined number of irradiations, or alternatively when a new target material 2 requiring a different energy degradation power is irradiated. The degrader foils 5a, 5b, 5c may also be mounted on a support 3a being detachable from the rest of the housing 3. In such configuration, the degrader foils 5a, 5b, 5c may be changed by removing the support 3a and by mounting a new support 3a on the capsule. The at least one cooling inlet 14 and at least one target cooling outlet 15 for the passage of a cooling fluid in a cooling duct 6 in the vicinity of the target holder 1 may be located in the back end 13 of the capsule. In FIGS. 1 and 2, the target cooling inlet 14 is a circular inlet aligned with the beamline channel axis X1, while the target cooling outlet 15 is an annular outlet located around the beamline channel axis X1. The cooling duct 6 is a passage in the capsule connecting the target cooling inlet 14 to the target cooling outlet 15. The function of the cooling duct 6 is to evacuate the heat generated during the irradiation from the target material 2. The cooling duct 6 circulates a cooling fluid, such as cooling water, or any other suitable fluid with high boiling point, high heat capacity and high heat conductivity near the target material 2. In FIGS. 1 and 2, the cooling duct 6 is configured to bring the cooling fluid in contact with the substrate 2a backing the target material 2. In other embodiments, the cooling duct 6 may be configured such that the cooling fluid is brought near the substrate 2a without contacting it. In these embodiments, the cooling duct 6 comprises a portion separated from the substrate 2a by a thin layer of thermally conductive material. The energetic beam received by the capsule may also generate a heating of the degrader foils 5a, 5b, 5c. In order to limit the thermal increase in the degrader foils, a cooling fluid may be brought in the vicinity of the at least one degrader foil 5a, 5b, 5c. As represented in FIGS. 1 and 2, a degrader foil cooling inlet 20 and a degrader foil cooling outlet 21 may be configured to allow the passage of a cooling fluid tangentially to the degrader foils 5a, 5b, 5c. As it will spread in the beamline channel 4 during the irradiation, the cooling fluid may be an inert substance, such as a noble gas. In FIGS. 1 and 2, the degrader foil cooling inlet 20 is an arc shaped inlet with a radius R1 located in the front end 12 of the capsule. The degrader foil cooling outlet 21 is an arc shaped outlet also located in the front end 12 of the capsule, but with a radius R2 different from R1. In the capsule represented in FIGS. 1 and 2, the degrader foil 5c and target holder 1 define a closed cavity in the beamline channel 4. In this configuration, the contamination of the target irradiation station and of the beamline by the cooling fluid circulated in the beamline channel 4 is prevented because the cooling fluid does not leak outside of the closed cavity in the capsule. In addition, the circulation of the cooling fluid in the beamline channel 4 may be forced tangentially to the front face of the target material, and enhance the heat removal from the target, which is particularly important for target materials with low heat conductivity. The presence of the degrader foils 5a, 5b, 5c embedded in the capsule allows tuning the energetic beam upstream of the target material 2 without having to switch between degrader foils located in the target irradiation station 10. The use of the capsule in a system for producing radionuclides is consequently advantageous. With the capsule according to the disclosure, different target materials 2 requiring different beam energy levels may be irradiated successively without using a beam generator with a variable energy level and without accessing the target irradiation station 10. As represented in FIG. 3, the present disclosure also relates to a system for the irradiation of a target material in a target irradiation station 10 and the transfer of the irradiated target material between the target irradiation station 10 and a collecting facility, such as a hot cell 9. The system comprises: at least one capsule described above, a receiving station 8 located in the collecting facility 9, the target irradiation station 10, as represented in FIG. 4, for receiving the energetic beam from a beamline along a beamline axis, and a conveying system 11 comprising a transfer tube 12 for conveying at least one capsule between the receiving station 8 and the target irradiation station 10, wherein the conveying system 11 comprises a first terminal 16 located in the target irradiation station 10, the target irradiation station 10 comprises: an irradiation unit 17 for irradiation of the target material 2, a first actuator 34 for a transfer of a capsule between the first terminal 16 and the irradiation unit 17 and a second actuator 18 for locking the transferred capsule in an irradiation position wherein the beamline channel axis X1 of the transferred capsule is aligned and connected with the beamline, a collimator 19 for narrowing the energetic beam from the beamline, at least one target cooling inlet duct 22 and at least one target cooling outlet duct 23 being in fluid communication with the target cooling inlet 14 and the target cooling outlet 15 of the transferred capsule when the transferred capsule is locked in the irradiation position, and at least one degrader foil cooling inlet duct 24 and at least one degrader foil cooling outlet duct 25 being in fluid communication with the degrader foil cooling inlet 20 and the degrader foil cooling outlet 21 of the transferred capsule when the transferred capsule is locked in the irradiation position, and the receiving station 8 is connected to the transfer tube 12 as a second terminal of the conveying system 11, the receiving station 8 being openable such that the at least one capsule can be extracted from the receiving station 8. In the system represented in FIG. 3, the conveying system 11 is a vacuum pneumatic conveying system. Such system comprises a first suction tube 26 in fluid communication with the transfer tube through the first terminal 16 in the target irradiation station 10. The conveying system 11 also comprises a second suction tube 27 in fluid communication with the transfer tube 12 through the receiving station 8 (“second terminal”). The suction tubes 26, 27 are connected to an air blower 28 and to the atmosphere through three-way valves 29 and 30. A HEPA filter 31 may also be included between the air blower 28 and the three-way valves 29 and 30. The principle of operation of the conveying system is the following. When the capsule needs to be transferred from the collecting facility 9 to the target irradiation station 10, the atmosphere port of the first three-way valve 29 is closed while the first suction tube 26 is set in fluid communication with the blower 28. On the other hand, the air blower port of the second three-way valve 30 is closed while the second suction tube 27 is set in fluid communication with the atmosphere. The air is consequently sucked out of the first suction tube 26 through the air blower 28. This depression in the suction tube 26 generates a motion of the capsule in the transfer tube 12 from the collecting facility 9 to the target irradiation station 10 and at the same time an air suction from the atmosphere into the second suction tube 27. When the capsule needs to be transferred from the target irradiation station 10 to the collecting facility 9, the atmosphere port of the second three-way valve 30 is closed while the second suction tube 27 is set in fluid communication with the blower 28. On the other hand, the air blower port of the first three-way valve 29 is closed while the suction tube 26 is set in fluid communication with the atmosphere. The air is consequently sucked out of the second suction tube 27 through the air blower 28. This depression in the suction tube 27 generates a motion of the capsule in the transfer tube 12 from the target irradiation station 10 to the collecting facility and at the same time an air suction from the atmosphere into the first suction tube 26. As represented in FIG. 3, the system may comprise two additional valves 32, 33, such as ball valves, in the collecting facility 9. The first valve 32 is positioned across the transfer tube 12 and the second valve 33 is positioned across the second suction tube 27. In this arrangement, the receiving station 8 becomes consequently an airlock in the hot cell 9. These valves 32, 33 may be kept closed when a capsule is extracted or placed in the receiving station 8. This operation may ensure that the atmosphere of the hot cell 9 is not disturbed by the air used for the transfer of the capsules and that the potentially contaminated atmosphere of the hot cell 9 will not enter the air stream of the conveying system 11. When a capsule needs to be transferred between the hot cell 9 and the target irradiation station 10, the valves 32, 33 may be opened such that the conveying system 11 can be operated as described above. An example of a target irradiation station 10 of a system according to disclosure is disclosed in more details in FIGS. 4 and 5. The target irradiation station 10 is mounted on a mounting stand 35 through a positioning mechanism, which allows for a precise alignment of the irradiation unit relative to the beam. Besides the elements already described, the target irradiation station 10 can also comprise a cooling system for the collimator 19. Such cooling system comprises a collimator cooling inlet duct 36 and a collimator cooling outlet duct 37. As represented in FIGS. 4 and 5, the target irradiation station 10 comprises two actuators 34 and 18 for positioning and locking the capsules. When the capsule is received in the first terminal 16 of the target irradiation station 10, the first actuator 34 transfers the capsule to the irradiation unit 17. By the action of the second actuator 18 the capsule is locked in its irradiation position. The irradiation position of the capsule in the irradiation unit 17 is a position of the capsule wherein the beamline channel axis X1 is aligned and connected with the beamline. Furthermore, the target cooling inlet duct 22 and the target cooling outlet duct 23 are in fluid communication with the target cooling inlet 14 and the target cooling outlet 15 of the capsule, and the degrader foil cooling inlet duct 24 and the degrader foil cooling outlet duct 25 are in fluid communication with the degrader foil cooling inlet 20 and the degrader foil cooling outlet 21 of the capsule. In an alternative embodiment of the system, the target cooling inlet duct 22 of the target irradiation station 10 may be configured such that it is in fluid communication with the target cooling inlet 14 of the capsule irrespective of the relative angular orientation between the capsule and the irradiation unit 17 with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. Similarly, the target cooling outlet duct 23 of the target irradiation station 10 may be configured such that it is in fluid communication with the target cooling outlet of the capsule irrespective of the relative angular orientation between the capsule and the irradiation unit 17 with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. In this configuration, the target cooling system is operational at any angular orientation of the capsule in the irradiation unit 17 with respect to the beamline channel axis X1. This reduces the task complexity of the actuators 18 and 34, which may not need to measure the angular orientation of the capsule in the first terminal 16 and may not need to rotate the capsule at a particular angle with respect to the beamline channel axis X1 when locking the capsule in its irradiation position. In the capsule represented in FIGS. 1 and 2, the target cooling inlet 14 is a circular inlet located in the back end 13 of the capsule and is aligned with the beamline channel axis X1, and the target cooling inlet duct 22 of the target irradiation station 10 has an end portion located on the beamline axis and with a circular shape having a radius matching the radius of the circular target cooling inlet 14 of the capsule. Similarly, as represented in FIGS. 1 and 2, when the target cooling outlet 15 of the capsule is an annular outlet in the back end 13 of the capsule and is located around the beamline channel axis X1, the target cooling outlet duct 23 of the target irradiation station 10 has an end portion with an annular outlet around the beamline axis and having a radius matching the radius of the target cooling outlet 15. In this example of configuration, the target cooling system is operational irrespective of the relative angular orientation between the capsule and the irradiation unit 17 with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. In an alternative embodiment of the system, the degrader foil cooling inlet duct 24 of the target irradiation station 10 may be configured such that it is in fluid communication with the degrader foil cooling inlet 20 of the capsule irrespective of the relative angular orientation between the capsule and the irradiation unit 17 with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. Similarly, the degrader foil cooling outlet duct 25 of the target irradiation station 10 may be configured such that it is in fluid communication with the degrader foil cooling outlet 21 of the capsule irrespective of the relative angular orientation between the capsule and the irradiation unit 17 with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. In this configuration, the degrader cooling system may be operational at any angular orientation of the capsule in the irradiation unit 17 with respect to the beamline channel axis X1. This reduces the task complexity of the actuators 18 and 34, which may not need to measure the angular orientation of the capsule in the first terminal 16 and may not need to rotate the capsule at a particular angle with respect to the beamline channel axis X1 when locking the capsule in its irradiation position. In the capsule represented in FIGS. 1 and 2, the degrader foil cooling inlet 20 is located in the front end 12 of the capsule and is an arc shaped inlet with a radius R1 around the beamline channel axis X1, and the degrader foil cooling inlet duct 24 of the target irradiation station 10 has an end portion with an annular shape around the beamline axis and having a radius matching the radius R1 of the arc shaped inlet 20 of the capsule. Similarly, as represented in FIGS. 1 and 2, when the degrader foil cooling outlet 21 is located in the front end 12 of the capsule and is an arc shaped outlet having a radius R2 around the beamline channel axis X1 different from the radius R1, the degrader foil cooling outlet duct 25 of the target irradiation station 10 has an end portion with an annular shape around the beamline axis and having a radius matching the radius R2 of the degrader foil cooling outlet 21. FIG. 6 represents a detailed view of a part of a system according to the disclosure connected to the beamline 38 of an energetic beam generator 39. The energetic beam generator 39 may be a particle accelerator such as a cyclotron. Alternatively, the energetic beam generator may generate electromagnetic radiation, like gamma rays. In one embodiment, the glancing angle may be between 10 degrees and 90 degrees. In another embodiment, the capsule may have a shape defined by a geometry of revolution around the beamline channel axis X1, the capsule comprising a front end and a back end, the beamline channel extending inside the capsule from the front end to the target holder. In another embodiment, the target cooling inlet may be located in the back end of the capsule, the target cooling inlet being aligned with the beamline channel axis X1. In another embodiment, the target cooling outlet may be located in the back end of the capsule, the target cooling outlet being an annular cooling outlet located around the beamline channel axis X1. In another embodiment, the housing comprises a closing lid, wherein the closing lid is coaxially fastenable to the housing with respect to the beamline axis X1 so as to form a back end of the capsule, the target holder is rigidly coupled to the closing lid such that the target holder is inserted into the housing when the closing lid is fastened to the housing. The target cooling duct may be configured such that the cooling fluid can be in thermal contact the target material or the substrate backing the target material held in the target holder. Furthermore, the conveying system may be a pneumatic system, or a vacuum pneumatic system. The receiving station may be connected to the transfer tube through a gate valve such that the second terminal can be used as an airlock between the conveying system and the collecting facility. The target cooling inlet duct and the target cooling outlet duct of the target irradiation station, as well as the target cooling inlet and the target cooling outlet of the capsule, are configured such that the target cooling inlet duct of the target irradiation station is in fluid communication with the target cooling inlet of the capsule such that the target cooling outlet duct of the target irradiation station is in fluid communication with the target cooling outlet of the capsule irrespective of the relative angular orientation between the capsule and the irradiation unit with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. In another embodiment, the target cooling inlet of the capsule may be a circular inlet located in the back end of the capsule, with the target cooling inlet being aligned with the beamline channel axis X1. The target cooling outlet of the capsule may be located in the back end of the capsule, the target cooling outlet being an annular cooling outlet located around the beamline channel axis X1. The target cooling inlet duct of the target irradiation station may have an end portion located on the beamline axis with a circular shape having a radius matching the radius of the target cooling inlet of the capsule. The target cooling outlet duct of the target irradiation station may have an end portion located on the beamline axis with an annular outlet having a radius matching the radius of the target cooling outlet of the capsule. In another embodiment, the degrader foil cooling inlet duct and the degrader foil cooling outlet duct of the target irradiation station, as well as the degrader foil cooling inlet and the degrader foil cooling outlet of the capsule, may be configured such that the degrader foil cooling inlet duct of the target irradiation station is in fluid communication with the degrader foil cooling inlet of the capsule and such that the at least one degrader foil cooling outlet duct of the target irradiation station is in fluid communication with the degrader foil cooling outlet of the capsule irrespective of the relative angular orientation between the capsule and the target irradiation station with respect to the beamline channel axis X1 when the capsule is locked in the irradiation position. In another embodiment, the degrader foil cooling inlet of the capsule may be an arc shaped inlet with a radius R1 located in the front end of the capsule, and the degrader foil cooling outlet of the capsule may be an arc shaped outlet located in the front end of the capsule, with the arc shaped outlet having a radius R2 different from the radius R1. The degrader foil cooling inlet duct of the target irradiation station may have an end portion with an annular shape around the beamline axis having a radius matching the radius R1 of the arc shaped inlet of the capsule. The degrader foil cooling outlet duct of the target irradiation station may have an end portion with an annular shape around the beamline axis having a radius matching the radius R2 of the arc shaped outlet of the capsule.
summary
044774117
summary
BACKGROUND OF THE INVENTION This invention relates to nuclear reactor fuel elements, in particular to nuclear reactor fuel elements for pressurised water reactors (PWR'S). Such fuel elements comprise nuclear fuel material (usually pellets of uranium dioxide) enclosed in protective sheaths of zirconium alloy, the sheathed nuclear fuel material forming rods which are assembled into clusters in which the rods are arranged in a regular array or lattice. It is current practice for helium gas to be included within these rods of sheathed nuclear fuel material. An initial purpose for its inclusion was to obivate the collapse of the sheaths into gaps created by sintering of pellets of the fuel material and, whilst this problem of excessive shrinkage of the pellets is now considered to have been largely overcome, the inclusion of helium still serves a useful purpose in delaying interaction between a sheath and the pellets it encloses. Further it is believed that, by its improvement in heat conductance across the gap between a sheath and its enclosed pellets, the release of fission product gases should be reduced and it may also assist in reducing other effects which are undesirable. However, during a reactor transient in which coolant pressure is lost in helium pressure, especially at low burn-ups, supplements the fission product gases in producing swelling of the sheath and the swelling may be so significant that sheath to sheath interaction occurs providing mutual support. Further deformation of the sheaths can then take place so that, at temperatures below which the swelling would be determined by local rupture of the sheath, blockage of coolant channels results. Furthermore the problem is not solved by a lower helium pressure in the rods when they are filled because, while these reduced pressures will decrease the swelling at the temperatures at which blockages would previously have occurred, there is some doubt onn extrapolation to higher temperatures which may be reached in a reactor transient. Local rupture may still not precede blockage since at the higher temperatures other factors may be introduced which affect the relevant properties of the sheath. STATEMENT OF THE INVENTION According to the present invention in an array of rods comprising zirconium alloy sheathed nuclear fuel pellets assembled to form a fuel element for a pressurised water reactor and including helium gas within each rod the helium gas pressure within each rod differs substantially from that of its closest neighbours.
abstract
A switching mechanism is configured such that a lower limb radiographic filter 11a is moved inside a radiation field of the X-rays that is an opening portion of an X-ray movable restriction only when radiographing a region of a subject from the groin to the toe, or the filter is moved outside the radiation field when radiographing the lumbar region of the subject. With this switching mechanism thus constituted, it is possible to lighten a burden on the operator, and realize the X-ray radiographic apparatus having high universality.
claims
1. A system, comprising:an ultraviolet light C (UV-C) radiation emitter including a set of UV-C sources configured to emit UV-C radiation at a predetermined UV-C duration and intensity;an ultraviolet light B (UV-B) radiation emitter including a set of UV-B sources configured to emit UV-B radiation at a predetermined UV-B duration and intensity;an ultraviolet light A (UV-A) radiation emitter including a set of UV-A sources configured to emit UV-A radiation at a predetermined UV-A duration and intensity; anda control unit configured to direct curing of a film of ultraviolet sensitive polymer material on a substrate with the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, wherein the control unit is configured to identify which of the UV-B radiation emitter and/or the UV-A radiation emitter to use with the UV-C radiation emitter in the curing of the ultraviolet sensitive polymer material, the control unit specifying operating parameters of the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter in response to identifying use thereof for curing the ultraviolet sensitive polymer material, wherein the control unit is configured to specify operating parameters associated with operating the set of UV-C sources in the UV-C radiation emitter, the set of UV-B sources in the UV-B radiation emitter and the set of UV-A sources in the UV-A radiation emitter at the corresponding radiation associated with each source, the operating parameters including wavelength, intensity, and duration, and wherein the control unit is further configured to control the curing of the ultraviolet sensitive polymer material with the emitters identified for use by monitoring the operating parameters of the sources of the identified emitters during the curing and adjusting the parameters of the sources to conform to the specified parameters;wherein the UV-C radiation emitter is located over a peripheral portion of the ultraviolet sensitive polymer material and the UV-B radiation emitter and/or the UV-A radiation emitter is located over a central portion of the ultraviolet sensitive polymer material, wherein the control unit is configured to direct the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter to cure the peripheral portion and the central portion of the ultraviolet sensitive polymer material inhomogeneously, wherein the UV-C radiation emitter confines the ultraviolet sensitive polymer material to within a certain location, while the UV-B radiation emitter and/or the UV-A radiation emitter cures an overall domain of the ultraviolet sensitive polymer material including the central portion. 2. The system according to claim 1, wherein the control unit directs the UV-C radiation emitter to perform surface pinning of the ultraviolet sensitive polymer material and the UV-B radiation emitter and/or the UV-A radiation emitter to perform final curing of the ultraviolet sensitive polymer material after the surface pinning by the UV-C radiation emitter. 3. The system according to claim 1, wherein the control unit is configured to direct the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter to cure a film of ultraviolet sensitive polymer material comprising a multi-layered film. 4. The system according to claim 3, wherein the control unit directs the UV-C radiation emitter to perform surface pinning of each layer of the ultraviolet sensitive polymer material, and the UV-B radiation emitter and/or the UV-A radiation emitter to perform final curing of the multi-layered film after surface pinning an outer layer of the ultraviolet sensitive polymer material. 5. The system according to claim 3, further comprising a nozzle directed by the control unit to inject droplets of the ultraviolet sensitive polymer material onto the substrate, wherein the multi-layered film of ultraviolet sensitive polymer material is formed on the droplets. 6. The system according to claim 5, wherein the control unit directs the UV-C radiation emitter to cure the droplets of the ultraviolet sensitive polymer material with UV-C radiation at the predetermined UV-C duration and intensity, and the UV-B radiation emitter and/or the UV-A radiation emitter to perform final curing of the droplets. 7. The system according to claim 5, further comprising an infrared light source directed by the control unit to apply infrared heating of the droplets of the ultraviolet sensitive polymer material for coalescing into a domain of ultraviolet sensitive polymer material. 8. The system according to claim 5, further comprising an acoustic vibrational source configured to promote the coalescing of the droplets of the ultraviolet sensitive polymer material through mechanical excitation. 9. The system according to claim 1, further comprising a curing monitor configured to monitor optical properties of the ultraviolet sensitive polymer material during the curing performed by the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, and generate signals of the optical properties to the control unit, wherein the curing monitor includes a visible light source and a camera. 10. The system according to claim 9, wherein the control unit is configured to monitor the curing of the ultraviolet sensitive polymer material as a function of the signals of the optical properties, wherein the control unit adjusts the operating parameters and sequence of operation of the UV-C radiation emitter, the UV-B radiation emitter, and/or the UV-A radiation emitter, in accordance with the optical properties. 11. The system according to claim 1, further comprising a reflectivity measuring device, operatively coupled with the ultraviolet sensitive polymer material, the UV-C radiation emitter, the UV-B radiation emitter, the UV-A radiation emitter and the control unit, to generate reflectivity measurements from the ultraviolet sensitive polymer material during curing by the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, the control unit using the reflectivity measurement to infer a quality of the curing of the ultraviolet sensitive polymer material, wherein the reflectivity measuring device includes a visible light source and a sensor, wherein visible light from the visible light source is reflected from a surface of the ultraviolet sensitive polymer material and detected by the sensor to obtain reflectivity measurements for the ultraviolet sensitive polymer material. 12. A system, comprising:an ultraviolet illuminator including an ultraviolet light C (UV-C) radiation emitter having a set of UV-C sources configured to emit UV-C radiation at a predetermined UV-C duration and intensity, an ultraviolet light B (UV-B) radiation emitter having a set of UV-B sources configured to emit UV-B radiation at a predetermined UV-B duration and intensity, and an ultraviolet light A (UV-A) radiation emitter having a set of UV-A sources configured to emit UV-A radiation at a predetermined UV-A duration and intensity;a curing monitor configured to sense optical properties of an ultraviolet sensitive polymer material during curing performed by the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter;a reflectivity measuring device configured to generate reflectivity measurements from the ultraviolet sensitive polymer material during curing thereof; anda control unit, operatively coupled with the ultraviolet sensitive polymer material, the UV-C radiation emitter, the UV-B radiation emitter, the UV-A radiation emitter, the curing monitor and the reflectivity measuring device, configured to direct curing of the ultraviolet sensitive polymer material with the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, wherein the control unit directs the UV-C radiation emitter to perform only surface pinning of a top surface of the ultraviolet sensitive polymer material without curing a bulk of the material underneath the top surface and the UV-B radiation emitter and/or the UV-A radiation emitter to perform final curing of both the top surface of the ultraviolet sensitive polymer material and the bulk of the material underneath the top surface after surface pinning by the UV-C radiation emitter as a function of the optical properties and reflectivity measurements, wherein the control unit monitors optical properties of the ultraviolet sensitive polymer material during the curing that are sensed by the curing monitor and adjusts the duration, intensity, wavelength and sequence of operation of the UV-C radiation emitter, UV-B radiation emitter, and/or UV-A radiation emitter, in accordance with the optical properties sensed by the curing monitor, and wherein the control unit infers a quality of the curing of the ultraviolet sensitive polymer material from the reflectivity measurement signals obtained by the reflectivity measuring device. 13. The system according to claim 1, wherein the control unit is configured to direct the UV-C emitter and the UV-B radiation emitter and/or the UV-A radiation emitter to simultaneously irradiate the ultraviolet sensitive material. 14. A system, comprising:a nozzle to form ultraviolet sensitive polymer material on a substrate, wherein the nozzle is configured to inject droplets of the ultraviolet sensitive polymer material on the substrate and a multi-layered film of ultraviolet sensitive polymer material on the droplets of the ultraviolet sensitive polymer material;an infrared light source to emit infrared radiation;an ultraviolet light C (UV-C) radiation emitter including a set of UV-C sources configured to emit UV-C radiation at a predetermined UV-C duration and intensity;an ultraviolet light B (UV-B) radiation emitter including a set of UV-B sources configured to emit UV-B radiation at a predetermined UV-B duration and intensity;an ultraviolet light A (UV-A) radiation emitter including a set of UV-A sources configured to emit UV-A radiation at a predetermined UV-A duration and intensity; anda control unit configured to direct curing of the ultraviolet sensitive polymer material on the substrate with the infrared light source, the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, wherein the control unit is configured to direct the infrared light source to irradiate the droplets of the ultraviolet sensitive polymer material with infrared radiation for curing into a domain of coalesced ultraviolet sensitive polymer material, and wherein the control unit is configured to direct the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter to cure the multi-layered film of ultraviolet sensitive polymer material and the domain of coalesced ultraviolet sensitive polymer material. 15. The system according to claim 14, wherein the infrared light source irradiates the droplets of the ultraviolet sensitive polymer material prior to formation of the multi-layered film of ultraviolet sensitive polymer material on the droplets by the nozzle. 16. The system according to claim 14, further comprising an acoustic vibrational source operatively coupled to the nozzle, wherein the acoustic vibrational source is configured to promote the coalescing of the droplets of the ultraviolet sensitive polymer material injected on the substrate by the nozzle through mechanical excitation. 17. The system according to claim 14, wherein the control unit is configured to direct the UV-C radiation emitter to irradiate each layer of the multi-layered film of ultraviolet sensitive polymer material with UV-C radiation, wherein the UV-C radiation emitter irradiates each layer of the multi-layered film of ultraviolet sensitive polymer material prior to formation of a subsequent layer thereon. 18. The system according to claim 17, wherein the control unit is configured to direct the UV-B radiation emitter and/or the UV-A radiation emitter to irradiate the multi-layered film of ultraviolet sensitive polymer material upon formation of a last layer. 19. The system according to claim 14, wherein the control unit is configured to direct the infrared light source to cure the multi-layered film of ultraviolet sensitive polymer material with infrared radiation as a complement to the curing provided by the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter. 20. The system according to claim 14, further comprising a curing monitor configured to monitor optical properties of the ultraviolet sensitive polymer material during the curing performed by the UV-C radiation emitter and the UV-B radiation emitter and/or the UV-A radiation emitter, and generate signals of the optical properties to the control unit, wherein the curing monitor includes a visible light source and a camera.
052020827
claims
1. In a nuclear reactor coolant system pressurizer wherein an original nozzle exterior of the pressurizer and a portion of the original nozzle in the nozzle bore through the pressurizer have been removed and the nozzle bore partially tapped to provide threads therein, a replacement nozzle, said replacement nozzle comprising: a. a first seal ring positioned inside the nozzle bore against the original nozzle; b. a nozzle threadably engaged inside the nozzle bore against said first seal ring and extending beyond the exterior of the pressurizer; c. a flange extending radially outward from the portion of said nozzle extending beyond the exterior of the pressurizer; and d. means for providing a seal between said flange and the exterior of the pressurizer. a. a disk received on the upper end of said flange; b. a second seal ring positioned on said disk; and c. a plurality of bolts spaced circumferentially around said flange and threadably received in bores through said flange whereby application of pressure from said bolts to said disk causes said second seal ring to bear against the exterior of the pressurizer. a. removing the original nozzle on the exterior of the pressurizer and a portion of the original nozzle in the nozzle bore; b. partially tapping the nozzle bore to provide threads therein; c. threading a replacement nozzle having a first seal ring positioned on one end into the nozzle bore such that said first seal ring is pressed against the remaining portion of the original nozzle, said replacement nozzle having a flange extending radially therefrom and adjacent the lower end of said nozzle; and d. providing a seal between the flange on said replacement nozzle and the exterior of the pressurizer. 2. The replacement nozzle of claim 1, wherein said means for providing a seal between said flange and the exterior of the pressurizer comprises: 3. A method of replacing a damaged nozzle in a nuclear reactor coolant system pressurizer wherein the nozzle extends into the pressurizer through a nozzle bore in the wall of the pressurizer, comprising: 4. The method of claim 3, wherein the step of providing a seal between the flange and the exterior of the pressurizer comprises forcing a second seal ring positioned on the upper end of the flange into sealing contact with the exterior of the pressurizer.
summary
description
This application claims the benefit of a priority under 35 USC 119 to French Patent Application No. 0007745 filed Jun. 16, 2000, the entire contents of which are incorporated by reference. This application is a divisional application of U.S. application Ser. No. 09/879,488 filed Jun. 12, 2001 now U.S. Pat. No. 6,850,596. The present invention concerns the field of electromagnetic radiation beams used for different types of measurement and visualization. The invention is applicable notably to X-ray imaging or processing devices, for example, in the medical field. A radiology apparatus generally comprises means for an X-ray emission having equipped with an X-ray tube and a collimator, means for receiving the X-ray emissions separated from the means for emission by a distance sufficient to place there an object that it is desired to study. The collimator serves to determine the solid angle of aperture of the X-ray beam. The X-ray beam can thus be limited to the surface of the receiver. The collimator can also serve to further reduce the solid angle of the beam in order to limit it to a particular zone of interest of the object that is studied or processed, which makes it possible to prevent other parts of the object from being subjected to X-rays. The collimator can include a diaphragm made according to the principle of the diaphragm of a camera of articulated moving plane type. A diaphragm whose attenuating material consists of a deformable solid or of a fluid in a chamber is also disclosed in FR-A-2,601,544. In addition, a radiology apparatus further comprises an electronic control unit for the X-ray tube, collimator, receiver (provided, for example, with a scintillator), a high-voltage supply of the X-ray tube, etc. Such an apparatus must be calibrated in order to attain a sufficient qualitative and quantitative precision of the structures observed on an image. The calibration is generally done by means of a phantom that is placed at the object site on the path of the X-ray beam. A phantom is an object separate from the apparatus and comprising parts opaque to X-rays arranged according to a geometry defined and known. An image of the phantom is acquired under the geometric conditions of an angle of incidence that it is sought to be calibrated. The projections of the characteristic points are then recognized in the image. Each characteristic point of the object is associated with its trace in the acquired image. The system of equation describing the projection supplying the image is inverted in the mathematical sense and the set of parameters of the projection is finally obtained for the given vantage point. A phantom and method of calibration of an X-ray imaging system is disclosed in FR-A-2,700,909 and EP-A-0,874,536. An embodiment of the present invention is directed to increasing the automation of calibration of a system using electromagnetic radiation. An embodiment of the present invention proposes controlling the calibration. An embodiment of the present invention proposes a phantom whose risks of deterioration are reduced. A collimation device, according to one embodiment of the invention, is of the type intended to direct an energy beam in a given direction and at a given solid angle. The collimation device is capable of being installed on output of an energy beam generating means and of being connected to the control unit. The collimation device includes means for testing operation of the assembly formed by the energy beam generating means, the collimation device, the control device and a receiver. The means can be integrated with the device, for example, by being adjacent to the collimation elements. The means can be connected to the control unit directly or indirectly. The collimation device advantageously includes means for calibrating the operating parameters intended to be used by the control unit. In an embodiment of the invention, the collimation device includes means for testing the operation of an X-ray tube emitting the energy beam. The means are preferably capable of being commanded by a control unit. In an embodiment of the invention, the means are capable of being remote-controlled by a computer installed on another site. In an embodiment of the invention, the means include a plurality of test tools with a position sensor of each tool. The collimation device can include a motion sensor of each tool. The progress or temporal change of the calibration can then be monitored. A radiology apparatus, according to one aspect of the invention, means for emitting an energy beam means of reception for the energy beam, a control unit and a collimation device, such as described above. A test kit, according to one embodiment of the invention, includes means for fastening to a collimation device, of the type designed to direct an energy beam in a given direction and at a given solid angle and means for testing the operation of the collimation device means for emitting energy beam and a control unit. The test kit can advantageously be equipped with means for communicating with the control unit. The test kit is therefore capable of being fastened to collimation device, notably, below the latter in the direction of propagation of the energy beam. The test kit can be fastened on a collimation device with little or no structural modifications. The invention also proposes a method for testing a radiology apparatus, in which the operation of the apparatus is tested by means of tools forming part of a collimation device, the tools making it possible to functionally define the operation of the means for emitting an energy beam of the collimation device and of a receiver. The invention is also directed to a computer program including means for providing a program code for applying the steps of the above-mentioned method. The invention likewise is directed to a storage medium capable of being read by a device for reading the program code which are stored there in and which are capable of applying the steps of the abovementioned method. The invention also makes possible a remote control of the quality of operation of an apparatus, notably of a radiology apparatus, by making possible a calibration remote-controlled from a maintenance center, for example, with telecommunication through an Internet-type network, or an automatic calibration at given time intervals or operating times, while providing for the possibility that a negative result of automatic calibration might trip an alarm in a maintenance center which can also be remotely situated. For that purpose, the collimation device may comprise: one or more lead plates for calibration of the X-ray tube that is done by emitting X-rays; one or more copper plates for calibration of the gain response of the entire information processing system formed by the apparatus, which makes it possible to characterize, notably, the aging of the X-ray tube; one or more aluminum plates for spectral characterization of the X-ray beam and dose measurement. Several aluminum plates will preferably be provided to determine at what thickness of aluminum the dose is divided by a given factor; one or more wires of radiation-absorbent material; one or more grids of radiation-absorbent material; one or more plates of radiation-absorbent material of thickness calibrated in steps to make calibration of the image quality possible. The persistence or kinetic blur due, for example, to the scintillator, which continues to emit an output signal when the input signal (X-rays) has been interrupted can be calibrated. The progress of presistence is monitored by placing one or more test objects in the beam, automatically controlling the speed and position of the test objects. In FIG. 1, a collimation device 1 comprises a casing 2 provided with an inlet 3, an outlet 4 and a plurality of moving plates 5 to 12 opaque to X-rays. Plates 5 to 12 provide a collimation according to a rectangular format of an X-ray beam 13 represented by a line of dots and dashes being propagated on an axis 14. The beam emanates from a focus 15 situated in an X-ray tube not represented. Collimation makes it possible to adapt the beam to the shapes of rectangular detectors of film, scintillator and CCD camera type, or solid state detectors, or organs crossed by the X-ray beam. The collimation device 1 also includes an additional casing 16 placed in contact with the lower aperture 4 of casing 2 and also arranged to be transparent to X-rays. Inside casing 16, there is a disk 17 rotary-mounted and driven by a motor 18, the rotation being detected by a sensor 19, for example, of optical type reading an optical coder, not represented, which can comprise a sequence of alternate light and dark zones arranged on the upper surface of the disk 17, close to its periphery, opposite the sensor 19. An embodiment of the disk 17 is illustrated in FIG. 2. The disk 17 comprises a plurality, for example seven, of circular zones 22 to 28. The diameter and the positioning of the circular zones 22 to 28 on the disk 17 are such that the X-ray beam 13 illustrated in FIG. 1 presents a diameter slightly less than that of one of the circular zones 22 to 28, when it crosses one of the circular zones 22 to 28. Circular zone 22 is empty and is used in normal operation of a radiology apparatus, for example, on taking an X-ray image of a patient. Circular zone 23 is an aluminum plate of given thickness that allows testing of the variation of spectral quality due to aging of the X-ray tube, which makes it possible to determine when it is advisable to change the tube in order to avoid a shutdown of the radiology apparatus due to a malfunction. The information on change of spectral quality can also be used for calibration of the exposure parameters, such as high service voltage of the X-ray tube, service current, etc. Circular zone 24 comprises a two-dimensional phantom such as a metal grid of given material and thickness. Circular zone 25 also comprises a phantom, for example, in the form of a sheet with defined beveled edges. Those two phantoms make possible an evaluation of image quality. Circular zone 26 comprises a plate of heavy metal, for example, 2 mm thickness of lead, which makes it possible to totally block the X-ray beam. Circular zone 27 comprises a copper plate of given thickness, for example, 2 mm. Circular zone 28 also comprises a copper plate of different thickness from circular zone 27. Both circular zones 27 and 28 can be used for calibration of the X-ray dose without it being necessary to use a dosimeter. A motor 18 for turning the disk 17 on instruction of the radiology apparatus control unit 20, allows the different steps of calibration to proceed automatically. The intervention of an operator can be reduced to the decision to initiate calibration. The operator can be located on site or at a remote maintenance center connected by digital link to the radiology apparatus. Calibration can also be carried out automatically, for example, outside of normal working hours of the radiology apparatus and the necessary adjustments of the parameters of the radiology apparatus can be made while being able to signal a fault requiring attention by means of a local alarm and/or to a remote maintenance center. A sensor 19, makes it possible to ascertain the position and possibly the speed of rotation of the disk 17, is also connected to the control unit 20 of the radiology apparatus. As can be seen in FIG. 1, the control unit 20 is joined by a wire connection 21 to the operation test means formed by the casing 16 equipped with the disk 17, motor 18 and sensor 19. However, a wireless link or even a connection through casing 2 could also be provided. The control unit 20 can be dedicated to the operation test means, or dedicated to the collimation device 1, or can form a central control unit of the radiology apparatus to which the collimation device 1 is a part. The control unit 20 includes at least one processor, at least one memory and at least one set of control instructions stored in memory and capable of being executed by the processor. Casing 16 and casing 2 can be interlocked, for example, by means of screws, not represented. Casings 2 and 16 can also be made in a single unit. If casing 16 is separate from casing 2, it can be arranged to add an operation test means to the collimation device in the existing radiology apparatus, as shown in FIG. 3. In the latter case, the test means may be in the form of a test kit 29 having a general shape similar to the test means of the embodiment of FIG. 1 and provided, in addition, with two lugs 30 and 31, each provided with a screw 32, 33 capable of cooperating in corresponding tapped holes of a collimation device casing. In FIGS. 4 and 5, another test tool is illustrated, which can be placed inside a test means casing. The tool 34 has a cylindrical structure 35 around which is placed a plurality of rectangular elements 36 comprising the same type of elements as the circular zones 22 to 28 illustrated in FIG. 2. In the embodiment illustrated in FIG. 6, a test tool 37 comprises a plurality of square test elements 38 to 41, each hinged at an angle on an axis 42 ready to be placed on the path of an X-ray beam 43 that is represented here as a beam of parallel lines. Of course, in both of the foregoing embodiments, the rotation of the tool 34 and of elements 38 to 41 of the tool 37 is motor-driven and monitored by sensor(s) so that the control unit receives information on the position and possibly the movement of these different elements. In the embodiment illustrated in FIG. 7, the test tool 44 comprises two blocks 45 and 46 made of a given radiation-absorption material and each having a half-parallelepiped shape cut along a diagonal. The two blocks 45 and 46 complement each other, in the sense that, on bringing them in contact, a rectangular parallelepiped is formed. The X-ray beam 43 crosses the two blocks 45 and 46, the spacing of which determines the thickness of material crossed by the X-ray beam 43. The relative position of the blocks 45 and 46 is detected by sensor and is controlled by means of a motor. Different steps used by a radiology apparatus control unit are illustrated by way of example in FIG. 8 by means of a routine stored in an internal memory of the control unit or outside the control unit. At step 50, a routine of the control unit, which is dedicated to calibration, verifies the time elapsed since the last calibration and compares it with a predetermined ceiling. If the time elapsed is greater than the ceiling, one then proceeds to step 51; otherwise the program is halted in order to be resumed later, for example, the next day at the same time or after a few minutes of non-use of the radiology apparatus. At step 51, the program controls the movement of a test tool and, by considering the disk 17 of FIG. 2, the positioning of circular zone 23 in place of circular zone 22, which is empty, on the path of the beam 13. Then, a standard calibration is carried out with the circular zone 23. At steps 52 to 56, the program controls the corresponding operations for circular zones 24 to 28. At stage 57, the routine controls the movement of the disk 17, so that circular zone 22, which is empty, is placed on the path of the beam 13. If, in the course of one of steps 52 to 56, calibration reveals a fault which the control unit cannot remedy by itself, the program controls an alarm stage 58 either on site, for example, on a screen of the radiology apparatus, or at a remote maintenance center, the alarm being advantageously accompanied by a message relating to the nature of the fault, its seriousness, a down time of the radiology apparatus, etc. Otherwise, calibration is terminated and the time elapsed since the last calibration is reset at step 59. In FIG. 9, the radiology apparatus comprises, in addition to the central unit 20 and collimation device 1, an X-ray tube 60 integral with the collimation device 1, a high-voltage generator 61 for powering the tube 60, a receiver 62, provided, for example, with a scintillator and a matrix camera, and a monitor 63 provided with a screen 64 for the display of X-ray images. The present invention makes it possible to design tools for automatic testing of an electromagnetic ray imaging and processing apparatus. The test tools can come in the form of a kit that is added to an existing collimator or can be integrated with a collimator. Remote image quality control can thus be carried out with diagnosis in real time and preventive maintenance. The test tool rests permanently on the imaging apparatus and possesses a deactivated position in which the beam of electromagnetic rays does not encounter any obstacle. The image taken in normal operation of the imaging apparatus does not therefore undergo any attenuation or diminution of quality. It is important to know precisely the movement of a tool across the beam in order to be able to deduce therefrom an estimate of the remanence and to monitor the progress of remanence in the course of time, that is, aging of the receiver 62 and, notably, of the scintillator. For that purpose, a tool will be moved in a few milliseconds in the X-ray beam intermittently and at constant speed. The radiology apparatus whose control unit is connected to the test tool is advantageously provided with a remote link, digital, for example, to a maintenance center, which makes it possible to perform a number of maintenance operations without the service call of a maintenance operator. Other maintenance operations can be carried out with the service call of a maintenance operator, who will have identified the component to be replaced before his trip, which will also make it possible to reduce the number of trips. The handling of a phantom separate from the apparatus becomes superfluous, which reduces the risks of loss or deterioration of the phantom likely to distort the calibration. Various modifications in structure and/or steps and/or function may be made by one skilled in the art without departing from the scope and extent of the invention as recited in the claims.
abstract
A method and apparatus for the permissive control of a mast and fuel grapple to be used in the movement of reactor fuel components, including fuel assemblies, single blade and double blade guides, to be used in a Boiling Water Reactor (BWR) nuclear reactor. The Permissive Control System reduces the chance of human error associated with the movement of reactor components by assisting in controlling the location (plant coordinate) of the mast for picking-up and dropping-off reactor components, the sequence of reactor component movements, the orientation (angular rotation) of the mast and fuel grapple, the raising and lowering of the grapple, and the opening and closing of the fuel grapple.
047449420
summary
CROSS-REFERENCE TO RELATED APPLICATION Reference is hereby made to the following copending application dealing with related subject matter and assigned to the assignee of the present invention: "Nuclear Reactor Spacer Grid" by Lynne E. Weiland et al, assigned U.S. Ser. No. 719,107 and filed Apr. 2, 1985. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear reactor fuel assemblies and more particularly to an improved loading of spacer grid springs for spacing and cradling fuel rods in a nuclear fuel assembly. 2. Description of the Prior Art In a nuclear fuel assembly, spacer grid assemblies are used to precisely maintain the spacing between the fuel rods in a nuclear reactor core, to prevent rod vibration, and to provide lateral support for the fuel rods. Conventional spacer grid assembly designs include a multiplicity of metal grid straps, interlocked into an egg-crate configuration designed to form cells through which fuel rods (standard cells) and control rod guide thimbles (thimble cells) pass. Slots are often utilized to effect the interlocking engagement between grid straps. Each standard cell provides support for one fuel rod at a given axial location through the use of relatively resilient grid springs and relatively rigid protuberances (dimples) formed in or attached to the metal grid straps. A peripheral strap may be used to enclose the interlocked grid straps in order to impart strength and rigidity to the fuel assembly and to provide grid spring and dimple locations for the peripheral cells. In order to minimize the lateral displacement of fuel rods during ooperation and to improve the fuel characteristics of a fuel assembly, a number of such spacer grid assemblies may be spaced along the fuel assembly length. These grids are generally held in place by attachment to the control rod guide thimbles by known techniques. Examples of such spacer grid assemblies may be found in U.S. Pat. No. 3,389,056 to E. Frisch, U.S. Pat. No. 3,713,971 to Van Santen et al, U.S. Pat. No. 3,944,467 to Biermann et al, U.S. Pat. No. 4,224,107 to Delafosse et al, or U.S. Pat. No. 4,474,730 to Hellman et al. During operation of a nuclear reactor, the grid springs and dimples undergo exposure to radiation. Depending on the amount of irradiation, the material used for the grid springs will lose some of its initial spring force, thus permitting the fuel rods to vibrate and chatter against the springs and dimples. If vibration is severe, the result may be fretting of the fuel rod cladding. Some known grid assemblies are constructed of zirconium or zirconium alloy. (Both terms hereinafter generally are referred to interchangeably as Zircaloy.) Some grids are fabricated from Inconel or Zircaloy/Inconel composites. Although Inconel is a preferred material for the springs because it has a high spring force which is relatively insensitive to radiation-induced relaxation, it has a high neutron absorption cross-section. Thus, the use of Inconel in large quantities results in a significant economic penalty. Zircaloy, on the other hand, has a low neutron cross-section but relaxes under high heat and radiation and thereby initially loses almost 30% of its spring strength due to high heat (e.g., 600.degree. F.), and typically loses up to nearly 90% of its remaining spring force after one year of intense radiation. In a typical reactor having an upper and lower grid and a number of intermediate grids, Inconel is used in the lower grid because, even though it has a high neutron absorption cross-section, a strong, long-term spring force is necessary to prevent vibration-induced fretting of the fuel rods due to the high turbulence at the inlet of the fuel bundle. Additionally, Inconel is used in the upper grid near the top of the fuel rod where there is relatively little radiation in the gas plenum area. In both cases, Inconel retains its resisting strong spring force under irradiation. Zircaloy is often used in intermediate grids where strong spring force is not as critical as at the ends, to space and support the fuel rods without significant neutron absorption. Although mixed arrangements of Inconel and Zircaloy grids perform well, one significant problem occurs, namely high rod bow, caused in part by a bending moment produced by the offset lateral application of relatively strong upper and lower grid spring and dimple forces at the ends of the rods. Rod bow is aggravated by axial compression of the rod. As a fuel rod undergoes irradiation, it tends to grow axially. As a rod grows, the relatively strong spring force of the upper grid tends to resist the growth and thereby places the rod in compression. The rod bows as a result of the axial compressive force and the bending moment, coupled with radiation-induced relaxation of the intermediate Zircaloy grid springs, which results in insufficient intermediate spring force to keep the rods straight at the intermediate grid locations. High bowing is undesirable because it results in significant departure from nuclear boiling (DNB) heat transfer penalty, accelerated Zircaloy corrosion if two fuel rods touch, and a negative customer perception if the fuel rods are not visibly straight. SUMMARY OF THE INVENTION It is therefore an object of the invention to provide a spacer grid assembly design which will reduce any tendency of the fuel rods to become bowed during use. It is a further object of the invention to provide an improved spacer grid assembly which distributes grid spring forces along the fuel rod so as to reduce the bending moment and the axial compressive force in the rod. To achieve these as well as other objects, and in accordance with the present invention, a nuclear fuel assembly containing a plurality of fuel rods is provided. A plurality of superadjacent spacer grid assemblies are axially disposed along the fuel rods for maintaining the fuel rods in a spaced lateral array. Said plurality of grids includes respective upper and lower grids located near respective upper and lower ends of the fuel rods and at least one intermediate grid located therebetween. Each of the spacer grid assemblies comprises a plurality of grid straps interlocked into an egg-crate configuration to form standard cells through which the fuel rods pass. Each of the standard cells has at least one, and preferably two, grid springs and each grid spring has one, and preferably two, opposing dimples. The upper grid is fabricated with a relatively low spring force. The lower grid is fabricated with a relatively high spring force. The intermediate grids have a relatively high fabricated spring force, although over time the intermediate spring force will be diminished by radiation-induced relaxation. Additional objects, advantages and novel features of the invention will be set forth, in part in the description which follows, and in part will become apparent to those skilled in the art by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the invention as particularly pointed out in the appended claims.
claims
1. A system for emergency in-situ subsurface isolation of mobile radioactive material from a source of the mobile radioactive material, the system comprising:a borehole located in proximity to, and in flow communication with, the source of mobile radioactive material, the borehole being at a depth suitable for safely isolating the mobile radioactive material;a conduit system connecting the source of the mobile radioactive material and the borehole and;a prepared first man-made vertical-oriented gravity fracture located in a surrounding rock formation below and in communication with a bottom end of the borehole and available to receive the mobile radioactive material exiting the bottom end of the borehole during the emergency, the first man-made vertical-oriented gravity fracture made using a slurry containing a weighting material, the slurry being denser than the surrounding rock formation and not including the mobile radioactive material;wherein during the emergency, the mobile radioactive material enters the borehole and from the borehole into the man-made vertical-oriented gravity fracture. 2. A method according to claim 1, wherein the slurry has an absolute tendency to travel vertically downward in the surrounding rock formation. 3. A system according to claim 1, wherein at least a portion of the mobile radioactive material is mixed with a weighting material to produce a fluid or a slurry sufficiently dense to cause additional vertical downward propagation of the man-made vertical-oriented gravity fracture. 4. A system according to claim 1, further comprising a layer of porous material located at the bottom end of the borehole. 5. A system according to claim 1, further comprising artificial lift pumping means located at the bottom end of the borehole.
claims
1. A phase contrast electron microscope comprising:an objective lens defining a back focal plane;a telescope system;said objective lens forming a component of said telescope system;said telescope system being operated to generate an image of said back focal plane in a diffraction intermediate image plane;a phase plate arranged in said diffraction intermediate image plane; and,a further lens having a principal plane disposed in said diffraction intermediate image plane. 2. The phase contrast electron microscope of claim 1, further comprising:an electron source; and,a condenser system having electron lenses for forming an electron beam from the electrons emanating from said electron source. 3. The phase contrast electron microscope of claim 2, wherein said condenser system illuminates an object plane of said objective lens. 4. The phase contrast electron microscope of claim 1, wherein said objective lens defines an entrance image plane and images said entrance image plane into an intermediate image plane; said further lens images said intermediate image plane into a second intermediate image plane; and, said phase contrast electron microscope further comprises a projective system downstream of said second intermediate image plane and said projective system is operable to image said second intermediate image plane magnified into a final image plane.
051503913
summary
FIELD OF THE INVENTION AND RELATED ART This invention relates to an exposure apparatus and, more particularly, to an exposure apparatus for transferring and printing an image of an original, such as a mask, onto a workpiece such as a semiconductor wafer, with high precision. With recent increasing degree of integration of semiconductor integrated circuit, in an exposure apparatus (aligner) for manufacture of the same, further enhancement of transfer precision is required. As an example, for an integrated circuit of 256 megabit DRAM, an exposure apparatus capable of printing a pattern of a linewidth of 0.25 micron order is necessary. As such super-fine pattern printing exposure apparatus, a proximity exposure apparatus which uses orbit radiation light (SOR X-rays) has been proposed. The orbit radiation light has a sheet beam shape, uniform in a horizontal direction. Thus, for exposure of a plane of certain area, many proposals have been made, such as follows: (1) Scan exposure method wherein a mask and a wafer are moved in a vertical direction whereby the surface is scanned with X-rays of sheet beam shape in a horizontal direction; (2) Scan mirror exposure method wherein X-rays of sheet beam shape are reflected by an oscillating mirror whereby a mask and a wafer are scanned in a vertical direction; and (3) Simultaneous exposure method wherein X-rays of sheet beam shape in a horizontal direction are diverged in a vertical direction by an X-ray mirror having a reflection surface machined into a convex shape, whereby an exposure region as a whole is irradiated simultaneously. The inventors of the subject application have cooperated to devise such a simultaneous exposure type X-ray exposure apparatus, which is disclosed in Japanese Laid-Open Patent Application No. 243519/1989. An X-ray exposure apparatus involves the following problems. Ultraviolet rays can be blocked substantially completely by a ultraviolet-ray reflective thin film such as a chromium film. For this reason, in conventional exposure apparatuses that use ultraviolet rays or the like as an exposure light, only by covering a region on the mask surface outside the exposure region with a patterning material such as chromium in a similar manner as the blocking portion of a pattern formed in the exposure region, it is possible to prevent exposure of a non-desired region on a wafer. In an X-ray exposure apparatus, however, an absorptive material such as gold, chromium or the like used as an X-ray blocking portion of a mask pattern in the exposure region can not have a sufficient thickness in the case of a linewidth of 0.25 micron, and for this reason a small amount of X-rays are transmitted therethrough. In practical examples, the transmission factor of this portion reaches several percentages. As a result, only by covering a region on the mask surface outside the exposure region with a patterning material such as gold, chromium or the like in the same manner as the X-ray blocking portion of the mask pattern in the exposure region, the protection is insufficient and such portion of the wafer, not desired to be exposed, is exposed with the X-rays. For example, it is now assumed that, by using a mask such as shown in FIG. 1B, different portions (show areas) S0-S8 of a wafer such as shown in FIG. 1A are going to be exposed. The mask shown in FIG. 1B has an exposure region MC in which a circuit pattern (not shown) is formed. Denoted at MM is a pattern, outside the exposure region, covering this portion with an absorptive material such as gold, chromium or the like having a similar thickness as of an X-ray blocking portion of the circuit pattern MC region. Inside a broken line MA corresponds to a region to be irradiated with X-rays. In this example, in the wafer shown in FIG. 1A, the shot area S0 is exposed to X-rays passing through the non-exposure region pattern MM of the mask shown in FIG. 1B, during exposures of the surrounding shot areas S1-S8. Inside area of each circle shown in FIG. 1A corresponds to the region to be exposed to the X-rays passed through the non-exposure region pattern MM, during exposure of each shot area. As an example, the central hatched portion of the shot area S0 in FIG. 1A is subjected to four undesirable exposures during the exposures of the surrounding shot areas S2, S4, S5 and S7. If the quantity of irradiation (exposure) in the exposure region becomes non-uniform such as above, the transfer precision is deteriorated. A solution for such a problem may be enlargement of the film thickness at the non-exposure region pattern MM of gold, chromium or the like, to be patterned on a mask. However, possible non-uniformness in thickness causes a stress which, in turn, produces distortion. As a result, the precision in size of the pattern in the exposure region is deteriorated. On the other hand, an exposure apparatus has been proposed wherein four sides are enclosed by blocking members, separate from a mask pattern, to define an aperture through which the exposure light is projected, whereby only the exposure region on a mask is irradiated. Each blocking member defining this aperture can have a sufficient thickness and, therefore, if such an aperture is applied to an X-ray exposure apparatus, it is possible to reduce the X-ray irradiation to the non-exposure region to a small degree that can be neglected. Also, an exposure apparatus with such an aperture is known wherein a light blocking member and an alignment optical system for detecting the relative position of a mask and a wafer are mounted on a dual-axis stage, by which they can be moved in a plane parallel to the mask or wafer surface and in X and Y directions. This structure makes it possible to set the blocking member and the alignment optical system at a desired position and, therefore, it can meet various sizes of a shot area (or semiconductor chip). Further, by commonly using a stage for the movement of the blocking member and for the movement of the alignment optical system, the structure of the device can be simplified and reduced in weight. SUMMARY OF THE INVENTION The present invention in an aspect pertains to an exposure apparatus with a rectangular aperture defined by enclosing four sides with light blocking members, and it is a primary object of the present invention to provide an exposure apparatus wherein there is no necessity of using a stage exclusive for the aperture and wherein each light blocking member is immovable in a lateral direction (parallel to a corresponding side of the aperture), such that the size of each light blocking member can be made small. These and other objects, features and advantages of the present invention will become more apparent upon a consideration of the following description of the preferred embodiments of the present invention taken in conjunction with the accompanying drawings.
047298693
claims
1. A modular radiation shielding system for use on the lower side of a nuclear steam generator tubesheet to protect inspection and repair workers in the primary head of the generator from radiation of the tubesheet and plurality of tubes it secures, said system comprising: a plurality of modular panels of lead shielding of like geometric shape, each having a transverse opening for alignment with a tube and being symmetrical about one axis and asymmetrical about a second axis perpendicular thereto so that if a previously plugged tube is encountered, a quick 180.degree. rotation aligns the transverse opening in register with another tube; means for protecting said modular panels of lead shielding of like geometric shape from damage by external forces and from producing unwanted lead deposits in the steam generator; said means for protecting said modular panels defining for each such panel, a sheath of material harder than lead having at least one opening transverse to the panel and in register with the transverse opening of the panel at a location at which the distance from the centers of the transverse openings in register and aligned with a tube in the tubesheet to the edge of the geometric shape places the edge in register with tubesheet material between a plurality of tubes; and, means extending through the transverse openings in register and aligned with a tube in the tubesheet for releasably fastening said modular panel to said tubesheet. a geometrically shaped planar body of lead having a transverse opening for alignment with a tube in the tubesheet and being symmetrical about one axis and asymmetrical about a second axis perpendicular thereto so that if a previously plugged tube is encountered, a quick 180.degree. rotation aligns the transverse opening in register with another tube; means for protecting said planar body of lead from damage by external forces and from producing unwanted lead deposits in the steam generator; and said means for protecting said lead body defining a sheath of material harder than lead having at least one opening transverse to the planar body in register with the transverse opening of the planar body at a location at which the distance from the centers of the transverse openings in register and aligned with a tube in the tubesheet to the edge of the geometrically shaped planar body places the edge in register with tubesheet material between a plurality of tubes. 2. The system of claim 1 in which the means for releasably fastening includes wedged balls held in position by a sleeve and extends into at least one of the plurality of tubes secured by the tubesheet. 3. The system of claim 2 in which the means for releasably fastening includes a lead portion for shielding. 4. The system of claim 1 in which the transverse opening of the panel is lined by a portion of the means for protecting. 5. A modular radiation shielding panel for use on the lower side of a nuclear steam generator tubesheet to protect inspection and repair workers in the primary head of the generator from radiation of the tubesheet and plurality of tubes it secures, said panel comprising: 6. The panel of claim 5 in which the means for protecting is stainless steel.
049869574
abstract
Zirconium-based corrosion resistant alloys for use primarily as a cladding material for fuel rods in a boiling water nuclear reactor consist essentially of by weight percent about 0.5 to 2.0 percent thin, about 0.24 to 0.40 percent of a solute composed of copper, nickel and iron, wherein the copper is at least 0.05 percent, and the balance zirconium. Nuclear fuel elements for use in the core of a nuclear reactor have improved corrosion resistant cladding made from these zirconium alloys or composite claddings have a surface layer of the corrosion resistant zirconium alloys metallurgically bonded to the outside surface of a Zircaloy alloy tube. The claddings may contain an inner barrier layer of moderate purity zirconium metallurigcally bonded on the inside surface of the cladding to procide protection from fission products and gaseous impurities generated by the enclosed nuclear fuel.
043119123
abstract
A neutron accelerator tube having a replenisher section for supplying accelerator gas, in ionization section adjacent the replenisher section adapted to receive the accelerator gas, and a target section adjacent the ionization section. The target section includes a chamber having a tritium target therein. A cathode member is interposed between the ionization section and the target chamber and has a recessed convergent surface exposed to the target chamber. An aperture in the cathode member at the vortex of this recessed surface provides for the extraction of ionized accelerator gas from the ionization section into the target chamber. An extraction electrode is also located in the target chamber between the target and the cathode and has a divergent projecting surface facing the recessed cathode surface. This electrode has an aperture at the apex of the projecting surface through which ionized accelerator gas may may be directed at the target.
abstract
The laser welding apparatus includes a welding head and a welding head scanning apparatus. A collimate lens installed on a head body of the welding head is arranged opposite to an end face of optical fibers. The welding head includes only the collimate lens as a lens and the length is shortened. A laser generated by a laser oscillator is introduced into the optical fiber, enters the collimate lens, and then is converted to a parallel beam by the collimate lens. The laser of a parallel beam is irradiated on a surface a welding portion of the welding object through a laser path and the surface of the welding portion is melted. Metallic powder is jetted from powder feed paths formed in a head body to the melted portion and build-up welding is performed on the surface of the welding portion.
abstract
Left and right sides of the mesh sandwiching the placing region therebetween are set as left and right to-be-fixed portions to be fixed to sample holder separation portions respectively to be moved in a stretch direction. A slit for dividing use is formed from a portion of the periphery of the mesh disposed between the left and right to-be-fixed portions thereof toward the rubber slice-placing position of the mesh in a direction orthogonal to the stretch direction of the rubber slice or a direction inclined thereto. When the to-be-fixed portions are moved in a separation direction by moving the sample holder separation portions, the mesh is divided into left and right parts by the slit for dividing use so that the rubber slice fixed to the left and right sides of the mesh is stretched.
claims
1. Beam allocation apparatus for medical particle accelerators, the particle accelerator having at least one acceleration region, a transport path and deflection components, by way of which a plurality of treatment rooms, each having a control room, are supplied with a particle beam comprising ion packets,wherein the beam allocation apparatus has an arbitration unit having switching logic, monitoring unit and sequence control, which is in electrical communication, by way of signal lines, with a spill abort system which has at least two rapid spill abort elements in the acceleration region and/or in the beam guidance common to all the irradiation positions, the beam allocation apparatus providing direct access from the control room of the irradiation-active treatment room for aborting the particle beam within micro-seconds in the event of danger. 2. Beam allocation apparatus according to claim 1, wherein the spill abort system has a spill abort magnet. 3. Beam allocation apparatus according to claim 1, wherein the beam allocation apparatus has access to an exciter. 4. Beam allocation apparatus according to claim 1, wherein each control room of a treatment room and/or of a quality assurance room, and also of the particle accelerator, has a request signal line to the switching logic of the arbitration unit for requesting transfer of beam sovereignty to the control room together with access entitlement to the exciter and/or the spill abort magnet of the acceleration region. 5. Beam allocation apparatus according to claim 1, wherein each control room of a treatment room and/or of a quality assurance room, and also of the particle accelerator, has a grant signal line to the switching logic of the arbitration unit for confirming transfer of access entitlement to the exciter and/or the spill abort magnet of the acceleration region for the control room during a phase of active irradiation. 6. Beam allocation apparatus according to claim 1, wherein each control room of a treatment room andlor of a quality assurance room has a clear signal line to the switching logic of the arbitration unit for confirming a completed irradiation abort and for deleting an access reservation to the exciter and/or the spill abort magnet of the acceleration region for the control room. 7. Beam allocation apparatus according to claim 1, wherein each control room of a treatment room and/or of a quality assurance room has an interlock line to the switching logic of the arbitration unit for aborting irradiation by means of direct access from the control room to the exciter and/or the spill abort magnet of the acceleration region. 8. Beam allocation apparatus according to claim 1, wherein each control room of a treatment room and/or of a quality assurance room has a spill pause line to the switching logic of the arbitration unit for aborting irradiation by means of direct access from the control room to the exciter and/or the spill abort magnet whilst maintaining an access reservation. 9. Beam allocation apparatus according to claim 1, wherein the switching logic of the arbitration unit has in each case a request signal line, a grant signal line, a spill pause line, an interlock line and a clear signal line, of which the switching logic is in electrical communication with the components of the spill abort system. 10. Beam allocation apparatus according to claim 1, wherein the monitoring unit of the arbitration unit receives signals, by way of input signal connections, from the switching logic and the sequence control and is connected, by way of output signal connections, to the spill abort system of the acceleration region. 11. Beam allocation apparatus according to claim 1, wherein a scheduler is connected to the switching logic of the arbitration unit and, by means of the sequence control of the arbitration unit, controls the working through of a queue of irradiation requests from the control rooms, which is produced in the scheduler. 12. Beam allocation apparatus according to claim 1, wherein the exciter is a high-frequency resonance component for ion packets in the coupling-in region of the accelerator and initiates a beam abort in microseconds as a result of variation or mismatching of the resonance tuning. 13. Beam allocation apparatus according to claim 1, wherein the spill abort magnet is a beam guidance magnet in the coupling-out region of the acceleration region. 14. Beam allocation apparatus according to claim 1, wherein the beam allocation apparatus has a control and management means for allocation of a particle beam of an irradiation system having an ion writing beam of an ion beam scanning apparatus for a target volume. 15. Beam allocation apparatus for medical particle accelerators, the particle accelerator having at least one acceleration region , a transport path and deflection components, by way of which a plurality of treatment rooms, each having a control room, are supplied with a particle beam comprising ion packets, wherein the beam allocation apparatus has an arbitration unit having switching logic, monitoring unit and sequence control, which is in electrical communication, by way of signal lines, with a spill abort system which has at least two rapid spill abort elements in the acceleration region and/or in the beam guidance common to all the irradiation positions, the control rooms being serially connected to the spill abort system by means of a potential-free interlock line, and the beam allocation apparatus providing direct access to the irradiation-active treatment room for aborting the particle beam within micro-seconds in the event of danger. 16. Beam allocation method for medical particle accelerators, the particle accelerator having at least one acceleration region, a transport path and deflection components, by way of which a plurality of treatment rooms, each having a control room, are sequentially supplied with a particle beam comprising ion packets, the beam allocation method comprising the following method steps:requesting reservation, by one of the control rooms, of particle beam sovereignty, especially reservation of direct access to a spill abort system of the acceleration region required by all the treatment rooms, for a planned irradiation duration;carrying out safety-relevant reservation of the spill abort system by an electronic switching logic of an arbitration unit;reporting of the completed reservation to each control room with permission for only control room to carry out beam aborting by means of the spill abort system;holding in a state of readiness, for the control rooms, a direct redundant signal path, which is independent of the arbitration unit,leading to the spill abort system of the acceleration system, the switching elements of the control rooms being connected in series,it being possible, in the event of simultaneous beam reservation requests from a plurality of control rooms, for the arbitration unit to decide which control room of an irradiation position can make the reservation and,it being possible, despite the loss of a reservation, for a spill abort to be initiated from each control room by way of the redundant signal path. 17. Beam allocation method according to claim 16, wherein beam sovereignty is transferred from an active control room to another control room only when the current control room actively relinquishes control over the particle beam. 18. Beam allocation method according to claim 16, wherein the spill abort system of the accelerator ring is activated for switching off the beam for a short period. 19. Beam allocation method according to claim 16, wherein a control room for the particle accelerator makes a reservation for the spill abort system once it has been ensured that either no beam is reaching the irradiation rooms or that no patient is present therein. 20. Beam allocation method according to claim 16, wherein the status of all reservations, access entitlements and acknowledgements are checked by a monitoring unit of the arbitration unit and, in the event of inconsistency, switching-off is carried out by way of the redundant signal path. 21. Beam allocation method according to claim 16, wherein, by means of a non-safety-relevant microprocessor system in the form of a scheduler, a sequence of reservation allocation of the spill abort system is reported to the arbitration unit and, when the microprocessor system is absent or when allocations are absent, the arbitration unit gives the control rooms, in turn, the opportunity to make a reservation.
abstract
Embodiments of a thermal divider insert for a dry storage, spent nuclear fuel cask are disclosed. The thermal divider insert enables safe storage of the hazardous nuclear material when one or more air inlets have been fully or partially blocked to an extent that insufficient air flows into the air inlets and through the cask for adequate cooling of the hazardous nuclear material. A concrete overpack contains a metal canister with the hazardous nuclear material. The overpack has an inside surface that is spaced from an outer surface of the canister to create an annular region that permits flow of air between the surfaces for cooling the canister. Air inlets near the bottom of the overpack communicate air from an outside into the annular region. Outlet vents near the top of the overpack communicate air from the annular region to the outside. The thermal divider insert extends through a respective outlet vent and into the annular region and establishes two separate and opposite air flows through the respective vent and the annular region when the overpack air inlets have been blocked.
summary
046719040
abstract
UO.sub.2 base powder exhibiting any specific surface and crystallite diameter properties is mixed with rare earth (SE) oxide-containing powder, the particles of which exhibit at least in one surface layer, a crystal lattice of the fluorite type, with the stoichiometric composition (SE.sub.0.5, U.sub.0.5) 0.sub.2.00 and/or form it in sintering; and is compacted to form compacts which are sintered in a gas atmosphere with reducing action at 1500.degree. C. to 1750.degree. C. to form high-density sintered bodies.
description
1. Field of the Invention The present invention relates to a fast reactor having a reactivity control reflector for controlling the reactivity of a reactor core by moving reflectors upward and downward, and more particularly to a fast reactor having a reactivity control reflector which is excellent in soundness of structure and has high reliability. This application claims priority from Japanese Patent Application No. 2007-131441, filed May 17, 2007 and Japanese Patent Application No. 2008-123952, filed May 9, 2008, which are incorporated herein by reference in their entirety. 2. Related Art Patent Document 1 (Japanese Unexamined Patent Application Publication No. 6-174882) discloses an example of conventional fast reactors, which is shown in FIG. 21. The conventional fast reactor 1 has a reactor core 3 which is accommodated in a reactor vessel 2 and in which a nuclear fuel assembly is loaded. The reactor core 3 is formed in an approximately columnar shape, and the outer periphery thereof is surrounded by a core barrel 4 for protecting the reactor core 3. A reflector 5 is installed outside of the core barrel 4. The reflector 5 is coupled with a reflector drive apparatus 6 through a drive shaft 7, and moved upward and downward around the reactor core 3 by driving the reflector drive apparatus 6 to thereby control the reactivity of the reactor core 3. A cylindrical partition wall 9 is installed outside of the reflector 5 to surround the reflector 5, and a flow path of a primary coolant 8 is formed between the partition wall 9 and the reactor vessel 2. The partition wall 9 is accommodated in the reactor vessel 2, and the flow path of the primary coolant 8 and a neutron shield body 10 are installed. The neutron shield body 10 is installed so as to surround the reactor core 3. The reactor core 3, the core barrel 4, the partition wall 9, and the neutron shield body 10 are all mounted on a reactor core support plate 11 so as to be supported thereby. An electromagnetic pump 12 is installed above the neutron shield body 10 to circulate the primary coolant 8, and an intermediate heat exchanger 13 is installed above the electromagnetic pump 12. The intermediate heat exchanger 13 performs heat exchange of the primary coolant 8 and a secondary coolant and heats the secondary coolant. The secondary coolant flows from an inlet nozzle 14 into the intermediate heat exchanger 13. After the secondary coolant is subjected to the heat exchange by the intermediate heat exchanger 13 and heated, it is supplied to a steam generator, not shown, from an outlet nozzle 15. Further, the reflector 5 located around the reactor core 3 of the reactor vessel is arranged as shown in FIG. 22 (refer to Patent Document 2: Japanese Patent Application Laid-Open Publication No. 6-51082). The reflector 5 for controlling the reactivity of the reactor core 3 is composed of a lower neutron reflecting portion 5a and an upper cavity portion 5b. The cavity portion 5b is installed on the neutron reflecting portion 5a and formed of a box member in which a vacuum or a gas 17, which have a neutron reflection capability inferior to that of the coolant 8, is enclosed. The cavity portion 5b can suppress a core reactivity lower than a state in which the outside of the core barrel 4 is covered with the primary coolant 8. It is intended to increase the enrichment of a nuclear fuel by reducing the core reactivity to thereby increase the reactivity life of the reactor core 3. In conventional fast reactors having the reactivity control reflector, the temperature of the primary coolant 8 is 300° to 550°, about 500° on the reactor core 3 side in the core barrel 4, and about 350° on the neutron shield body 10 side of the partition wall 9, and thus, a temperature difference of about 150° is set between the core barrel 4 and the partition wall 9. Further, when the primary coolant 8 is reversed on the bottom of the reactor vessel 2, moved upward, and passes through the reactor core 3, since it is heated from about 350° to 500°, the coolant temperature in the core barrel 4 has a temperature difference of about 150° in an axial direction. Accordingly, since a temperature difference is generated to the neutron reflecting portion 5a and the cavity portion 5b of the reflector 5 in the radius direction and the axial direction thereof, the reflector 5 is thermally deformed by thermal expansion difference due to the temperature difference. When the reactor is shutdown in an emergency due to the deformation of the reflector 5 and the reflector 5 is dropped, there is considered a possibility that the reflector 5 cannot be dropped within a predetermined drop time because it comes into contact with the core barrel 4 and the partition wall 9 in the space therebetween. In addition to the above, it is also considered that the reflector 5 may be damaged by thermal stress and creep caused by the temperature difference in the reflector 5. Further, when a box-shaped cavity portion is employed as the cavity portion 5b of the reactor 5, a problem arises in how the cavity portion 5b of the reflector 5 is to be arranged to prevent damage and breakage of the box member to prevent buckling due to thermal expansion difference caused by the temperature difference between the core barrel 4 and the partition wall 9. A main object of the present invention, which was made in consideration of the circumstances described above, is to provide a fast reactor having a reactivity control reflector which is excellent in soundness of structure of the reflector and has high reliability. Another object of the present invention is to provide a fast reactor having a reactivity control reflector which realizes a neutron reflecting portion excellent not only in the soundness of structure but also in maintenance property and productivity and has high reliability. Still another object of the present invention is to provide a fast reactor having the reactivity control reflector in which a cavity portion in the upper portion of a reflector is composed of a plurality of sealed vessels so that it is unlike to be deformed by thermal expansion and thermal stress, the soundness of overall structure is improved, breakage due to vibration and swing is effectively prevented, and reliability is improved. A further object of the present invention is to provide a fast reactor having a reactivity control reflector in which the soundness of structure of a neutron absorber in the upper portion of a reflector is improved as well as the reactivity control capability of the reflector is secured or increased so that the reactor has a high reliability and a high performance. To solve the above problems, a fast reactor having a reactivity control reflector according to the present invention includes a reactor vessel in which a coolant is accommodated, a reactor core which is installed in the reactor vessel and dipped with the coolant, and a reflector installed outside of the reactor core so as to be movable in a vertical direction for controlling the reactivity of the reactor core, wherein the reflector has a lower neutron reflecting portion having a neutron reflection capability higher than that of the coolant and an upper cavity portion located above the neutron reflector and having a neutron reflection capability lower than that of the coolant, and the cavity portion has a plurality of cylindrical hermetically-sealed vessels. To solve the above problems, a fast reactor having a reactivity control reflector according to the present invention includes a reactor vessel in which a coolant is accommodated, a reactor core which is installed in the reactor vessel and dipped with the coolant, and a reflector installed outside of the reactor core so as to be movable in a vertical direction for controlling the reactivity of the reactor core, wherein the reflector includes a neutron reflecting portion disposed on the lower portion thereof for reflecting the neutrons from the reactor core and a cavity portion located above the neutron reflecting portion and having a neutron reflection capability lower than that of the coolant and the cavity portion is composed of a plurality of box-shaped or cylindrical hermetically-sealed vessels held in a frame assembly. Further, to solve the above problems, a fast reactor having a reactivity control reflector according to the present invention includes a reactor vessel in which a coolant is accommodated, a reactor core which is installed in the reactor vessel and dipped with the coolant, and a reflector installed outside of the reactor core so as to be movable in a vertical direction for controlling the reactivity of the reactor core, wherein the reflector includes a lower neutron reflecting portion for reflecting the neutrons from the reactor core and an upper neutron absorbing portion located above the neutron absorbing portion and having a neutron reflection capability lower than that of the coolant, and the neutron reflecting portion is composed of a SiC material or a material mainly composed of Ni, and the neutron absorbing portion includes neutron absorbing metal such as hafnium, boron, tantalum for absorbing neutrons. In the present invention having the arrangement described above, the neutron reflector excellent in soundness of structure and productivity can be realized, and a highly reliable fast reactor having a reactivity control reflector can be provided. Further, the fast reactor having the reactivity control reflector according to the present invention can realize a neutron reflector which is unlike to be deformed by thermal expansion and thermal stress and can provide a reflector which is excellent not only in soundness of structure and maintenance property but also in productivity, less affects core reactivity even if a cavity portion is broken, and can keep the function of the cavity portion. Further, according to the fast reactor having the reactivity control reflector of the present invention, there can be provided a highly reliable fast reactor with a long life which can increase the reactivity control capability of a reflector. In addition, the soundness of structure can be improved by preventing the breakage of a neutron absorbing portion of a reflector, and an increase of the core reactivity can be also prevented. An embodiment of a fast reactor having a reactivity control reflector according to the present invention will be explained referring to the accompanying drawings. Note that the terms used in the following description such as “upper/lower”, “left/right”, and the like are used in an illustrated state or in an actually installed state. [First Embodiment] FIG. 1 is a longitudinal sectional view showing a first embodiment of a fast reactor having a reactivity control reflector according to the present invention. The fast reactor 20 can be continuously operated from ten and several years to tens of years, for example, about 30 years without replacing nuclear fuel and has a reactor output from 30 MW to hundred and several tens MW (10000 KW to 100000 KW in terms of electric output) and an overall height of 25 m to 35 m, for example, about 30 m. A reactor core height is, for example, about 2.5 m. A coolant is used at a temperature at which liquid sodium does not condense or at a temperature higher than the above temperature, that is, at 200° or higher with an allowance and preferably at 300° to 550°. A coolant temperature is set to 300° to 400°, for example, to 350° in a coolant flow path in a reactor vessel and to 500° to 550°, for example, to about 500° on a reactor core side. The fast reactor 20 having the reactivity control reflector shown in FIG. 1 is protected by being covered with a guard vessel 23 in which a bottomed cylindrical reactor vessel 21 is supported on a base 22. The top portion of the reactor vessel 21 is closed by a shield plug 24 constituting an upper plug. A reactor core 25 is accommodated in the reactor vessel 21, whereas the reactor vessel 21 is filled with liquid sodium as a primary coolant 26. A nuclear fuel assembly 27 is loaded in the reactor core 25 which is formed in a columnar shape in its entirety. The outside of the reactor core 25 is surrounded by a core barrel 28 for protection. A cylindrical partition wall 29 is installed outside of the core barrel 28 at an interval and supported on a reactor core support plate 30 together with the reactor core 25 and the core barrel 28. The reactor core support plate 30 is supported on a reactor core supporting bed 31 installed to the lower portion of a circumferential wall of the reactor vessel 21. The reactor core 25 is provided with an entrance module 23 in the lower portion thereof, whereas the upper portion of the fuel assembly 27 loaded to the reactor core 25 is supported by an upper support plate 34. Further, a reflector 35 formed in a sleeve-shape (cylindrical or annular shape) is interposed between the core barrel 28 and the partition wall 29. The reflector 35 is coupled with a reflector drive apparatus 37 through a drive shaft 36. The reflector drive apparatus 37 is installed on the upper plug constituting the shield plug 24, and the reflector 35 is moved around the periphery of the reactor core 25 in a vertical direction by driving the reflector drive apparatus 37 to thereby control the reactivity of the reactor core 25. Further, a reactor shut-off rod 38 is installed to a central portion of the reactor core 25 so that it is free to be inserted into and extracted from the reactor core 25 by driving the reactor shut-off rod drive apparatus 39. The reactor shut-off rod drive apparatus 39 is also installed on the upper plug together with the reflector drive apparatus 37. The reflector drive apparatus 37 and the reactor shut-off rod drive apparatus 39 are accommodated in an accommodation dome 40 so as to be protected. In contrast, flow paths of the primary coolant 26 are formed between the partition wall 29 and the reactor vessel 21 outside the partition wall 29, and a neutron shield member 41 is installed in the flow path. The neutron shield member 41 is installed on the reactor core support plate 30, and includes the upper portion supported by the upper support plate 34. The neutron shield member 41 shields neutrons radiated from the reactor core 25 passing through or bypassing the reflector 35. In the reactor vessel 21, an annular electromagnetic pump 45 is installed above the neutron shield member 41, and an intermediate heat exchanger 46 is installed above the electromagnetic pump 45. The electromagnetic pump 45 and the intermediate heat exchanger 46 are arranged, for example, as one unit or integrated with each other. The electromagnetic pump 45 circulates the primary coolant 26 in the reactor vessel 21 as shown by solid arrows so that it flows from an upper side to a lower side in the coolant flow path. Further, the primary coolant 26 and a secondary coolant are guided to a tube side and a shell side of the intermediate heat exchanger 46 so that they can be subjected to heat exchange. The secondary coolant flows in from an inlet nozzle 47 and reaches the intermediate heat exchanger 46. After the secondary coolant is heat-exchanged with the primary coolant 26 in the intermediate heat exchanger 46 so that the temperature thereof is increased, it is supplied from an outlet nozzle 48 to a steam generator, not shown, outside of the reactor vessel 21. The secondary coolant is also composed of liquid sodium likewise the primary coolant. In contrast, the reflector 35 has an independent segment structure in which the reflector 35 is divided into several to ten and several pieces of reflector segments and is formed in an approximately cylindrical shape (sleeve-shape) or in an annular shape in their entirety by coupling the reflector segments with each other. As shown in FIGS. 2 to 4, each reflector segment (hereinafter, simply referred to as reflector) has a neutron reflecting portion 35a as a lower portion or a lower region and a cavity portion 35b as an upper portion or an upper region. FIG. 2 is a perspective view showing the outside appearance of the reflector 35, FIG. 3 is a front elevational view of the reflector 35, and FIG. 4 is a side elevational view showing the reflector 35, respectively. The reflector 35 is coupled with the drive shaft 36 of the reflector drive apparatus 37 through a universal joint 50 and a joint 51 so as to be supported thereby, whereas the cavity portion 35b of the reflector 35 is coupled with the neutron reflecting portion 35a through a universal joint 52, and the neutron reflecting portion 35a, and the reflector 35 is arranged to have a multijoint structure so as to absorb thermal expansion, thermal deformation, and further vibration. Further, the neutron reflecting portion 35a of the reflector 35 is composed of a plurality of laminated metal plates 54. As shown in FIG. 5, each metal plate 54 is formed in a fan shape or a trapezoid shape in a plan view, whereas a plurality of coolant flow paths 55 are formed inside of each metal plate 54. The metal plates 54 are positioned by being engaged with each other at several positions through a concave portion and convex portion by positioning pin 56 so that the coolant flow paths 55 communicate with each other. Then, the metal plates 54 are coupled integrally with each other in their entirety using the metal plates 54 of the upper and lower ends of the neutron reflecting portion 35a using a coupling means having a coupling rod 57. Since the respective metal plates 54 are laminated, productivity can be improved, and further thermal expansion and thermal stress being generated can be suppressed to a low level by being dispersed and absorbed. The neutron reflecting portion 35a is composed of the plurality of laminated metal plates 54 or SiC plates, not shown, the respective metal plates 54 are composed of metal having a main component of chromium-molybdenum steel, nickel (Ni) steel, and nickel or inconel. Inconel is a corrosion- and heat-resistant alloy containing chromium and iron. The neutron reflecting portion 35a of the reflector 35 can cool the gamma heat, which is generated by the mutual action between the neutrons and the neutron reflecting portion 35a, by the primary coolant 26 through the plurality of coolant flow paths 55 formed to communicate with each other through the respective metal plates 54 or SiC plates so that the temperature of the neutron reflecting portion 35a can be reduced. Further, the coolant flow paths 55 formed to the respective laminated metal plates 54 or SiC plates are made more dense on the reactor core 25 (inner periphery) side than on the reactor vessel 20 (outer periphery) side. The number of the respective coolant flow paths 55 is larger, on the reactor core 25 side, than on reactor vessel 20 side so that the cool capability to the gamma heat which is more generated on the reactor core 25 side can be enhanced. With this operation, the overall temperature of the metal plates 54 of the neutron reflecting portion 35a can be made uniform so that deformation due to thermal expansion can be suppressed. On the other hand, the cavity portion 35b of the reflector 35 is arranged as shown in FIGS. 2 to 4 and has a plurality of cylindrical hermetically-sealed vessels 60. Now, a case, in which each hermetically-sealed vessel 60 is formed in a cylindrical shape, will be explained herein. The cylindrical hermetically-sealed vessels 60 are stacked in columns of two pieces by three pieces. End plates 63 are disposed to the upper and lower ends of the hermetically-sealed vessels 60 stacked in the columns of two pieces by three pieces. The upper and lower end plates (metal plates) 63 are coupled with each other at four corners and in a central portion by a coupling means 62 such as bolts, nuts, and the like using supporting rods 61, so that a frame assembly 66 is arranged. The hermetically-sealed vessels 60 are arranged side by side as shown in FIG. 6, and each three columns of the hermetically-sealed vessels 60 are stacked and accommodated in the basket-shaped frame assembly 66 composed of the coupling means 62 and the supporting rods 61. The frame assembly 66 surrounds the hermetically-sealed vessels 60 in the columns of 2 by 3 and holds them integrally. On the other hand, the cylindrical hermetically-sealed vessels 60 of the cavity portion 35b is made vacuum or enclosed with an inert gas such as He, Ar, which has a neutron reflection capability inferior to that of the coolant. Since the cylindrical hermetically-sealed vessel 60 is employed in the cavity portion 35b, the reflector can be made excellent in productivity and can be manufactured at a low cost, whereas it can be provided with a structure resistant to deformation and buckling due to thermal deformation and external pressure. Even if one of the hermetically-sealed vessels 60 is broken, a problem arises only in that only one vessel is filled with the coolant penetrated thereinto, and thus the soundness of the remaining hermetically-sealed vessels 60 can be kept and the function of the cavity portion can be maintained. Further, since the cylindrical hermetically-sealed vessels 60 are employed, the coolant flow paths can be sufficiently secured between the cavity portion 35b, the core barrel 28, and the partition wall 29, thereby enhancing the cooling capability to the gamma heat, which is generated more on the reactor core 25 side. The respective hermetically-sealed vessels 60 are stably accommodated inside of the supporting rods 61 of the frame assembly 66 disposed around the hermetically-sealed vessels 60 like a basket. The supporting rods 61 act as a guide for restraining the hermetically-sealed vessels 60 in a horizontal direction. In the case of the reflector 35 shown in FIG. 2, the hermetically-sealed vessels 60 in total of six pieces are stacked, for example, vertically in three pieces and horizontally in two pieces side by side inside of the frame assembly 66 in the supporting rods 61. Since the cavity portion 35b of the reflector 35 is divided into the plurality of independent hermetically-sealed vessels 60, a plurality of, for example, six independent hermetically-sealed vessels can be formed in the cavity portion 35b. As a result, when any one of the hermetically-sealed vessels is broken, the primary coolant 26 leaks into the hermetically-sealed, and the vacuum or the gas is lost from the inside thereof, the control of the reactor core is less affected thereby than a case in which only one hermetically-sealed vessel is formed. Here, a substance having a neutron reflection capability inferior to that of the primary coolant 26 may be enclosed in the hermetically-sealed vessels 60 in addition to the vacuum and the gas enclosed therein. The substance is metal such as boron, hafnium, tantalum, and the like or a compound thereof. Further, a thermal expansion absorption means 64 composed of an elastic member is attached to at least one of the upper and lower ends of the cavity portion 35b of the reflector 35 so as to absorb thermal expansion difference in the vertical direction caused between the framework of the supporting rods 61 and the hermetically-sealed vessels 60 and absorb the displacement of the hermetically-sealed vessels 60 in the vertical direction caused by thermal expansion of the gas therein. Although thermal expansion absorption means 64 is composed of a coil spring in an example shown in FIG. 3, it may be composed of a disc spring or a sheet spring. Since a small load is applied by thermal expansion absorption means 64, and the hanging load of the neutron reflecting portion 35a is applied to the supporting rods 61, a load applied to the hermetically-sealed vessels 60 is sufficient small. Further, since the hermetically-sealed vessels 60 can suppress a mechanical load excluding the external pressure from the primary coolant 26 to a low level, the soundness of the hermetically-sealed vessels 60 can be kept. Further, as shown in FIGS. 3 and 7, the joint 51 is disposed above the cavity portion 35b of the reflector 35 and connected to the drive shaft 36 hanged down from the reflector drive apparatus 37 (refer to FIG. 1) by a remote control. The joint 51 is connected to the cavity portion 35b by the universal joint 50. Further, the cavity portion 35b is also connected to the neutron reflecting portion 35a by the universal joint 52. As described above, warping, which is caused by thermal expansion due to the temperature difference of the reactor in a radius direction and the vertical direction, can be suppressed, and the reflector 35 can be freely and smoothly dropped within a predetermined drop time when it is shutdown in an emergency by connecting the respective components of the reflector 35 to each other by the universal joints 50, 52, thus performing a multijoint movement. Further, since the reflector has a structure such that pads 65 are disposed to the upper and lower ends of the neutron reflecting portion 35a of the reflector 35 and to the upper and lower ends of the cavity portion 35b to keep gaps therebetween, the gap between the reflector 35 and the core barrel 28 can be kept constant so that the reflector 35 is dropped smoothly within the predetermined drop time by increasing a degree of drop when the reactor is shutdown in an emergency. The pads 65 may be attached by welding or using screws. A gap G is formed between the neutron reflecting portion 35a and the cavity portion 35b so that the primary coolant 26, which flows in from below the reflector 35 into the flow paths 55 formed to the neutron reflecting portion 35a and cools the neutron reflecting portion 35a, flows out from the gap G. Further, the universal joint 52 is attached to a center portion of the gap G so that the neutron reflecting portion 35a and the cavity portion 35b are bent. FIGS. 8A and 8B are views showing the mounting structures of the upper and lower portions of the respective supporting rods 61 of the frame assembly 66 constituting the cavity portion 35b of the reflector 35. Screw threads are cut to the extreme ends of the supporting rods 61, and a coupling member 62 is arranged by screwing nuts to the screw portions of the supporting rods 61. The hanging load of the neutron reflecting portion 35a is arranged to act on the respective supporting rods 61. Further, a plurality of cylindrical hermetically-sealed vessels 60 are stacked in the cavity portion 35b of the reflector 35 in a column order. The hermetically-sealed vessels 60 of the cavity portion 35b are restrained in the vertical direction by thermal expansion absorption means 64 as the elastic member (refer to FIGS. 3 and 8A). The hermetically-sealed vessels 60 are restrained in a horizontal direction by forming concave portions and convex portions to the upper and lower ends of the hermetically-sealed vessels 60 and engaging them with each other. When the convex portions are formed to the joint surfaces of the hermetically-sealed vessels 60, the hermetically-sealed vessels 60 are restrained by abutting the convex portions against each other making use of an intermediate rib of the framework 67, to which openings are formed, and engaging the convex portions with the framework 67 as shown in FIG. 9A. On the other hand, when the convex portions are formed to the end surfaces a hermetically-sealed vessel 60 and the recessed portions are formed to the end surface of a hermetically-sealed vessel 60 confronting the above vessel 60, the hermetically-sealed vessels 60 are restrained by directly subjecting them to a concave/convex coupling 69 as shown in FIG. 9B. As described above, the connecting portions of the hermetically-sealed vessels 60 can be restrained by the engagement of the hermetically-sealed vessels 60 with the intermediate rib of the framework 67 or by the concave/convex coupling of the hermetically-sealed vessels 60 as shown in FIGS. 9A and 9B. The plurality of hermetically-sealed vessels 60 are restrained in the vertical direction and in the horizontal direction by coupling them with the cavities 35b of the reflector 35 and coupling them between the upper and lower end plates 63 by the coupling means 62 or by combining the support structure of the hermetically-sealed vessels 60, thermal expansion absorption means 64, and the engagement structure of the hermetically-sealed vessels 60, that is, by combining the supporting rods 61 of the frame assembly 66 disposed like the basket and the engagement structure of the hermetically-sealed vessels 60, thereby effectively preventing the hermetically-sealed vessels 60 from being damaged by excessive vibration and swing caused when the reactor is installed, operated, and shutdown in an emergency, and when earthquake and the like occur. In the fast reactor 20 having the reactivity control reflector shown in the embodiment, since the reflector 35 is composed of the plurality (several pieces to ten and several pieces) of the reflector segments coupled with each other in a circumferential direction so as to independently rise and fall to control the reactivity of the reactor core 25 as well as the neutron reflecting portion 35a of the reflector 35 is integrally composed of the plurality of laminated metal plate 54, and the thermal expansion and thermal stress generated to the respective components can be dispersed and absorbed. However, the cavity portion 35b of the reflector 35 is constructed by assembling the plurality of cylindrical hermetically-sealed vessels 60. As a result, there can be provided a highly reliable fast reactor which provides the neutron reflecting portions excellent in soundness of structure, maintenance property and productivity. [Modification of First Embodiment] FIG. 10 shows a modification of the first embodiment of the fast reactor having the reactivity control reflector. Since the overall arrangement of a fast reactor having a reactivity control reflector shown in the modification is not different from that shown in FIGS. 1 to 8, the same arrangements are denoted by the same reference numerals and the explanation thereof is omitted. In FIG. 10, a cavity portion 35b of a reflector 35 is composed of a plurality of cylindrical hermetically-sealed vessels 60 in total of, for example, six pieces, in which two pieces are arranged horizontally in rows and three pieces are stacked vertically in column, and the hermetically-sealed vessels 60 of the cavity portion 35b are arranged as an integral structure by being welded to partition wall 70. The respective hermetically-sealed vessels 60 of the cavity portion 35b may be arranged as the integral structure by welding each column thereof to the partition wall 70 or welding both the two columns to the partition wall 70. In a reflector 35 shown in the modification, the hermetically-sealed vessels 60 of the cavity portion 35b are divided into, for example, two vessels arranged horizontally and three vessels stacked vertically to reduce the influence relating to a reactor core control when the reactor core is broken. When an engagement structure is employed to the hermetically-sealed vessels 60 by forming concave/convex portions to the upper and lower ends of them, since the structural members of the upper and lower ends of the hermetically-sealed vessels 60 are overlapped with each other, reactivity may be increased and the function of the cavity portion 35b may be lowered. To cope with this problem, the hermetically-sealed vessels 60 are arranged as an integral structure by welding cylindrical members with the partition wall 70 in place of an engagement structure so that the hermetically-sealed vessels 60 are divided, and the divided portions are supported in a horizontal direction. Employment of the integral structure will further reduce the volume of a structure member more than the engagement structure using the concave/convex portions, which enhances the function of the cavity portion 35b by lowering the reactivity thereof. According to the reflector 35 of the modification, there can be provided a highly reliable fast reactor having a reactivity control reflector by realizing a neutron reflector excellent in soundness of structure and functional property. [Second Embodiment] FIG. 11 is sectional plan view in an enlarged scale of a reflector representing a second embodiment of the fast reactor having the reactivity control reflector. A fast reactor shown in the second embodiment is improved in a cavity portion 35b of a reflector 35. Since the other arrangement of the first reactor is the same as that of the fast reactor having the reactivity control reflector shown in FIGS. 1 to 8, the same arrangements are denoted by the same reference numerals and the explanation thereof is omitted. The cavity portion 35b of the reflector 35 is formed as an extending line shape above the region of a neutron reflecting portion 35a disposed below, and two cylindrical hermetically-sealed vessels 75 having a maximum diameter, which can be accommodated in the region of the cavity portion 35b surrounded by the extending line, are disposed side by side. The cavity portion 35b of the reflector 35 shown in FIG. 11 is arranged such that the cylindrical hermetically-sealed vessels 75 having the maximum diameter, which can be accommodated in the region of the cavity portion 35b, are disposed in a different manner. The two cylindrical hermetically-sealed vessels 75 are disposed side by side in the cavity portion 35b, and a frame assembly 66 is arranged by inserting supporting rods 61 into the four comers of upper and lower fan-shaped end plates 63 and coupling them with each other by a coupling means 62. The two cylindrical hermetically-sealed vessels 75 are accommodated in the frame assembly 66 and integrated with each other. The hermetically-sealed vessels 75 have a wall thickness of 3 mm to 5 mm. Since the cavity portion 35b is arranged by disposing the two hermetically-sealed vessels 75 side by side, the volume of a structural member of the hermetically-sealed vessels 75 can be reduced. The structural member of the hermetically-sealed vessels 75 is arranged so as to have a minimum distance in the diameter direction of a reactor, and the hermetically-sealed vessels 75 having the maximum size is accommodated in neutron reflecting portion 35a. Since the two cylindrical hermetically-sealed vessels 75 are disposed side by side in the cavity portion 35b of the reflector 35, there can be realized the neutron reflector which has the reduced number of the hermetically-sealed vessels 75, and the reflector can be easily manufactured because the hermetically-sealed vessels 75 are formed in the cylindrical shape, and there are provided an excellent soundness of structure and a cavity performance, thus providing a highly reliable fast reactor having a reactivity control reflector. [Modification of Second Embodiment] FIGS. 12A to 12C represent a modification of the second embodiment of the fast reactor having the reactivity control reflector. In FIGS. 12A to 12C, the overall arrangement of a fast reactor having a reactivity control reflector is not different from that of the first embodiment shown in FIGS. 1 to 8 except for a cavity portion 35b of a reflector 35 disposed to the fast reactor, in which arrangement of the hermetically-sealed vessels is changed according to design condition. Accordingly, the same arrangements are denoted by the same reference numeral and the explanation thereof is omitted. In FIG. 12A, a plurality of, for example, nine hermetically-sealed vessels 76, which have a medium diameter, smaller than the diameter of the hermetically-sealed vessels 75 disposed in FIG. 11, are disposed in the cavity portion 35b of the reflector 35 in a column state. The respective hermetically-sealed vessels 76 are selected so as to have the same diameter. Since the hermetically-sealed vessels 76 having the same diameter are manufactured, they can be manufactured easily with reduced cost. In FIG. 12B, cylindrical hermetically-sealed vessels 77, 78, 79, 80 having a plurality of different diameters are disposed so that the volume of the cavity portion 35b of the reflector 35 which is occupied by a vacuum or an inert gas becomes maximum. Further, in FIG. 12C, a lot of hermetically-sealed vessels 82 having a small diameter are disposed in the cavity portion 35b of the reflector 35 to minimize the influence of the hermetically-sealed vessels 82 to the core reactivity of the cavity portion 35b when the hermetically-sealed vessels 82 are broken. The hermetically-sealed vessels 82 may have a different diameter, for example, which may be gradually reduced or increased from the hermetically-sealed vessels located on an outer periphery side to the hermetically-sealed vessels located on an inner periphery side. In the cavities 35b of the reflector 35 shown in FIG. 12A to FIG. 12C, the respective hermetically-sealed vessels can be also manufactured easily by forming them in the cylindrical shape, and further a highly reliable fast reactor having the reactivity control reflector can be provided by realizing a neutron reflecting portion excellent in soundness of structure and performance. In the cavity portion 35b of the reflector 35 shown in FIGS. 12A to 12C, a plurality of cylindrical hermetically-sealed vessels 76 to 82, which are disposed in the frame assembly 66, are designed such that the volume of the solid portion occupied by the structure member of the respective cylindrical hermetically-sealed vessels 76 to 82 is 20% or less and preferably 15% or less of the entire volume of the cavity portion 35b. In other words, the respective cylindrical hermetically-sealed vessels 76 to 82 are designed such that the volume occupied by the space of them is 80 vol % of the entire volume of the cavity portion 35b. Further, a large diameter pipes having a wall thickness of 3 to 5 mm and small diameter pipes having a wall thickness of 1 to 2 mm are appropriately selected to the cylindrical hermetically-sealed vessels 75 to 82 disposed to the cavity portion 35b. The hermetically-sealed vessels 75 to 82 may be formed of ferrite steel having a small neutron absorption capability or a hafnium pipe having a neutron absorption capability which is not deteriorated for a long period. Since the neutron absorption capability of the hafnium pipe is less deteriorated because it has a lot of hafnium isotopes, it is suitable for a long life. [Third Embodiment] Next, a third embodiment of the fast reactor having the reactivity control reflector according to the present invention will be explained with reference to FIGS. 13 to 15B. Since the overall arrangement of a fast reactor 20A of the third embodiment is not different from that of the fast reactor 20 of the first embodiment except the structure of a reflector, the same arrangements are denoted by the same reference numerals and the explanation thereof is simplified. As shown in FIG. 13, the fast reactor 20A having the reactivity control reflector has a reactor vessel 21 in which a primary coolant 26 is accommodated, a reactor core 25 installed in the reactor vessel 21 and dipped into the primary coolant 26, and a reflector 85 which is vertically movably installed outside of the periphery of the reactor core 25 so as to control the reactivity of the reactor core 25 by adjusting the leakage of the neutrons generated from the reactor core 25 by moving in the vertical direction. As shown in FIGS. 14A and 14B, the reflector 85 has a neutron reflecting portion 85a having a neutron reflection capability higher than that of the primary coolant 26, and a cavity portion 85b which is located on the neutron reflecting portion 85a and has a neutron reflection capability lower than that of the primary coolant 26. FIG. 14A is a front elevational view of the reflector 85 as viewed from a front surface, FIG. 14B is a side view of the reflector 85 as viewed from a side surface. Since the neutron reflection capability of the neutron reflecting portion 85a is higher than that of the primary coolant 26, the reaction of the reactor core 25 can be activated. Specifically, the neutron reflecting portion 85a reflects the neutrons emitted by the nuclear reaction of the nuclear fuel in the reactor core 25 to the reactor core 25, so that the nuclear reaction can be continued in the reactor core 25. On the other hand, since the neutron reflection capability of the cavity portion 35b is lower than that of the primary coolant 26, the neutrons emitted by the nuclear reaction (nuclear fission) in the reactor core 25 further passes therethrough. Accordingly, the cavity portion 35b can suppress the nuclear reaction of the reactor core 25. As a result, the reaction life of the reactor core 25 can be increased. Further, as shown in FIGS. 14A and 14B, the neutron reflecting portion 85a is composed of a plurality of laminated metal plates 54. As shown in FIG. 15A, the metal plates 54 are provided with a plurality of coolant flow paths 55 in which the primary coolant 26 flows. Further, the number of the coolant flow paths 55 is made larger on the reactor vessel 21 side than that on the reactor core 25 side. FIG. 15A is a lateral sectional view of the metal plate 54 of the neutron reflecting portion 85a. As shown in FIG. 13, a core barrel 28 is installed outside of the periphery of the reactor core 25. The reactor vessel 21 is covered with a guard vessel 23. Further, a fuel assembly 27 is loaded in the reactor core 25. As shown in FIGS. 14A and 14B, a drive shaft 36 is coupled with the upper end of the cavity portion 85b through a universal joint 50 and a joint 51, and a reflector drive apparatus 37 is coupled with the upper end of the drive shaft 36. The neutron reflecting portion 85a is coupled with the cavity portion 85b through a universal joint 52. Further, as shown in FIG. 13, the periphery of the upper end of the drive shaft 36 is closed by an upper plug 24. On the other hand, as shown in FIG. 13, a partition wall 29 is installed outside of the reflector 85 so as to surround the reflector 85 and to constitute the inner walls of the flow paths of the primary coolant 26. The reactor vessel 21 installed outside of the partition wall 29 constitutes the outside walls of the flow paths of the primary coolant 26 with a gap between it and the partition wall 29. Neutron shield members 41 are disposed in the flow paths of the primary coolant 26 so as to surround the reactor core 25. The reactor core 25, the core barrel 28, the partition wall 29, and the neutron shield members 41 are all mounted on a reactor core support plate 30 so as to be supported thereby. The reactor core support plate 30 is supported on a reactor core support table 31 attached to the inner periphery of the reactor vessel 21. On the other hand, as shown in FIG. 13, a reactor shut-off rod drive apparatus 39 is disposed on the upper surface of the upper plug 24. The reactor shut-off rod drive apparatus 39 is coupled with a reactor shut-off rod 38 extending downward. The reactor shut-off rod drive apparatus 39 and the reflector drive apparatus 37 are covered with an accommodation dome 40. Further, an electromagnetic pump 45 for circulating the primary coolant 26 and an intermediate heat exchanger 46 for performing heat exchange between the primary coolant 26 and a secondary coolant, not shown, composed of liquid sodium are disposed in the reactor vessel 21. Further, after the secondary coolant flows into the intermediate heat exchanger 46 from an inlet nozzle 47 and is subjected to heat-exchange with the primary coolant 26 in the intermediate heat exchanger 46 to increase the temperature thereof, the secondary coolant floe is guided from an outlet nozzle 48 to the outside of the reactor vessel 21. As shown in FIGS. 14A, 14B, and 15B, the respective metal plates 54 are positioned by a plurality of positioning pins 56 so that the coolant flow paths 55 pass therethrough. Further, all the metal plates are coupled with each other by a coupling rod 57 using the metal plates 54 of the upper and lower ends of the neutron reflecting portion 85a. thus, as described above, productivity can be improved, and the expansion due to thermal stress at a normal temperature, which is generated in the respective metal plates 54, can be also suppressed to a low level by laminating the metal plates 54. On the other hand, as shown in FIGS. 15A and 16, the cavity portion 85b of the reflector 85 has a frame assembly 66 composed of beams and plates and a plurality of box-shaped hermetically-sealed vessels 88 held in the frame assembly 66. A gas such as an inert gas and the like, which has a neutron reflection capability inferior to that of the primary coolant 26, may be enclosed in the hermetically-sealed vessels 88, and a vacuum condition may be held therein. Note that, in FIGS. 14A and 14B, the hermetically-sealed vessels 88 in total of ten pieces are constructed by arranging two pieces horizontally and stacking five pieces vertically inside of the frame assembly 66 and held therein. FIG. 16 is a perspective view of the hermetically-sealed vessel 88, and FIG. 15A is a lateral sectional view of the cavity portion 85b. The hermetically-sealed vessel 88 may be enclosed with metal such as boron, hafnium, and tantalum, and a compound thereof, which has a neutron reflection capability inferior to that of the primary coolant 26 in addition to that it is enclosed with the gas having the neutron reflection capability inferior to that of the primary coolant 26. Further, as shown in FIGS. 14A and 14B, an elastic member as a thermal expansion absorption means 89 is interposed between the upper end of the hermetically-sealed vessels 88 disposed to the uppermost portion of the frame assembly 66 and an upper end plate 90 of the frame assembly 66. A coil spring, a disc spring, a sheet spring and the like are used as the elastic member of thermal expansion absorption means 89. Since thermal expansion absorption means 89 elastically restrains the laminated hermetically-sealed vessels 88 in a vertical direction and absorbs thermal expansion and thermal deformation, a load applied from thermal expansion absorption means 89 to the hermetically-sealed vessels 88 is small. Further, since the load for hanging the neutron reflecting portion 85a of the reflector 85 acts on a framework 87 of the frame assembly 66, a load acting on the hermetically-sealed vessels 88 is sufficient small. As a result, a mechanical load except the external pressure from the primary coolant 26 applied to the hermetically-sealed vessels 88 can be suppressed to a low level, so that the soundness of the hermetically-sealed vessels 88 can be kept. Incidentally, in the mode explained in FIGS. 14A and 14B, thermal expansion absorption means 89 is interposed between the upper end of the hermetically-sealed vessels 88 disposed to the uppermost portion of the framework 87 and the end plate 90 of the framework 87. However, the present invention is not limited thereto, and thermal expansion absorption means 89 may be interposed between the lower end of the hermetically-sealed vessels 88 disposed to the lowermost portion of the framework 87 and the lower end of the framework 87. A gap G is formed between the neutron reflecting portion 85a of the reflector 85 and the cavity portion 85b thereof. Accordingly, after the primary coolant 26 flows from the lower portion of the neutron reflecting portion 55a of the reflector 85 into the coolant flow paths 55 of the neutron reflecting portion 85a, the primary coolant flows out from the gap G to the outside of the neutron reflecting portion 85a. Further, the universal joint 51 is mounted to a center portion of the gap G. Incidentally, the neutron reflecting portion 85a of the reflector 85 has a function for controlling the reactivity of the reactor core 25 by preventing the leakage of the neutrons from the reactor core 25. However, when the neutron reflecting portion 85a has a lot of the coolant flow paths and the substantial volume of the neutron reflecting portion 85a excluding the coolant flow paths is made smaller than 80% of the entire volume occupied by the neutron reflecting portion 85a, the leakage of the neutrons cannot be sufficiently prevented. Accordingly, it is preferable that the volume of the neutron reflecting portion 85a excluding the coolant flow paths is from 80% to 95% of the entire volume occupied by the neutron reflecting portion 85a. On the contrary, it is preferable that the volume of the coolant flow paths of the neutron reflecting portion 85 is 20 vol % or less. Further, since the cavity portion 85b of the reflector 85 has a neutron reflection capability lower than that of the primary coolant 26, it can suppresses the reactivity of the reactor core 25 more than a case in which the reactor core 25 is covered with the primary coolant 26. However, when the volume of a construction member constituting the cavity portion 85b is made larger than 10% of the entire volume occupied by the cavity portion 85b, the neutron reaction capability thereof is increased, failing to exhibit a sufficient function. Accordingly, it is preferable that the volume of the construction member constituting the cavity portion 85b is 10 vol. % or less of the volume occupied by the cavity portion 85b. Next, an operation of the embodiment of the arrangement mentioned above will be described. When the electromagnetic pump 45 is driven by operating the fast reactor 20A, the primary coolant 26 is circulated in the reactor vessel 21 by the driving force of the pump. The primary coolant 26 falls in the reactor vessel 21 by driving the electromagnetic pump 45, flows into the reactor core 25 after it is reversed on the bottom of the reactor vessel 21, and rises in the reactor core 25. While the primary coolant 26 rises in the reactor core 25, the primary coolant 26 absorbs the heat generated by the nuclear reaction of the fuel assembly 27 in the reactor core 25 and heated. At this time, the reflector 85 is driven from an initial fallen position by the reflector drive apparatus 37 and the leakage of the neutrons generated from the reactor core 25 is adjusted by the reflector 85. Thus, the reactivity of the reactor core 25 is controlled (refer to FIG. 13). In the neutron reflecting portion 85a, gamma heat is generated by the mutual action thereof with the neutrons generated from the reactor core 25. Since the neutron reflecting portion 85a of the reflector 85 is constructed by laminating the plurality of metal plates 54, it can disperse thermal expansion and thermal stress generated to the respective metal plates 54 so that the stress of the neutron reflecting portion 85a can be suppressed to a low level in its entirety. Further, as shown in FIGS. 14A and 14B, since the drive shaft 36 is connected to the cavity portion 85b through the universal joint 50 and the cavity portion 85b is connected to the neutron reflecting portion 85a through the universal joint 52, the multijoint operation can be performed. Accordingly, warping, which is caused by thermal expansion due to the temperature difference of the reactor in a radius direction and in the vertical direction, can be suppressed, and accordingly, the neutron reflecting portion 85a and the cavity portion 85b can be more effectively prevented from being deformed. Furthermore, as shown in FIGS. 14A and 14B, the elastic member as thermal expansion absorption means 89 is interposed between the upper end of the hermetically-sealed vessels 88 disposed to the uppermost portion of the frame assembly 66 of the cavity portion 85b and the upper end plate 90 of the frame assembly 66. Accordingly, the thermal expansion absorption means 89 can absorb the thermal expansion difference in the vertical direction caused between the frame assembly 66 and the hermetically-sealed vessels 88 and the displacement of the hermetically-sealed vessels 88 in the vertical direction caused by thermal expansion of the gas therein. Next, the primary coolant 26 heated in the reactor core 25 rises in the partition wall 29 and reaches the intermediate heat exchanger 46 (refer to FIG. 1). In the intermediate heat exchanger 46, the primary coolant 26 is heat-exchanged with the secondary coolant, and the temperature thereof is reduced by heating the secondary coolant. Subsequently, the primary coolant 26 is guided by the electromagnetic pump 45 and ejected therefrom downward. The primary coolant ejected from the electromagnetic pump 45 falls in a flow path formed between the reactor vessel 21 and the partition wall 29, is reversed on the lower portion of the reactor vessel 21, and then guided to the reactor core 25 again. The gamma heat, which is generated by the mutual action between the neutrons and the neutron reflecting portion 85a, is cooled by the primary coolant 26, and then, the temperature of the material of the neutron reflecting portion 85a can be reduced. As a result, the neutron reflecting portion 85a can be prevented from being deformed by the thermal expansion difference. Further, in FIG. 15B, the number of the coolant flow paths 55 of the metal plates 54 is made larger on the reactor core 25 side than on the reactor vessel 21 side. Accordingly, a capability for cooling the gamma heat generated in a large amount on the reactor core 25 side can be enhanced, and accordingly, the deformation of the metal plates 54 caused by thermal expansion difference can be suppressed by making the temperature of the overall metal plates 54 uniform. Next, the primary coolant 26 is heat-exchanged with the secondary coolant, not shown, in the intermediate heat exchanger 45 and cooled (refer to FIG. 1). As described above, the secondary coolant subjected to the heat exchanging is supplied from the outlet nozzle 48 to a not shown steam generator, and steam is generated therein for driving a turbine. Incidentally, as shown in FIGS. 14A and 14B, the neutron reflecting portion 85a of the reflector 85 is composed of the plurality of laminated metal plates 54, and the respective metal plates 54 are coupled with each other by the positioning pins 56 and the coupling rods 57. Since the number the metal plates 54 can be appropriately adjusted according an environment in which the neutron reflecting portion 85a is used, the excellent producing property can be realized by this arrangement. Further, since the drive shaft 36 is connected to the cavity portion 85b of the reflector 85 through the universal joint 50, and the cavity portion 85b is connected to the neutron reflecting portion 85a through the universal joint 52, the multijoint operation is performed. Accordingly, when the fast reactor 20A is shutdown in an emergency, even if the reflector 85 comes into contact with the core barrel 28 and the partition wall 29, the neutron reflecting portion 85a and the cavity portion 85b are free to be inclined by the universal joints 50, 52, thereby enhancing the follow-up moving property. As a result, the reflector 85 can be dropped within a predetermined time. Furthermore, as shown in FIGS. 14A and 14B, the cavity portion 85b includes a plurality of independent hermetically-sealed vessels 88. Accordingly, even if any one of the hermetically-sealed vessels 88 is broken, the primary coolant 26 leaks into the broken hermetically-sealed vessel 88, and the hermetically-sealed vessels 88 cannot suppress the neutron reflection capability to a low level, the influence of the accident on the control of the reaction of the reactor core 25 can be minimized. Further, in third embodiment, although an example in which the vacuum or the gas such as an inert gas is enclosed in the hermetically-sealed vessels 88, a substance having a neutron reflection capability inferior to that of the coolant may be accommodated in the hermetically-sealed vessels 88. The substance having the inferior neutron reflection capability may be a metal such as boron, hafnium, tantalum, and the like and a compound thereof. Furthermore, the frame assembly 66 of the cavity portion 85b must have sufficient strength to hold the hermetically-sealed vessels 88. Since the frame assembly 66 of the cavity portion 85b is located in the vicinity of the reactor core 25, it is swelled or the toughness of the material thereof is deteriorated by radiation. Accordingly, chromium-molybdenum steel, in particular, 9Cr-1 Mo steel, 9Cr-1Mo-V steel as improved 9Cr-1Mo steel and the like, which are excellent in strength at high temperature and radiation resistance, are used as the material of the frame assembly 66. Further, although the frame assembly 66 is manufactured by welding, when the framework 87 of the frame assembly 66 is manufactured by welding as described, it is not denied that the strength of the welding framework 87 is lowered and the framework 87 is broken because the chromium-molybdenum steel is liable to be cracked in welding. Thus, the respective frameworks 87 may be arranged as a unit using bolts and the like in place of welding to thereby manufacture a frame assembly unit. Furthermore, since the respective frame assembly units are coupled with each other using bolts and the like, the frameworks 87 can be easily disassembled, inspected, and replaced. [Fourth Embodiment] FIGS. 17 to 18B show a fourth embodiment of the fast reactor having the reactivity control reflector according to the present invention. Since a fast reactor 20B of this embodiment has a feature in the structure of a reflector provided with the reactor vessel, and the other arrangement is not substantially different from that of the fast reactor 20 of the first embodiment, the same arrangements are denoted by the same reference numerals and the explanation of the fast reactor 20B is omitted or simplified. FIG. 17 is a longitudinal sectional view of the fast reactor 20B having a reactivity control reflector, FIGS. 18A and 18B show the reflector 95 provided for the fast reactor 20 of FIG. 17B, FIG. 17A is a front elevational view, and FIG. 17B is a side elevational view. In the fast reactor 20B having the reactivity control reflector shown in FIG. 17, a reactor core 25 is accommodated in a reactor vessel 21 which is filled with liquid sodium as a primary coolant. The reactor core 25 has a nuclear fuel assembly 27 disposed therein and formed in a column shape in its entirety. The outside of the reactor core 25 is surrounded by a core barrel 28 for protection. A columnar partition wall 29 is installed outside of the core barrel 28. The partition wall 29, the reactor core 25 and the core barrel 28 are supported on a reactor core support plate 30. The reflector 95 formed in a cylindrical or annular shape in its entirety is installed between the partition wall 29 and the core barrel 28. Further, coolant flow paths of a primary coolant 26 is annularly formed between the partition wall 29 and the reactor vessel 21, and neutron shield bodies 41 supported by the reactor core support plate 30 are disposed in the coolant flow paths. The neutron shield bodies 41 shield the neutrons radiated from the reactor core 25 passing through or bypassing the reflector 95. Further, a guard vessel 23 is installed outside of the reactor vessel 21 for protecting the reactor vessel 2. In the reactor vessel 21, an electromagnetic pump 45 is installed above the neutron shield bodies 41, and an intermediate heat exchanger 46 is installed above the electromagnetic pump 45. The electromagnetic pump 45 circulates the primary coolant 26 in the reactor vessel 21 so as to flow from an upper side to a lower side in the coolant flow paths as shown by solid arrows. Further, the primary coolant and a secondary coolant flow on the tube side of the intermediate heat exchanger 46 and on the shell side thereof, respectively, so as to perform heat exchanging operation. The reflector 95 is driven by a reflector drive apparatus 37 through a drive shaft 36 so as to move in the axial direction of the reactor core 25, that is, in the vertical direction of the fast reactor 20B. As also shown in FIGS. 16 and 17, the drive shaft 36 is coupled with the reflector 95 through a joint 51 and a universal joint 50 and extends in the vertical direction of the reactor vessel 21 passing through an upper plug 36 for closing the upper portion thereof. Further, the reflector drive apparatus 37 is installed on an upper plug 36. The reflector adjusts the leakage of the neutrons from the reactor core 25 by moving in the vertical direction of the fast reactor 20B and controls the reactivity of the reactor core 25. That is, the reflector 95 is composed of a lower neutron reflecting portion 95a for reflecting the neutrons emitted from the reactor core 25 and an upper neutron absorbing portion 95b having a neutron reflection capability lower than that of the primary coolant. The neutron reflecting portion 95a reflects the neutrons emitted from the reactor core 25 and accelerates the combustion and breeding of the nuclear fuel in the reactor core 25. At the beginning of operation of the fast reactor 20B, the neutron reflecting portion 95a of the reflector 95 is located at a lower position with respect to the reactor core 25 and moves upward as an operation period passes so that a fresh fuel portion in the reactor core 25 is gradually combusted to thereby compensate the deterioration of the reactivity of the reactor core 25. When the fast reactor 20B is operated, the primary coolant 26 in the reactor vessel 21 takes out the heat generated through the nuclear fission of the fuel assembly 27 to the outside while cooling the reactor core 25. As shown by the solid arrows, the primary coolant 26 flows downward in the coolant flow paths by the electromagnetic pump 45, flows in the neutron shield bodies, and then reaches the bottom of the reactor vessel 21. The primary coolant 26 is reversed on the bottom of the reactor vessel 21, reaches the reactor core 25, rises in the reactor core 25 while increasing the temperature thereof, and then flows to the tube side of the intermediate heat exchanger 46. After the primary coolant is heat-exchanged with the secondary coolant in the intermediate heat exchanger 46, it is caused to fall again in the coolant flow paths by the electromagnetic pump 45. After the secondary coolant flows to the shell side of the intermediate heat exchanger 46 through an inlet nozzle 47 and heated by the primary coolant flowing on the tube side of the intermediate heat exchanger 46, it flows out to the outside from an outlet nozzles 48, and the heat thereof is converted into power utilized for a turbine and the like. In FIG. 16, a reactor shut-off rod 38 is driven by a reactor shut-off rod driving apparatus 39 installed on the upper plug 36. Incidentally, as shown in FIGS. 18A and 18B, the upper neutron absorbing portion 95b in the reflector 95 is composed of laminated hafnium plates 96 as neutron absorbing metal plates 96. Although any one kind of hafnium, boron, or tantalum may be preferably utilized as the neutron absorbing metal plates 96 for absorbing neutrons, hafnium is used in the present embodiment. As shown in FIG. 18B, a plurality of coolant flow paths 97 are formed to the hafnium plates 96, two through holes, for example, are formed thereto, and further positioning pins 98 are provided therefore. The respective hafnium plates 96 are positioned by the positioning pin 98 so that the coolant flow paths 97 communicate with each other. In this state, coupling rods 99 shown in FIGS. 18A and 18B are inserted into the through holes of a plurality of hafnium plates 91, and both the ends of the coupling rods 99 are fixed to the metal plates (end plates) 100 disposed to both the upper and lower ends of the neutron absorbing portion 95b so that the plurality of laminated hafnium plates 96 are coupled with each other. As described above, since the neutron absorbing portion 95b is constructed by laminating the hafnium plates 96, the productivity of the neutron absorbing portion 95b is improved. In addition, the thermal expansion and thermal stress generated in the neutron absorbing portion 95b can be suppressed to a low level by dispersing and absorbing them to and by the hafnium plates 96. Further, the gamma heat, which is generated by the mutual action between the neutrons and the hafnium plates 96, is cooled by the primary coolant flowing in the plurality of coolant flow paths 97 of the respective hafnium plates 96 so as to lower the temperature of the neutron absorbing portion 95b. As shown in FIG. 19, the number of the plurality of coolant flow paths 97 formed to the hafnium plates 96 is larger on the reactor core 25 side than on the reactor vessel 21 side. With this arrangement, the cooling capability of the coolant to the gamma heat, which is generated in a large amount on the reactor core 25 side of the respective hafnium plates 96, can be enhanced, and accordingly, the overall temperature of the hafnium plates 96 can be made uniform. At the same time, the deformation of the hafnium plates 96, which is caused by the difference of thermal expansions thereof between the reactor core 25 side and the reactor vessel 21 side, can be suppressed. Moreover, since the neutron absorbing portion 95b of the reflector 95 is composed of the hafnium plates 96, the neutron absorbing portion 95b can be prevented from being broken, and the insertion (i.e., increase) of core reactivity, which is caused by replacing the neutron absorbing portion 95b with the primary coolant, can be avoided. However, since the hafnium plates 96 slightly reflects the neutrons, the difference of neutron reflection capabilities between the neutron reflecting portion 95a and the neutron absorbing portion 95b is reduced, thereby reducing the reactivity control capability of the reflector by about 30%. To cope with the above problem, in the present embodiment, since the lower neutron reflecting portion 95a of the reflector 95 is constructed using SiC (silicon carbide), which has a neutron reflection capability higher than that of ferrite stainless steel as well as can increase the reactivity of the reactor core 25 by moderating neutrons when they are reflected, to the neutron reflecting portion 95a, the reactivity control capability of the reflector 95 can be increased (about 30 to 40%). That is, as shown in FIGS. 18A, 18B, 20A, and 20B, the neutron reflecting portion 95a of the reflector 95 is constructed by laminating reflecting elements 101. The respective reflecting elements 101 are constructed by accommodating a lot of blocks 103 each composed of a SiC material in an accommodation box 102 composed of ferrite stainless steel. A plurality of through holes 105 are formed to the respective reflecting elements 101. Ferrite stainless steel coupling rods 109 are inserted into these through holes 105 so that the respective reflecting elements 101 are positioned. In the state, since both the ends of the coupling rods 109 are fixed to metal plates 108 disposed to both the upper and lower ends of the lower neutron reflecting portion 95a, the plurality of laminated reflecting elements 107 are coupled with each other and a coupling unit is formed. It is also preferable to compose the metal plates 108 of ferrite stainless steel. The accommodation box 102 of the respective reflecting elements 101 is arranged to such a structure that the primary coolant can flow thereinto and flow out therefrom as well as absorb the thermal deformation. That is, end portions 110a, 110b, which are coupled with each other, are formed to a side plate 110 of the accommodation box 102 in a U-shape and engaged with each other. The primary coolant can flow in and out from a gap between both the engaged end portions 110a and 110b. Further, the thermal deformation of the accommodation box 102 are absorbed by moving the side plate 110 in a direction that is parallel to the surface of the side plate 110 (direction of arrow “A” in FIG. 20C) in the state that both the ends 110a and 110b are engaged with each other. Further, the opening of the accommodation box 102 is closed by a press plate 112 (refer to part B of FIG. 20) In the fast reactor 20B of the present embodiment, since the neutron absorbing portion 95b of the reflector 95 is composed of the hafnium plates 96 for absorbing neutrons, soundness of structure can be improved by preventing the breakage thereof. As a result, since the neutron absorbing portion 95b is not replaced with the primary coolant by being broken to prevent insertion (increase) of the core reactivity, a high reliable fast reactor 20B can be realized. Further, since the lower neutron reflecting portion 95a of the reflector 95 is arranged such that the lot of blocks 103 composed of the SiC material are disposed in the accommodation box 102, the lower neutron reflecting portion 95a has a function for increasing the reactivity of the reactor core 25 by modulating neutrons in addition to the improvement of a function for reflecting the neutrons from the reactor core 25. As a result, even if the neutron absorbing portion 95b of the reflector 95 is composed of the hafnium plate and slightly reflects neutrons, the reactivity control capability of the reflector 95 can be increased because the difference of capabilities for controlling the reactivity of the reactor core 25 between the lower neutron reflecting portion 95a and the upper neutron absorbing portion 95b and the reactivity control capability of the reflector 25 is increased, thus realizing the fast reactor 20B having a high performance. The accommodation box 102 of the respective reflecting elements 101 in the lower neutron reflecting portion 95a of the reflector 95 is arranged to such a structure that it permits the primary coolant to flow therein and flow out therefrom as well as absorbs thermal deformation, and accordingly, the SiC blocks 103 in the accommodation box 102 can be well cooled by the primary coolant as well as can prevent the damage of the accommodation box 102 caused by thermal deformation. The accommodation box 102 for accommodating the SiC blocks 103 of the neutron reflecting portion 95a and the coupling rods 106 are composed of ferrite stainless steel. The ferrite stainless steel is resistant to radiation and is less swollen, thus preventing the deformation and breakage of the accommodation box 102 even if the reflector 95 is used for a long period. It is to be noted that although the fourth embodiment shows the example in which the reflecting elements 1011 of the lower neutron reflecting portion 95b of the reflector 95 use the SiC blocks 103, the blocks 103 in the neutron reflecting portion 95a may be composed of a Ni material or a Ni alloy material mainly containing Ni. In this case, the neutron reflection capability of the blocks 103 is higher than that of ferrite stainless steel likewise the SiC material, though they do not have a function for moderating reflected neutrons. Accordingly, even if the neutron absorbing portion 95b of the reflector 95 is composed of the hafnium plates 96 and slightly reflects neutrons, the difference of the neutron reflection capabilities between the neutron reflecting portion 95a and the neutron absorbing portion 95b can be set well. As a result, the reactivity control capability of the reflector 95 can be secured well and a fast reactor 20B having an excellent performance can be realized. In the fast reactor having the reactivity control reflector of the fourth embodiment, since the neutron reflecting portion of the reflector is composed of the material mainly composed the SiC material or Ni, the function for reflecting the neutrons from the reactor core cam be improved as well as the function for increasing the core reactivity by moderating fast neutrons resulting from a nuclear reaction. As a result, the reactivity control capability of the reflector can be increased to thereby realize a fast reactor having an excellent performance. Furthermore, since the upper neutron absorbing portion of the reflector is composed of the neutron absorbing metal for absorbing neutrons, soundness of structure can be improved by preventing the breakage of the neutron absorbing portion. As a result, even if the neutron absorbing portion is broken, since it is not replaced with the coolant and an increase of the core reactivity can be prevented, a highly reliable fast reactor with a long life can be provided. Furthermore, in the respective embodiments of the fast reactor having the reactivity control reflector according to the present invention, although it is described that the reflector as the neutron reflector excellent in soundness of structure is installed outside of the reactor core so as to free to rise and fall, in a modification, it may be possible to variously modify the axial length between the lower neutron reflecting portion of the reflector and the upper cavity portion or the upper neutron absorbing portion thereof according to a design condition.
summary
claims
1. A multiple zone plates precision alignment apparatus for hard x-ray focusing in a twenty-nanometer scale comprising:a zone plate alignment base frame;a plurality of zone plates;a plurality of zone plate holders, each said zone plate holder for mounting and aligning a respective zone plate for hard x-ray focusing;at least one respective positioning stage driving and positioning each said respective zone plate holder; each said respective positioning stage being mounted on said zone plate alignment base frame;a respective linkage component coupling each said respective positioning stage and said respective zone plate holder; andsaid zone plate alignment base frame, each said zone plate holder and each said linkage component being formed of a selected material for providing thermal expansion stability and positioning stability for the precision alignment apparatus. 2. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein the selected material forming said zone plate alignment base frame includes a nickel-iron alloy having a low coefficient of thermal expansion. 3. The multiple zone plates precision alignment apparatus as recited in claim 2 wherein said nickel-iron alloy includes invar. 4. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein the selected material forming each said zone plate holder includes synthetic diamond having a low coefficient of thermal expansion. 5. The multiple zone plates precision alignment apparatus as recited in claim 4 wherein said synthetic diamond includes chemical vapor deposition (CVD) diamond. 6. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein said synthetic diamond provides predefined stiffness for said zone plate holder. 7. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein the selected material forming each said linkage component includes a combination of selected materials to compensate the stages thermal deformation. 8. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein each said respective positioning stage includes a motorized linear stage including a piezoelectric transducer (PZT) or PZT-driven linear stage. 9. The multiple zone plates precision alignment apparatus as recited in claim 8 wherein said motorized linear stage includes a piezoelectric transducer (PZT) PZT-driven linear stage. 10. The multiple zone plates precision alignment apparatus as recited in claim 9 wherein said PZT-driven linear stage includes an ultrasonic piezo-motor with a linear optical encoder. 11. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein each said respective positioning stage includes three positioning stages to adjust position of said respective zone plate holder in X, Y, and Z directions. 12. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein each of said three positioning stages includes a motorized linear stage. 13. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein said zone plates include Fresnel zone plates (FZPs). 14. The multiple zone plates precision alignment apparatus as recited in claim 1 includes a symmetric base structure. 15. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein said symmetric base structure is formed of a nickel-iron alloy invar. 16. The multiple zone plates precision alignment apparatus as recited in claim 1 includes a non-symmetric base structure. 17. The multiple zone plates precision alignment apparatus as recited in claim 16 wherein said non-symmetric base structure is formed of a nickel-iron alloy invar. 18. The multiple zone plates precision alignment apparatus as recited in claim 1 wherein each said linkage component is formed of a selected single material or a selected combination of materials. 19. The multiple zone plates precision alignment apparatus as recited in claim 18 wherein said single material and said combination of materials forming each said linkage component includes an aluminum alloy, titanium, a copper alloy, a nickel-iron alloy invar, a stainless steel material, and a carbon steel material. 20. The multiple zone plates precision alignment apparatus as recited in claim 1 includes a respective pair of fasteners arranged for thermal expansion compensation respectively connecting said respective linkage component and said positioning stage, and said respective linkage component and zone plate holder including a spring loaded fastener providing a sliding connection and a second fastener without spring loading providing a tight connection.
description
This application is a National Stage application under 35 U.S.C. § 371 of International Application No. PCT/IB2017/051058, filed on Feb. 24, 2017, which claims the benefit of United Kingdom Application No. 1603473.8, filed on Feb. 29, 2016. The disclosures of the prior applications are incorporated by reference in their entirety. THIS invention relates to a tagged excavation element, and more particularly but not exclusively, to a tagged shroud or tooth of an excavation bucket. The invention also relates to a method of manufacturing a tagged excavation element, and to a method of detecting a tagged excavation element. Many forms of excavation apparatuses and machines are known in the mining and construction industries, and in most embodiments they typically comprise some sort of ground engaging implement that is secured to a displaceable chassis or structure. An excavating bucket or scoop is but one type of ground engaging implement frequently encountered in industry, and is in the form of a partially enclosed receptacle having an open side through which a medium to be excavated can enter and exit the enclosed receptacle. The open side typically terminates in a cutting edge, with a plurality of spaced apart teeth, suitable for engaging and disrupting hard material, extending from the cutting edge The exposed sections of the cutting edge between the spaced apart teeth are covered by shrouds, which avoids wear and tear of the cutting edge, and hence the bucket body. The teeth and shrouds are therefore replaceable components that protect the actual body of the bucket or scoop against wear, in so doing extending the life of the body of the bucket or scoop. The lifespan of the shrouds and teeth vary from application to application, and a lifespan of 8 to 12 weeks is relatively common. A problem frequently encountered in the mining environment, and in particular in open cast mining, is that the teeth and/or shrouds of excavator buckets or scoops break off during ore handling. The teeth and/or shrouds may then end up blocking or damaging a downstream crusher plant, with significant maintenance, cost and downtime implications. In addition, serious safety hazards accompany the removal of metal shrouds and teeth that are stuck in the crusher plant, as the stored mechanical energy can cause the shroud to shoot free and strike objects and persons in its path. The problem is exacerbated by the fact that the environments in which the teeth and shrouds operate are associated with low visibility due to the presence of dust and other visual impediments. In addition, due to the nature of the operation, the teeth and shrouds are covered by ore for extended periods, reducing the effectiveness of visual inspection of the teeth and shrouds. The loss of a shroud is even less visible, due to the shrouds not standing proud from the cutting edge of the excavating bucket or scoop. Increased operator awareness and vigilance is therefore not a sufficient solution to this problem. Several methods have been proposed to detect the loss of shovel teeth and shrouds, but existing methods have all failed to address the problem in a satisfactory manner. While the details differ, the common shortcoming is that the proposed methods are not robust enough to withstand the rigours of the earth-moving environment for as long as the shovel tips are in deployment (typically 8-12 weeks), or are not effective enough. In addition, detection equipment (for instance in RFID detection) cannot be placed in close enough proximity of the shrouds and teeth in order to be effective. Some solutions will furthermore generate a visual or audible cue when a tooth or shroud is lost, but it does not assist in locating the lost tooth or shroud because it merely indicates the loss of a tooth or shroud, without actually tagging said tooth or shroud. It is accordingly an object of the invention to provide a tagged excavation element that will, at least partially, alleviate the above disadvantages. It is a further object of the invention to provide a method and system for detecting a tagged excavation element. It is also an object of the invention to provide a method of manufacturing a tagged excavation element. According to the invention there is provided a tagged excavation element including: an excavation element body; and a tagging device securable to the excavation element body; characterized in that the tagging device includes a radioactive source. There is provided for the tagging device to be in the form of a sealed radioactive source. More particularly, the sealed radioactive source may comprise a radioactive material encapsulated in a sealed metal housing. The tagging device, and more particularly the sealed metal housing, is preferably locatable inside an aperture provided in the excavation element. There is further provided for the radioactive source to have a half-life of less than 150 days, preferably less than 120 days, more preferably less than 90 days. There is also provided for the radioactive source to have a half-life of more than 40 days, preferably more than 60 days, more preferably more than 80 days. In a preferred embodiment the radioactive source is a radioactive metal. In a preferred embodiment the radioactive source emits gamma radiation at an energy level in excess of 300 keV, preferably more than 600 keV, more preferably more than 850 keV. In a preferred embodiment the radioactive source emits gamma radiation at an energy level of less than 2000 keV, preferably less than 1700 keV, more preferably less than 1500 keV. The radioactive source may be selected from the group including Scandium (Sc), Tantalum (Ta), Terbium (Tb) and Antimony (Sb). In a preferred embodiment there is provided for the radioactive source to be a radioisotope of the element scandium (Sc), and more particularly to be the isotope Scandium 46 (46Sc). There is also provided for the radioactive source to be selected from the group of radioisotopes including Tantalum 182 (182Ta), Terbium 160 (160Tb) and Antimony 124 (124Sb). There is provided for the excavation element to be a shroud or a tooth of an excavation bucket. According to a further aspect of the invention there is provided a method of manufacturing a tagged excavation element, the method including the steps of: providing an excavation element; providing a radioactive source; and securing the radioactive source to the excavation element. There is provided for the tagging device to be in the form of a sealed radioactive source. More particularly, the sealed radioactive source may comprise a radioactive material encapsulated in a sealed metal housing. The tagging device is preferably locatable inside an aperture provided in the excavation element. There is further provided for the radioactive source to have a half-life of less than 150 days, preferably less than 120 days, more preferably less than 90 days. There is also provided for the radioactive source to have a half-life of more than 40 days, preferably more than 60 days, more preferably more than 80 days. In a preferred embodiment the radioactive source is a radioactive metal. In a preferred embodiment the radioactive source emits gamma radiation at an energy level in excess of 300 keV, preferably more than 600 keV, more preferably more than 850 keV. In a preferred embodiment the radioactive source emits gamma radiation at an energy level of less than 2000 keV, preferably less than 1700 keV, more preferably less than 1500 keV. The radioactive source may be selected from the group including Scandium (Sc), Tantalum (Ta), Terbium (Tb) and Antimony (Sb). In a preferred embodiment there is provided for the radioactive source to be a radioisotope of the element scandium (Sc), and more particularly to be the isotope Scandium 46 (46Sc). There is also provided for the radioactive source to be selected from the group of radioisotopes including Tantalum 182 (182Ta), Terbium 160 (166Tb) and Antimony 124 (124Sb). There is provided for the excavation element to be a shroud or a tooth of an excavation bucket. According to a still further aspect of the invention there is provided a method of detecting the displacement of an excavation element, the method including the steps of: providing an excavation element tagged with a radioactive source; providing a radiation detector; and detecting a change in radiation when the excavation element is displaced relative to the radiation detector. The radiation detector may be mounted on part of the structure to which the excavation bucket is secured, and the radiation detector may detect a reduction in radioactivity when the excavation element is displaced away from the excavation bucket. The structure may be the body of an excavation apparatus. There is provided for one or multiple radiation detectors to be provided on an excavation apparatus. The radiation detector may be mounted on a structure at one or more locations adjacent a route along which excavated material is displaced, and the radiation detector may detect an increase in radioactivity when the excavation element is displaced together with the excavated material. The structure may be a gantry past which the excavated material is displaced. The step of providing an excavation element tagged with a radioactive source may include the step of securing a sealed radioactive source to the excavation element. There is provided for all the excavation elements secured to the excavation bucket to be tagged with radioactive sources. According to a further aspect of the invention there is provided the use of a radioactive source in the detection of the displacement of an excavation element. The radioactive source may be selected from the group including Scandium (Sc), Tantalum (Ta), Terbium (Tb) and Antimony (Sb). In a preferred embodiment there is provided for the radioactive source to be a radioisotope of the element scandium (Sc), and more particularly to be the isotope Scandium 46 (46Sc). There is also provided for the radioactive source to be selected from the group of radioisotopes including Tantalum 182 (182Ta), Terbium 160 (160Tb) and Antimony 124 (124Sb). There is provided for the excavation element to be a shroud or a tooth of an excavation bucket. According to a further aspect of the invention there is provided a sealed radiation source for use in a tagged excavation element. Before any embodiments of the invention are explained in detail, it is to be understood that the invention is not limited in its application to the details of construction and the arrangement of components set forth in the following description or illustrated in the following drawings. The invention is capable of other embodiments and of being practiced or of being carried out in various ways. Also, it is to be understood that the phraseology and terminology used herein is for the purpose of description and should not be regarded as limiting. The use of “including,” “comprising,” or “having” and variations thereof herein is meant to encompass the items listed thereafter and equivalents thereof as well as additional items. For the purposes of this specification and appended claims, unless otherwise indicated, all numbers expressing quantities, percentages or proportions, and other numerical values used in the specification and claims, are to be understood as being modified in all instances by the term “about” if they are not already. Accordingly, unless indicated to the contrary, the numerical parameters set forth in the following specification and attached claims are approximations that may vary depending upon the desired properties sought to be obtained by the present disclosure. It is noted that, as used in this specification and the appended claims, the singular forms “a,” “an,” and “the,” and any singular use of any word, include plural referents unless expressly and unequivocally limited to one referent. As used herein, the term “include” and its grammatical variants are intended to be non-limiting, such that recitation of items in a list is not to the exclusion of other like items that can be substituted or added to the listed items. A non-limiting example of an excavation element in accordance with one embodiment of the invention is described with reference to FIGS. 1 and 2. From the outset, it should be noted that the excavation element 10 may form part of many different excavation or ground moving machines and/or apparatuses. The important aspect is that the excavation element is typically an object that will in use engage a medium to be excavated and/or displaced, and which will therefore undergo a substantial amount of mechanical wear. In this example, the excavation element is a shroud of an excavation bucket or scoop, which bucket or scoop is in turn part of an excavator or mechanical shovel. The same design and methodology could equally be applied to a tooth of the excavation bucket or scoop. The excavator bucket 10 comprises a base 14, two opposing sidewalls extending transversely from opposing side edges of the base 14, and a rear wall 13 extending transversely from a rear edge of the base 14. The rear wall 13 extends between ends of the two sidewalls 12 so as to define a receptacle 11 suitable for receiving the material to be displaced. An operatively front end of the excavator bucket 10 terminates in a cutting edge 16, which also defines an open side of the receptacle 11 through which material to be displaced can enter or exit the receptacle 11. A plurality of ground engaging teeth 20 protrude from the cutting edge 16, and are releasably secured to the cutting edge 16. The teeth 20 are spaced apart at regular intervals, and protective shrouds 30 are provided on the cutting edge 16 between the spaced apart teeth 20. The end of the base plate 14, which defines the cutting edge 16, is therefore not directly exposed to the material to be displaced, and is covered by the teeth 20 and shrouds 30. The teeth 20 and the shrouds 30 will wear over time, but these can then easily be replaced. It would be much more difficulty, expensive and time consuming to replace or repair the actual excavator bucket body, and the teeth 20 and shrouds 30 are therefore important components of the excavator bucket 10. In accordance with one embodiment of the invention, a tagging device, in the form of a sealed source 50, is secured to the shroud 30 in order for the shroud to be detectable by a radiation detector (not shown). It should be noted that tagging devices may also be secured to the teeth 20 of the excavator bucket 10, but thus is less critical due to the teeth 20 being more visible due to the extent to which they protrude from the cutting edge 16. The probability of an operator noticing a missing tooth is therefore much higher than that off noticing a missing shroud. The radioactive source will be housed in a sealed container 50, and may be secured to the shroud 30 (or another excavation element) in many different configurations. For example, an aperture 40 may be formed in a lower leg 32 of the shroud 30, and the source 50 may then fit inside the aperture. More particularly, the aperture may be formed (for example drilled or during casting or forging) into the upper surface of the lower leg 32 of the shroud 30, approximately 30 mm from the rear edge and approximately 20 mm deep. Inside the aperture will be secured an internally threaded 41.1 sleeve/cartridge 41, and the sealed source (a housing of which is complementary threaded 51) is then screwed into the sleeve. This will allow for easy installation and removal of the sealed source. Although it is foreseen that the sealed source will be located in the lower leg 32, it is also possible for the source to be located in the nose 33 or upper leg 31 of the shroud 30. As shown in FIG. 3, it is foreseen that in one specific embodiment detection of the radioactive source will happen in at least three places and phases 110, 111 and 112 during the mining process 100. The primary objective is to the monitor the loss of excavation elements (e.g. teeth or shrouds) in-situ on the excavation apparatus in order for the operator to be aware of the loss of an excavation element before it is conveyed downstream towards the crushing plant 104. The first detection point 110 will therefore be on the excavation apparatus, and more particular on the excavation bucket 10, which is used to load ore 102 from the drilling/blasting site 101 into a hauling truck 103. The first detection point will therefore include a radiation detector which will constantly detect the radiation emitted by the source, and a stepped reduction in the radiation detected will imply the loss of at least one excavation element. To mitigate potential failure in detecting the loss of a shroud, the haul truck 103 transporting the ore load to the crushing plant 104 may pass through a detection station 111 in the form of a gantry. A radiation detector will form part of the gantry, and any tagged shroud present in the ore load will be detected as a peak on the radiation monitor and the ore load can then be diverted and the tagged shroud manually located and removed. A compound failure to notice loss of a shroud, and subsequently to detect the radioactive source at the gantry 111, can possibly lead to the source being digested in the concentrator plant 105. A further detection or interception point 112 on the conveyor belt between crusher and concentrator plant can therefore be used to locate the source before it is altogether lost. The total solution therefore may consist of a 3-tier detection system, but it is also foreseen that detection may also occur in one or two places only The sealed radioactive source used in the tagging device has to meet a number of important operational, manufacturing and physical criteria. First of all, the half-life of the radioactive source must not be significantly longer than the operational life of the ground excavating element in order to reduce the impact of radioactive waste. At the same time, the half-life should also not be significantly shorter than the operational life of the ground excavating element, as the source will otherwise become weak and difficult to detect while the ground excavating element is still in use. Preferably, the half-life of the radioactive source should therefore be between about 80 and 100 days as this corresponds to the typical longevity of an excavation element body. It is also preferable for the radioactive source to be in the form of a solid metal. The reason for this is that powders and non-metals cannot be formed into a welded metal-encapsulated sealed source, but will rather have to be quartzite-encapsulated. Quartzite encapsulation is not desirable for this particular application, because it is prone to shattering under mechanical stress, which in turn increases the potential for, and consequences of, radiological contamination. A further requirement is that the radioactive source cannot be chemically product-identical or product-analogous, meaning that chemically it has to behave differently to the ore that is mined and found in the particular application. One can for example therefore not use a radioactive source which is a noble metal in a mine where noble metals are present, because there would then be a risk of the radioactive source nuclide ending up in the final product, which is obviously not desirable. From a practical perspective, activation of the radioactive source must also be feasible. A radioactive source with a short activation period is preferable, because it reduces the extent to which unwanted nuclides breed. The spread of isotopes must also be favourable, for example in the sense that the spread should not include long-lived isotopes that will interfere with the decay profile of the source to create long term disposal problems, or isotopes with very high gamma energies that increase shielding requirements. For the purposes of this application, the simpler the decay profile, the better. For the purposes of this application, a seeding element that occurs mono-isotopically in nature, and can be bred to a single radio-active isotope through neutron or proton capture, or an element for which all radio-active byproducts are short-lived (half-life<1 day), is preferable. Finally, due to the operational requirements (for example the fact that the ground engaging element may be located beneath a significant layer of ore), the radioactive source must exhibit ionizing radiation which is at the higher end of the energy spectrum—i.e. hard gammas are required. It is foreseen that hard gammas of at least 800 keV will be required, but ideally this should be even higher. An upper limit is expected to be about 1500 keV. It is readily apparent that a vast number of diverse criteria will have to be met in order to find a suitable configuration within the criteria identified above. These include radiological, manufacturing and operational criteria as discussed above, and the proposed solution does not merely amount to the selection of an obvious radioactive source, but requires a multi-disciplinary approach straddling mining and metallurgical engineering, mechanical engineering and nuclear chemistry, far beyond routine experimentation. The complicated set of criteria has traditionally caused designers not to consider the use of a radioactive source for the particular application envisaged in this application, as the common assumption up to this point has been that the use of a radioactive source will simply not be feasible as a result of the number of diverse criteria to be met. In a preferred embodiment, a radioisotope of the metallic element scandium, scandium-46 (46Sc) having desired attributes in respect of half-life, gamma energy and simplicity of production, among others, is used as the radioactive source. Scandium is present in most of the deposits of rare earth and uranium compounds, but it is extracted from these ores in only a few mines worldwide. Because of the low availability and the difficulties in the preparation of metallic scandium it took until the 1970s before applications for scandium were developed. The positive effects of scandium on aluminium alloys were discovered in the 1970s, and its use in such alloys remains one of its major applications. In addition, scandium is also used in small quantities in the manufacture of high intensity lighting. The global trade of the pure metal is around fifty kilograms per year on average, and it is therefore clear that scandium is not a common element, and indeed an element with very limited application in trade and industry. The same applies to scandium's most stable radioisotope, scandium-46. The properties of Scandium-46 render it unsuitable for most applications where a radioisotope is required. In particular, the relatively short half-life makes it generally unsuitable for use in sealed radioactive source applications, such as far example medical uses, non-medical irradiation of products, gauging systems, non-destructive testing applications and material analyses. The radioisotope scandium-46 (46Sc) is a metal, has a half-life of 84 days and is not chemically related to platinum group metals (PGM's) or other noble metals. It is furthermore easy to produce scandium-46 through activation of scandium-45 (occurring mono-isotopically in nature) via neutron capture, requiring a small fraction of neutron flux exposure in comparison to several other potential candidate isotopes. Only one isotope with a very clean spectrum is produced, resulting in a relatively low presence of undesired activity. The gammas are 890 and 1121 keV, respectively, which also meets the requirements as set out above. It is envisaged that about 1-5 millicurie (3.7−18.5×107 Bq) of scandium-46 activity will be used for each individual sealed source. A number of radioactive isotopes appear to be suitable for this application when only considering the half-life of radioactive isotopes. However, most of them may not be a feasible selection due to the remaining requirements not being met. For example, some isotopes may not be preferable for use as a radioactive tag for an excavation element, due to current impractical production routes, which include: Half-lifeNuclide(days)Reason why this would not workMendelevium-51.5No practical production route.258Cobalt-5677.27Cannot be produced via neutron capture. Otherpathways are very complicated requiring non-stable intermediates.Cobalt-5870.86Cannot be produced via neutron capture. Otherpathways are very complicated requiring non-stable intermediates.Thulium-16893.1Cannot be produced via neutron capture. Otherpathways are very complicated requiring non-stable intermediates. The best, but not ideal, alternatives to Sc-46 are: Ta-182, Tb-160, Zr-95, Sb-124, Fe-59 and Y-91, and the following table summarizes the relevant properties of each: Half-lifeGammaMetalEase ofChemicalNuclide(days)(keV)PrecursorY/NActivationAffinitySc-4684890; 1121Sc-45YEasyNon-nobleTa-1821151122Ta-181YEasyNon-nobleTb-16072879Tb-159YEasyNon-nobleZr-9565724Zr-94*YDifficultNon-nobleSb-124601692Sb-123**YMediumNon-nobleFe-59451292Fe-58***YDifficultNon-nobleY-91591210Y-89,YDifficultNon-nobleY-90*Natural Zr has 4 isotopes. Zr-92 can breed to Zr-93, which is a long-lived (half-life = 1.5 million years) beta emitter, however, the very low neutron absorption cross section of Zr may probably make it impractical to manufacture.**Natural Sb has 2 isotopes. Sb-121 can breed to Sb-122 (half-life = 2.7 d), which may necessitate a prolonged cooling down period. Over-breeding to Sb-125 (half-life = 2.8 years) can lead to long term disposal problems.***Natural Fe has 4 isotopes. Fe-54 can breed to Fe-55, a medium-long-lived (half-life = 2.7 years) beta emitter.Y-91 cannot be made through direct neutron capture, and a compound process will be required. The inventor is of the view that the use of a sealed radioactive source to tag a ground engaging element will provide a new and useful solution to the problem of detecting and monitoring ground engaging elements forming part of earth moving/displacement machinery. The use of scandium-46 as the radioactive isotope will be particularly beneficial in that it meets all the diverse requirements of this particular application. The sealed radioactive source will be reliable, and will be easily detectable. At the same time the radiation risk is very low due to the selection criteria proposed, and the problems usually associated with nuclear waste will also be negated by the short half-life of the selected isotope. It will be appreciated that the above is only one embodiment of the invention and that there may be many variations without departing from the spirit and/or the scope of the invention.
claims
1. An electron emission method comprising:applying a voltage to a field electron emission element that has a boron nitride material containing crystal, formed on an element substrate to have a conical projection of the boron nitride material and shows a stable electron emitting property in an atmosphere when a voltage is applied thereto to emit electrons,wherein an electron emission threshold of the field electron emission element falls due to formation of a surface electric dipolar layer by bringing it into contact with an operating atmosphere containing polar solvent gas when applying a voltage to the field electron emission element so as to emit electrons. 2. The electron emission method according to claim 1, wherein the boron nitride material containing the crystal that has the conical projection of the boron nitride is made of an sp3 bond type boron nitride, or a mixture of the sp3 bond type boron nitride and an sp2 bond type boron nitride. 3. The electron emission method according to claim 1, wherein the boron nitride material containing the crystal that has the conical projection of the boron nitride is formed in a self-forming manner on the element substrate at intervals and to a density suitable for electron emission. 4. The electron emission method according to claim 3, wherein the boron nitride material containing the crystal that has the conical projection of the boron nitride is made of an sp3 bond type boron nitride, or a mixture of an sp3 bond type boron nitride and an sp2 bond type boron nitride. 5. The electron emission method according to claim 1, wherein the polar solvent gas is water and/or alcohol. 6. The electron emission method according to claim 1, wherein the boron nitride material containing the crystal that has the conical projection of the boron nitride is formed by a reaction from a gas phase when excited by ultraviolet rays. 7. The electron emission method according to claim 6, wherein the polar solvent gas is water and/or alcohol.
summary
052572960
claims
1. In nuclear a steam generator, including: 2. A combination according to claim 1, wherein said injector nozzle comprises: 3. A combination according to claim 1, wherein said lower access eductor comprises: 4. A combination according to claim 3, wherein said lower access eductor comprises: 5. In a nuclear steam generator, including: 6. In a nuclear steam generator, including: i. a plurality of generator tube support plates, each said generator tube support plate having a plurality of openings; ii. a plurality of generator tubes forming a tube bundle, each said generator tube passing through aligned said openings in said support plates; iii. an outer casing surrounding said tube bundle and generator tube support plates, said outer casing having an upper end and a lower end, upper access openings between said upper and lower ends, and lower access openings near said lower ends; and iv. a tube bundle shroud, having an upper end and a lower end and a plurality of passageways in said lower end, surrounding said tube bundle between said outer casing and said tube bundle, said tube bundle shroud forming an annulus between said outer casing and said shroud; a. filling said steam generator with liquid to a level such that a desired number of said tube support plates are submerged in said liquid; b. establishing a recirculation flow of said liquid, wherein said liquid is discharged from said steam generator, and said liquid is recirculated back into said steam generator, with a first portion of said liquid being directed through at least one said lower access opening into said tube bundle through one said passageway, and a second portion of said liquid being directed through at least one said upper access opening into said annulus; and
claims
1. A nozzle repairing method of repairing an instrumentation nozzle in which an in-core instrument tube is inserted into an attachment hole formed in a semi-spherical portion of a nuclear reactor vessel having a buttered welding layer on its inner surface and an inner surface side of the semi-spherical portion includes a first connection portion and a second connection portion formed in a groove-welding portion so as to fix the in-core instrument tube, the nozzle repairing method comprising:removing the first connection portion with respect to the in-core instrument tube in the groove-welding portion;removing the in-core instrument tube from the semi-spherical portion;disposing a tab plate inside an upper end portion of the attachment hole after removing the in-core instrument tube;forming a surface buttered welding portion to cover surfaces of at least a portion of the tab plate, the second connection portion and at least a portion of the buttered welding layer after disposing the tab plate;grooving a part of the second connection portion with respect to the semi-spherical portion in the groove-welding portion while leaving a remaining of the second connection portion after forming the surface buttered welding portion;inserting a new in-core instrument tube into the attachment hole; andgroove-welding the inner surface side of the semi-spherical portion so as to fix the new in-core instrument tube. 2. The nozzle repairing method according to claim 1,wherein the in-core instrument tube is removed from the semi-spherical portion, and buttered welding is performed on a surface of the groove-welding portion. 3. The nozzle repairing method according to claim 2,wherein when performing the buttered welding on the surface of the groove-welding portion, the buttered welding is performed so as to extend to the inner surface of the semi-spherical portion and the buttered welding is performed so as to extend to the attachment hole. 4. The nozzle repairing method according to claim 1,wherein the groove-welding includes using a material having stress corrosion resistance higher than that of a welding material of the groove-welding portion as a welding material used when fixing the new in-core instrument tube to the semi-spherical portion. 5. The nozzle repairing method according to claim 1,wherein an operation is performed in an air space as the periphery of at least the instrumentation nozzle. 6. The nozzle repairing method according to claim 5,wherein the flow of water is stopped at upper and lower ends of the existing in-core instrument tube, and the water inside the nuclear reactor vessel is drained so as to form the air space.
abstract
An apparatus for repairing a photo mask, including a repairing atomic force microscope configured to repair a defective portion of the photo mask in a photo mask repair process, an electron microscope configured to navigate the repairing atomic electron microscope to the defective portion of the photo mask and to observe the photo mask repair process, and an imaging atomic microscope configured to image in-situ a shape of a repaired photo mask.
description
This application claims priority to U.S. Provisional Patent Application 60/983,855, filed Oct. 30, 2007, which is hereby incorporated by reference in its entirety. The invention described and claimed herein was made utilizing funds supplied by the U.S. Department of Homeland Security under Grant No. HSHQDC07X00170, and the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. The government has certain rights in this invention. The present invention is in the field of inorganic crystals with scintillation properties. There has been a growing interest in the development of scintillator materials with (1) good stopping power for gamma rays, (2) high light output, (3) proportional response, and (4) large, transparent, low cost crystals. Current known scintillators, such as Bi4Ge3O12 (BGO), NaI:Ti, BaF2, Lu2SiO5:Ce (LSO), LaBr3:Ce fall short in one or more of these properties. The present invention provides for a composition comprising an inorganic scintillator comprising an alkali metal hafnate, optionally cerium-doped, having the formula A2HfO3:Ce; wherein A is an alkali metal having a valence of 1, such as Li or Na; and the molar percent of cerium is 0% to 100%. The alkali metal hafnate are scintillators and produce a bright luminescence upon irradiation by a suitable radiation. The present invention also provides for a composition comprising essentially of a mixture of an alkali metal carbonate, oxide or hydroxide, and hafnium oxide useful for producing the inorganic scintillator, wherein the alkali metal has a valence of 1. The mixture comprises essentially of solid alkali metal carbonate, oxide or hydroxide, and solid hafnium oxide, and optionally solid cerium oxide, wherein (a) the mixture has a stoichiometry of about 2 alkali metal atoms: about 1 hafnium atom, and (b) the molar percent of cerium is from 0% to 100%. The solid alkali metal carbonate, oxide or hydroxide can be Na2CO3, Li2O or LiOH, respectively. Cerium oxide is Ce2O3. The crystals or salts can be powdered crystals. The present invention further provides for a method for producing the composition comprising an inorganic scintillator comprising: (a) providing the composition useful for producing the inorganic scintillator as described above, (b) heating the mixture so that the salts or solids start to react, and (c) cooling the mixture of the formed composition to room temperature such that the inorganic scintillator is formed. The present invention further provides for a method for producing the composition comprising an inorganic scintillator comprising: (a) providing a mixture comprising essentially of solid alkali metal carbonate, oxide or hydroxide, and solid hafnium oxide, and optionally solid cerium oxide, wherein (i) the mixture has a stoichiometry of about 2 alkali metal atoms: about 1 hafnium atom, and (ii) the molar percent of cerium is from 0% to 100%, (b) heating the mixture so that the salts or solids start to react, and (c) cooling the mixture of the formed composition to room temperature such that the inorganic scintillator is formed. The invention also provides for a device comprising the composition comprising the inorganic scintillator, wherein the composition is a component of a scintillating detector for industrial, medical, protective and defensive purpose or in the oil and nuclear industry. The inorganic scintillator can be in a microcrystalline or crystal form. The crystal can be any size ranging from at least 10 nm, 20 nm, 100 nm, 1 μm, 10 μm, 100 μm, or 1 mm. The crystals can also have a size ranging up to 100 nm, 1 μm, 10 μm, 100 μm, 1 mm, or 10 mm. The cerium atoms in the inorganic scintillator substitute for the hafnium atoms. The molar percent of cerium is defined as the percent of the number of cerium atoms in the total number of cerium and hafnium atoms in the inorganic scintillator. The phrase “the molar percent of cerium is from P % to Q %” means “the molar percent of cerium” is from “P % to Q %”, inclusive of both P % and Q %. The molar percent of cerium is from 0% to 100%, or from more than 0% to 100%. The range of the molar percent of cerium in the organic scintillator can be from 0.1%, 0.5%, or 1% to 2%, 3%, 5%, 10%, 20%, 30%, 50%, 70%, or 90%. In some embodiments, the molar percent of cerium is from 0% to 50%. In some embodiments, the molar percent of cerium is from 0% to 10%. In some embodiments, the molar percent of cerium is from 0% to 5%. In some embodiments, the molar percent of cerium is from 1% to 5%. In some embodiments, the molar percent of cerium is from 1% to 10%. The inorganic scintillators of the present invention are useful as they are scintillators and they produce a useful bright and fast scintillation in response to irradiation by visible and invisible light, such as x-ray or gamma rays. The crystals of the inorganic scintillator also have the added advantage of having the property of readily growing into crystals. Large size crystals can be grown by the following technique: Bridgman growth and related techniques, Czochralski growth and related techniques, the traveling heater method and related techniques. In some embodiments of the present invention, the inorganic scintillator has a decay time, upon excitation by X-ray, that is equal to or less than 50 ns, 35 ns, 25 ns, or 22 ns. The inorganic scintillator is in a microcrystalline or crystal form. In some embodiments of the invention, the inorganic scintillator comprises a cerium-doped lithium hafnate having the formula Li2HfO3:Ce; wherein the molar percent of cerium is 0% or from more than 0% to 100%. In some embodiments of the invention, the inorganic scintillator comprises a cerium-doped sodium hafnate having the formula Na2HfO3:Ce; wherein the molar percent of cerium is 0% or from more than 0% to 100%. Characterization of the Inorganic Scintillators The crystals of the invention can be characterized using a variety of methods. The crystals can be characterized regarding X-ray diffractometry, X-ray luminescence spectra, and/or pulsed X-ray time response. X-ray diffractometry determines the composition of crystalline solids, such as crystalline phase identification. X-ray luminescence spectra determines the spectra components. Pulsed X-ray time response determines luminosity, decay times, and fractions. X-ray luminescence is used to determine the relative luminosity of a crystal. An X-ray excited emission spectra is obtained of a crystal by irradiating the crystal with an X-ray and collecting the emission light at 90° by a CCD detector. In some embodiments of the invention, the luminosity of the inorganic scintillator is more than relative to the luminosity of YAP and/or BGO. In further embodiments of the invention, the luminosity of the inorganic scintillators is at least or more than one and a half, double, or triple relative to the luminosity of YAP and/or BGO. Preparation of the Inorganic Scintillators The inorganic scintillators of the invention can be prepared using a variety of methods. For example, the crystals useful for fabrication of luminescent screens can be prepared by a solid-state reaction aided, or optionally not aided, by a flux of carbonates, oxides and/or hydroxides as described herein. In some embodiments, the crystals are prepared by providing a composition comprising essentially of a mixture of the salts useful for producing the inorganic scintillator. The mixture is heated to a temperature of up to about 900° C. using a simple programmable furnace to produce a reactive mixture. The reaction is maintained at temperature for the mixture to fully react and produce the desired compound. The resultant product of reaction is then cooled slowly at about 2 to 5° C./minute. The heating, equilibration and cooling steps may be repeated for a number of times to assure complete reactions of the starting reactants. Complete mixing of the reactants can be achieved by grinding the mixture between each reactive cycle. A particular method of preparing the inorganic scintillator of the invention is as follows: Bridgman growth and related techniques, Czochralski growth and related techniques, the traveling heater method and related techniques. These methods can be used to produce the inorganic scintillator as single crystals on a one-by-one basis. The Bridgman growth technique is a directional solidification process. The technique involves using an ampoule containing a melt which moves through an axial temperature gradient in a furnace. Single crystals can be grown using either seeded or unseeded ampoules. The Bridgman growth technique is described in “binary organic single crystals for nonlinear optical application”, J. Korean Physical Society, 32 pp S433-S435, 1998, “Modified Bridgman growth of a novel NLO organic crystal (2-methyoxyphenyl)-methylene-propanedinitrile”, J. of Crystal Growth, 166, pp 542-544, 1996; “A simple inexpensive Bridgman-Stockbarger crystal growth system for organic Crystal Growth of organic materials” (ACS conference proceedings series) American Chemical Society, pp 264-266, 1996; and “A novel Bridgman-Stockbarger melt growth system for organic nonlinear optical materials”, Meas. Sci. Technol., 4, pp 793-795, 1993; which are incorporated in their entireties by reference. The Czochralski growth technique comprises a process of obtaining single-crystals in which a single crystal material is pulled out of the melt in which a single-crystal seed is immersed and then slowly withdrawn; desired conductivity type and doping level is accomplished by adding dopants to the melt. The Czochralski growth technique is described in “Czochralski crystal-growth system with Diameter Control”, NASA Tech Briefs, 21, p 10a, 1997; “Photoinduced charge in BSO:Cr3+ homegrown single crystal at room temperature during grating formation under Ar+ laser illumination in EPR experiment”, SPIE-The International Society for Optical Engineering, 2849, pp 67-78, 1997, “A Versatile Czochralski crystal growth system with polishing of Bismuth Silicate and Bismuth Germanate”, Optics & Photonics News, 5(2), pp S1-S2, 1994; “Morphology and formation of the color core of Bi12SiO20 crystals grown by the Czochralski method”, J. of Crystal Growth, 137, pp 132-135, 1994; and “Observations on the polishing of Bismuth Silicon Oxide crystals”, J. of Optics 23(4), pp 179-185, 1994; which are incorporated in their entireties by reference. The traveling heater method is described in Triboulet, Prog. Cryst. Gr. Char. Mater., 128, 85 (1994) and Funaki et al., Nucl. Instr. And Methods, A 436 (1999), which are incorporated in their entireties by reference. A particular method of preparing sodium hafnate is the ceramic method which comprises the following steps: two or more powder reactants, such as sodium carbonate (Na2CO3) and hafnium oxide (HfO2), are ground together, such as with a mortar and pestle, for a sufficient period, such as about 10 minutes, to produce a reactant mixture. The reactant mixture is then heated in an alumina crucible to a temperature of about 550° C. for 4 hours, and then heated to 1,100° C. for 12 hours. Optionally, cerium oxide can be added to the powder reactants for grinding. A particular method of preparing lithium hafnate is the ceramic method which comprises the following steps: two or more powder reactants, such as lithium oxide (Li2O) or lithium hydroxide (LiOH) and hafnium oxide (HfO2), are ground together, such as with a mortar and pestle, for a sufficient period, such as about 10 minutes, to produce a reactant mixture. If lithium oxide is used, the reactant mixture is then heated in an alumina crucible in air to a temperature of about 1,100° C. for about 12 hours. If lithium hydroxide is used, the reactant mixture is then heated in an alumina crucible in air to a temperature of about 975° C. for about 16 hours. Optionally, cerium oxide can be added to the powder reactants for grinding. The above processes can also be applied using sodium carbonate (Na2CO3), lithium oxide (Li2O), lithium hydroxide (LiOH), hafnium oxide (HfO2), and cerium oxide, in the appropriate combination, to produce the inorganic scintillators of the present invention. The resulting crystals are then characterized by the methods described herein. The resulting crystals also have properties similar to those described herein. Application of the Inorganic Scintillators The inorganic scintillators of this invention have many advantages over other known crystals. The inorganic scintillators and produce a luminescence in response irradiation that is brighter and faster than known and commercially used scintillators. The scintillating crystals have a number of applications as detectors, such as in the detection of gamma-ray, which has use in national security and medical imaging applications. The invention is useful for the detection of ionizing radiation. Applications include medical imaging, nuclear physics, nondestructive evaluation, treaty verification and safeguards, environmental monitoring, and geological exploration. In particular, the new inorganic scintillator will allow septaless time-of-flight PET to be achieved. This will be a major improvement, providing much finer resolution, higher maximum event rates, and clearer images. The invention also relates to the use of the scintillating material above as a component of a detector for detecting radiation in particular by gamma rays and/or X-rays. Such a detector especially comprises a photodetector optically coupled to the scintillator in order to produce an electrical signal in response to the emission of a light pulse produced by the scintillator. The photodetector of the detector may in particular be a photomultiplier, photodiode, or CCD sensor. A particular use of this type of detector relates to the measurement of gamma or x-ray radiation, such a system is also capable of detecting alpha and beta radiation and electrons. The invention also relates to the use of the above detector in nuclear medicine apparatuses, especially gamma cameras of the Anger type and positron emission tomography scanners (see for example C. W. E. Van Eijk, “Inorganic Scintillator for Medical Imaging”, International Seminar New types of Detectors, 15 19 May 1995-Archamp, France. Published in “Physica Medica”, Vol. XII, supplement 1, June 96). In another particular use, the invention relates to the use of the above detector in detection apparatuses for oil drilling, (see for example “Applications of scintillation counting and analysis”, in “Photomultiplier tube, principle and application”, chapter 7, Philips). It is to be understood that, while the invention has been described in conjunction with the preferred specific embodiments thereof, the foregoing description is intended to illustrate and not limit the scope of the invention. Other aspects, advantages, and modifications within the scope of the invention will be apparent to those skilled in the art to which the invention pertains. All patents, patent applications, and publications mentioned herein are hereby incorporated by reference in their entireties. The invention having been described, the following examples are offered to illustrate the subject invention by way of illustration, not by way of limitation. Sodium hafnate is synthesized by grinding and mixing sodium carbonate (Na2CO3) and hafnium oxide (HfO2) using a mortar and pestle to produce a reactant mixture. The reactant mixture is then heated in an alumina crucible to a temperature of about 550° C. for 4 hours, and then heated to 1,100° C. for 12 hours in air. XRD confirms that sodium hafnate is synthesized. The stoichiometry of Na2HfO3 is confirmed by indirectly from its X-ray diffraction pattern. No recorded powder pattern exists for the sodium hafnate compound, but the product strongly match the published pattern for Na2ZrO3. Additional support for the formula assignment is obtained from elemental analysis during SEM. Lithium hafnate is synthesized by grinding and mixing lithium oxide (Li2O) and hafnium oxide (HfO2) using a mortar and pestle to produce a reactant mixture. The reactant mixture is then heated in an alumina crucible to a temperature of about 1,100° C. for 12 hours in air. Alternately, the reactant mixture is then heated in an alumina crucible to a temperature of about 975° C. for 16 hours in air. XRD confirms that lithium hafnate is synthesized. Table 1 lists properties tested for sodium hafnate (Na2HfO3) and lithium hafnate (Li2HfO3). TABLE 1X-ray excited luminosity of hafnate compounds testedAddedLuminosityTau FitCompoundCe (III)(counts/sec/μA)major componentsLi3HfO30%12,347606ns51.6%>2μs  21%Na3HfO30%20,7101310ns26.7%>2μs  35%Cs2HfO30%43432.3ns10.4%>2μs  80%MgHfO31%3,55121.6ns14.0%31.8ns18.5%566ns14.1%>2μs  40%CaHfO31%12,63033.4ns57.3%>2μs  36%SrHfO31%4,44921.2ns40.4%86.0ns21.2%>2μs  13%BaHfO31%7,46016.3ns88.3%>2μs  5%La2Hf2O71%66535.4ns12.0%109ns18.7%500ns23.1%>2μs  39%Y2Hf2O71%85147.8%27.2%520ns17.4%>2μs  56%LaYHf2O71%5287.8ns21.4%584ns22.3%>2μs  25%HfO21%122824.8ns22.0%51.7ns17.1%>2μs  44% The luminosity is determined by pulsed X-ray excitation. Exponential decay components are fit to measured data TauFit software. TauFit software is described in Derenzo et al., “Design of a pulsed X-ray system for fluorescent lifetime measurements with a timing accuracy of 109 ps”, IEEE Transactions on Nuclear Science, Volume 41(3), pp 629-631 (1994) and Derenzo et al., “Design of a pulsed X-ray system for fluorescent lifetime measurements with a timing accuracy of 109 PS”, Nuclear Science Symposium and Medical Imaging Conference, 1992., Vol. 1, pp 117-119 (1992); which are incorporated by reference in their entireties. Cerium levels generating the highest luminosity for each compound tested are indicated in Table 1. For comparison purposes, Table 2 indicates the luminosity of known scintillators using the identical TABLE 2Crystal vs. powder luminosity of known scintillatorsLuminosityPulsed x-rayScintillator(photons/MeV)(counts/s/mA)*RatioBaF210,4007,2001.45Bi4Ge3O128,2007,0001.151.94.51.72.22.13.5*Typical x-ray tube current 0.6 μA Sodium hafnate and lithium hafnate each exhibit high levels of luminosity, but with relatively slower decay times, with large contribution by decay components greater than 2 μs. (see Table 1, FIGS. 1 and 2). The maximum relative X-ray excited luminosity of was 3.26×BGO. Sodium hafnate and lithium hafnate have luminescent patterns with significant contributions by components with decay times greater than 600 ns. X-ray luminescence spectra show a peak with a maxima at about 300 nm, below the value expected for emission involving Ce. This indicates that the scintillation involves an intrinsic mechanism independent of Ce (see FIGS. 1 and 2). The difference in the roles of Ce in scintillation between the monovalent (i.e., sodium and lithium) and divalent hafnates is shown further in FIG. 3. There is a steep drop in the luminosity of sodium hafnate with the addition of any Ce, while the Ca-hafnate reaches a maximum at 1% added Ce. Addition of even more Ce causes a further increase in non-radiative decay in both groups. There is a qualitative shift in the non-Ce contribution of the sodium hafnate at 10% Ce, with a drop in the 300 nm signal and a small but significant growth in a Ce-like signal at 400 nm with 10% added Ce. There is no shift in the wavelength maxima (400 nm) with the calcium hafnate, just a drop in the intensity of the signal (see FIG. 4). Cerium is incorporated into sodium hafnate (Na2HfO3). This is demonstrated by the change in fluorescence spectrum (see FIGS. 5 and 6). Fluorescence measurements of the undoped compound show excitation peaks at 265 nm and 395 nm with an emission maximum at 410-420 nm. The addition of 0.5% cerium resulted in slight wavelength shifts in the excitation (to 27 nm) and emission (to 410 nm) peaks, and the disappearance of the 395 nm excitation peak. The addition of cerium also enhanced the photoluminescence of the compound, with a maximum increase of about 46× at a 2% cerium doping level. Cerium had an opposite effect on scintillation characteristics, with a decrease of X-ray excited luminosity with addition of even 0.5% cerium (see FIGS. 7 and 8), so sodium hafnate appears to be a self-activated scintillator. The addition of cerium does not change the decay kinetics of the X-ray excitation light emission: both the doped and undoped compounds had a dominant 1 μs time component. While the present invention has been described with reference to the specific embodiments thereof, it should be understood by those skilled in the art that various changes may be made and equivalents may be substituted without departing from the true spirit and scope of the invention. In addition, many modifications may be made to adapt a particular situation, material, composition of matter, process, process step or steps, to the objective, spirit and scope of the present invention. All such modifications are intended to be within the scope of the claims appended hereto.
abstract
Various methods and systems are provided for an integrated filter assembly including a plurality of bowtie filters and a hardening filter mounted on a single carriage. In one embodiment, an imaging system may include a carriage including a hardening filter and one or more bowtie filters, and a filter driving system for moving the carriage to selectively position the hardening filter and one of the one or more bowtie filters in a path of a radiation beam between a radiation source and an imaging subject, the hardening filter at least partially overlapping with at least one of the one or more bowtie filters. In this way, a single carriage may include a plurality of filters which may be selectively positioned in a path of the radiation beam entering a subject without having to stack multiple carriages and switch carriages between scans.
041860489
description
Very generally, the system of the invention is employed in a nuclear reactor wherein a plurality of neutron flux detector assemblies 11 provide on respective output channels 12, pulsed output signals at pulse rates corresponding to detected neutron flux. Signal processing means 24 provide for each channel an indication of neutron flux in the intermediate and power ranges of the reactor. The system of the invention includes summing means 13 responsive to pulsed input signals to provide a pulsed output signal corresponding to the sum of the input pulses thereof. A plurality of coupling means 14 each connect a respective one of the output channels to the summing means for providing a pulsed signal thereto substantially corresponding to the pulsed output signals provided by the corresponding detector assembly. The coupling means each include isolation means 15 for preventing spurious communication between the summing means and the cables. Indicating means 17 are connected to the output of the summing means to represent the pulse rate of the output pulses of the summing means. Referring now more particularly to FIG. 1, the invention is shown in a preferred embodiment for use in a power reactor wherein approximately eleven decades of neutron flux measurement are required for monitoring neutron flux over the full range of the reactor. The monitoring system employs a plurality of detector assemblies, each of which utilizes one or more fission chambers 21, two being shown in FIG. 1. Only one detector assembly is shown in the drawing but a typical reactor may employ four of these detector assemblies located at four different positions around the reactor core. Typically, two or three fission chambers are used per assembly for the purpose of monitoring vertical flux tilts and the four separate assemblies are used in a two out of four logic redundant system for safety purposes. The typical length of the detector assembly is approximately 31/2 meters. In the drawings, the power supplies for the fission chambers are not illustrated for the purpose of simplification. The range of flux typically covered by a complete neutron flux monitoring system extends from about 0.1 nv to greater than 10.sup.10 nv (approximately 111/2 decades). A single fission chamber will cover the range of about 1 nv to 2.times.10.sup.10 nv. The system sensitivity when pulses from all twelve detectors are summed will extend below 0.1 nv. The output of each of the detector assemblies 11 is applied to an output channel 12. In the illustrated embodiment, each channel comprises the two output lines from the fission chambers 21 and a safety drawer 24. A separate safety drawer 24 is provided for each detector assembly 11. The outputs of the fission chambers are fed to the safety drawer through a suitable junction box (not shown) containing line and decoupling filters (not shown) for the chamber high voltage (not shown). The secondary containment is indicated schematically by the line 25 and 23 represents the penetration for chamber leads. In the illustrated embodiment, the safety drawer 24 contains capacitors 31 and 33 through which the a-c component of each of the signals from the outputs of the fission chambers 21 are applied to pulse amplifiers 35 and 37. The output of the amplifier 35 is applied through a resistor 38 to a ten decade log circuit 39 (such as shown in U.S. Pat. No. 3,579,127) in the safety drawer 24. The circuit 39 is suitably constructed to provide a log output signal of flux for the upper ten decades of the flux range of the reactor. The circuit 39 provides its output signal through a buffer amplifier 41 to provide an indication of the log of the output of the fission chamber 21 in the detector assembly. To provide a rate output, the output of the ten decade log circuitry 39 is also applied to a rate circuitry 43, the output of which is applied to an indicator, not shown, through a suitable buffer amplifier 45. In the event the rate of change of flux exceeds a preset value, a bistable trip 47 is coupled to the output of the rate circuit 43 to produce a rate trip signal. The d.c. components of the outputs of the fission chambers 21 from each detector assembly 11 are also applied to d.c. amplifiers 51 and 53 in the safety drawer 24. These signals are proportional to flux level in the upper decades and are amplified by buffer amplifiers 57 and 59 to indicate the level of the particular fission chamber, thus providing an indication of flux tilts. In addition, the outputs of the amplifiers 51 and 53 are summed in a summing amplifier 69 and are applied through a buffer amplifier 71 to indicate the power sum or average power in that particular detector assembly. The power sum signal is also applied to a bistable trip 73 which is set to produce a power level trip signal for use in the reactor safety logic system. The foregoing described circuitry within the safety drawer provides, for each detector assembly, a suitable indication of the neutron flux for both intermediate and power range operation. In accordance with the invention, the same detector assemblies 11 are utilized to provide an indication of flux levels during the shutdown condition and in the source range. This is done without the need for an additional costly and sometimes unreliable monitoring instrument array of the necessary sensitivity. More particularly, in the illustrated embodiment, the output channel 12 of the detector assembly 11 is summed in a pulse summer 72 and coupling means 14 to a summing means 13. The other detector outputs are similarly coupled to the summing means. To provide electrical isolation between the summing means 13 and the detector outputs 12 and between the signal outputs 12 of the four redundant and separate safety assemblies and thus prevent spurious signals from being communicated therebetween, the isolation means 15 are provided. In the embodiment of FIG. 1, the coupling means 14 include a light emitting diode 75 connected to the pulse summer 72. An optical fiber cable 15 serves as the isolation means and couples the diode 75 to the summing means 13. The summing means 13 preferably includes an array of photo diodes 77 one for each channel. The electrical pulses generated by two of the photo diodes 77 are combined in a log count rate circuit 78, and the other two by a log count rate circuit 79. By dividing the channels into groups and summing separately, two independent count rate outputs are provided. Thus, if one channel should develop an oscillation or source of noise that makes its count rate output read higher, the other independent output is not affected. The independent outputs are indicated by meters 81 and 83. To provide an output indicating the total count rate of all channels, buffer amplifiers 85 and 87 connect the separate rate outputs to a single meter 89. Referring now to FIG. 2, another embodiment of the invention is shown. In FIG. 2, the detector assemblies 111, two of which are shown, each contain three fission chambers 121. The output of each of the detector assemblies 111 is applied to an output channel 112. In FIG. 2, each channel comprises three output lines from the fission chambers 121, a discriminating pulse summer 122, and a safety drawer 124, described below. A separate safety drawer 124 is provided for each detector assembly 111. The outputs of the fission chambers are fed to the summer 122 through a suitable junction box (not shown) containing line and decoupling filters (not shown) for the chamber high voltage (not shown). The secondary containment is indicated schematically by the line 125, the penetration by 123, and the line connections on the interior and exterior of the pressure vessel are indicated at 127 and 129, respectively. In FIG. 2, the discriminating pulse summer 122 comprises capacitors 131, 133 and 135 through which the a-c component of each of the signals from the outputs of the fission chambers 121 are applied to a summing amplifier 137. The output of the summing amplifier 137 is applied to a ten decade log circuit 139 (such as shown in U.S. Pat. No. 3,579,127) in the safety drawer 124. The circuit 139 is suitably constructed to provide a log output signal of flux for the upper ten decades of the flux range of the reactor. The circuit 139 provides its output signal through a buffer amplifier 141 to provide an indication of the log of the output of the sum of the three fission chambers in the detector assembly. To provide a rate output, the output of the ten decade log circuitry 139 is also applied to a rate circuit 143, the output of which is applied to an indicator, not shown, through a suitable buffer amplifier 145. In the event the rate of change of flux exceeds a preset value, a bistable trip 147 is coupled to the output of the rate circuit 143 to produce a rate trip signal. The outputs of the fission chambers 121 from each detector assembly 111 are also applied through resistors 151, 153 and 155, in the discriminating pulse summer 122 to the safety drawer 124. These signals, which comprise the d.c. component of fission chamber signal and are proportional to flux level in the upper decades, are amplified by amplifiers 157, 159 and 161, respectively, and are applied through buffer amplifiers 163, 165 and 167, to indicate the level of the particular fission chamber, thus providing an indication of flux tilts. In addition, the outputs of the amplifiers 157, 159 and 161 are summed in a summing amplifier 169 and are applied through a buffer amplifier 171 to indicate the power sum in that particular detector assembly. The power sum signal is also applied to a bistable trip 173 which is set to produce a power level trip signal for use in the reactor safety logic system. The foregoing described circuitry within the safety drawer provides, for each detector assembly, a suitable indication of the neutron flux for both intermediate, start-up and power range operation. In this embodiment as well as the first, the same detector assemblies 111 are utilized to also provide an indication of flux levels during the shutdown condition or source range. More particularly, in the illustrated embodiment the output channel 112 of the detector 111 is coupled by coupling means 114, from the ten decade log circuit 139 to a summing means 113. The other detector outputs are similarly coupled to the summing means. To provide electrical isolation between the summing means 113 and the detector outputs 112 and between the signal outputs 112 of the four redundant and separate safety assemblies and thus prevent spurious signals from being communicated therebetween, the isolation means 115 are provided. In the embodiment of FIG. 2, the coupling means 114 include a light emitting diode 175 connected to the log circuit 139. An optical fiber cable 115 serves as the isolation means and couples the diode 175 to the summing means 113 which preferably comprises an array of photo detectors such as photo diodes. The outputs of all of the channels of the detector assemblies 111 are so coupled so that, as illustrated, four light inputs to the photo diode summer 113 result. The photo diode summer 113 operates in response to light pulses applied thereto to produce a pulsed electrical output signal having a pulse rate corresponding to the sum of the applied light pulses. By applying the output of the summer 113 to the count rate circuit 116, an output indicating the total count rate of all pulses produced in all of the fission chambers 121 in all four of the detector assemblies 111 results. For the purpose of providing redundancy so that failure of one portion of the system will not result in an inability to monitor flux, an additional light emitting diode 179 is provided corresponding to the light emitting diode 175 in each of the safety drawers. The output of the light emitting diode 179, which is a series of pulses, corresponding to the electrical pulses applied thereto, is passed by means of a fiber optic cable 181 to a second photo diode 183. The photo diode 183 operates in the same manner as the photo diode 113 to provide an electrical output signal representing the sum of the light pulses applied thereto. The electric output signal of the photo diode 183 is then applied to a count rate circuit 185 and a redundant output indicative of the total neutron flux in the lowermost range or shutdown range of the reactor is provided. Redundancy and separation for the two shutdown and source range signals required can also be obtained by combining pulse signals from only two of the four detector assemblies for each separate shutdown monitoring channel. This will result in a sensitivity decrease of each channel by a factor of two. Although light emitting diodes, fiber optic cables and photo diodes are shown as separate elements in FIG. 1, it is possible to provide a single unit which functions identically. Such devices are available commercially as optical isolators, for example from Texas Instruments under the designation 3N219 Opto-coupler. Referring now to FIG, 3, an alternative to the coupling means 114 of FIG. 2 is shown. Instead of utilizing a fiber optic cable as the isolation means, the embodiment of FIG. 3 employs a radio frequency transformer 215. A radio frequency oscillator 275 is gated on and off by summed pulses in the log circuit 139. The oscillator 275 is responsive to applied pulses to produce an output signal comprised of short bursts of radio frequency signals, each burst corresponding to one of the applied pulses. After passage through the RF transformer 215 (having adequate electrical insulation and isolation), the RF bursts are converted back into electrical pulses. To this end a detector 276 of suitable construction is employed. A summing amplifier 213, which is connected to the detectors 276 for all channels, provides a pulsed output corresponding to the sum of the input pulses. A suitable count rate circuit 216 connected thereto provides the desired output. By utilizing a second similar coupling means, a redundant output may be provided. It may be seen therefore that the invention provides an improved flux monitoring system for a nuclear reactor which eliminates the need for proportional counters or similar sensing means for the lowermost range to be monitored. The lower decade of sensitivity for monitoring the shutdown condition is achieved directly from the same equipment required for the upper ten decades of reactor operation. The invention operates successfully with neutron flux monitoring systems which use fission chambers for the power range and intermediate range for the detectors. The system has a sensitivity to average neutron flux of many times that of a single detector (in the illustrated embodiment of FIG. 2, twelve times) and, with readily available fission chamber neutron sensitivity, can achieve the equivalent sensitivity to that of a proportional counter and adds at least an additional decade of neutron flux measurement in the source range of the reactor. Various modifications of the invention in addition to those shown and described herein will become apparent to those skilled in the art from the foregoing description and accompanying drawings. Such modifications are intended to fall within the scope of the appended claims.
048470368
description
Referring now to the single FIGURE of the drawing in detail, it is seen that the apparatus is disposed on a non-illustrated support in a water-filled pool or pit 1. A fuel assembly 2, which is indicated by phantom lines and is formed of a plurality of longitudinally extending fuel rods, is held in a precise position relative to the apparatus, as a rule on the same support. A water-tight encapsulated housing 3 contains a lever drive mechanism known from German Published, Non-Prosected Application DE-OS No. 33 37 084. A rotary transmission 4, which ensures the translation of the movement of the lever drive mechanism to a lever linkage disposed outside the housing 3, extends through a wall 7 of the housing 3 opposite the bottom 6 of the pool 1. The region of the rotary transmission 4 protruding out of the housing is approximately four meters long and is approximately as long as a fuel assembly to be tested. Mirror-symmetrical lever linkages 5, 26 are disposed on the rotary transmission 4, both in the vicinity of the wall 7 and in the vicinity of the free end of the rotary transmission. In order to stabilize the rotary transmission, the free end thereof is guided in a cover plate 8 which is connected to the housing 3 by three struts 9, 10 and 11. Each respective lever linkage 5, 26 has bars 12, 13 which articulate with the rotary linkage, bars 16, 17, hinge joints 14, 15 connecting the bars 12, 13 to the bars 16, 17 and a pivot point 18 of the bars 16, 17. The movement of the bars 12, 13 is transferred through the hinge joints 14, 15 and the bars 16, 17 to the pivot point 18. A bolt 19 passing through the pivot point 18 is rotatably mounted in carrying plates 20 which are connected to the lever linkage 5, 26. A tie bar 21 extends between and is fixed to the carrying plates 20 and thus follows the movement of the lever linkages 5, 6. The tie bar 21 carries probes 22 at various levels, which jut out approximately at right angles from the tie bar. A probe 22 is formed of a holder 23 detachably fixed to the tie bar 21 and two fingers 24 which carry an ultrasonic test head 25 at the free ends thereof. The use of a tie bar permits the apparatus to operate with only two lever linkages, although the tie bar can carry more than two probes. According to another embodiment of the invention, a quantity of lever linkages equal to the required number of probes can jut out from the rotary transmission 4. Each lever linkage then carries a probe, so that the tie bar 21 can be eliminated. The fuel rods are tested simultaneously on three levels by a single traveling movement into the spaces between the fuel rods. Therefore, a probe movement in the direction of the longitudinal extent of the fuel assembly is not necessary. The foregoing is a description corresponding in substance to German Application P No. 36 32 061.7, dated Sept. 20, 1986, the International priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter.
claims
1. An exposure mask comprising: a transparent base; and a transparent film formed on said transparent base, said transparent film having at least one mask member formed in a predetermined mask pattern and having a relatively low exposure beam transparency, and at least one stress changing member changing an in-plane stress distribution of said transparent film. 2. A mask according to claim l, wherein said transparent film is made of a material selected from the group consisting of SiO 2 , SiC, SiON, Si, Si 3 N 4 , Al 2 O 3 , SrO, MgO, Y 2 O 3 , and TiO 2 . 3. A mask according to claim 1 , wherein the mask member is made of a material selected from the group consisting of Cu, Ni, Zn, Ga, Ge, W, Ta, Au, Re, a Cu-nitride, a Ni-nitride, a Zn-nitride, a Ga-nitride, a Ge-nitride, a W-nitride, Ta-nitride, an Au-nitride, a Re-nitride, a Cu-carbide, a Ni-carbide, a Zn-carbide, a Ga-carbide, a Ge-carbide, a W-carbide, a Ta-carbide, an Au-carbide, a Re-carbide, and an alloy of at least two materials. claim 1 4. A mask according to claim 1 , wherein said transparent film is partially decreased in thickness to be said stress charging member. claim 1 5. A mask according to claim 1 , wherein said stress changing member is a transparent member partially deposited on said transparent film. claim 1 6. A mask according to claim 1 , wherein said transparent film is partially modified to be said stress changing member. claim 1 7. An exposure mask comprising: a transparent base; and a transparent film formed on said transparent base, said transparent film having at least one mask member formed in a predetermined mask pattern and having a relatively low exposure beam transparency, said transparent film being partially decreased in thickness to change an in-plane stress distribution of said transparent film. 8. A mask according to claim 7 , wherein said transparent film is partially decreased in thickness to reduce a placement error of said mask member due to said in-plane stress distribution to substantially not more than 14 nm. claim 7 9. An exposure mask comprising: a transparent base; a transparent film formed on said transparent base, said transparent film having at least one mask member formed in a predetermined mask pattern and having a relatively low exposure beam transparency, and at least one transparent member partially deposited on said transparent film to change an in-plane stress distribution of said transparent film. 10. A mask according to claim 9 , wherein said transparent member is made of SiO 2 . claim 9 11. A mask according to claim 9 , wherein said transparent member is formed from the same material as that of said transparent film. claim 9 12. A mask according to claim 9 , wherein said transparent member is partially deposited to reduce a placement error of said mask member due to said in-plane stress distribution to substantially not more than 18 nm. claim 9 13. An exposure mask comprising: a transparent base; a transparent film formed on said transparent base, having at least one mask member formed in a predetermined mask pattern and having a relatively low exposure beam transparency, said transparent film being partially modified to change an in-plane stress distribution of said transparent film. 14. A mask according to claim 13 , wherein said transparent film is partially implant ions to change said in-plane stress distribution of said transparent film. claim 13 15. A mask according to claim 13 , wherein said transparent film is partially doped impurities to change said in-plane stress distribution of said transparent film. claim 13 16. A mask according to claim 13 , wherein said transparent film is partially modified to reduce a placement error of said mask member due to said in-plane stress distribution to substantially not more than 30 nm. claim 13 17. A method of manufacturing a mask, comprising the steps of: forming a transparent film on a transparent base; forming a mask member in said transparent film in a predetermined mask pattern; and partially decreasing in thickness said transparent film to change an in-plane stress distribution of said transparent film. 18. A method of manufacturing a mask, comprising the steps of: forming a transparent film on a transparent base; forming a mask member in said transparent film in a predetermined mask pattern; and partially depositing at least one transparent member on said transparent film to change an in-plane stress distribution of said transparent film. 19. A method of manufacturing a mask, comprising the step of: forming a transparent film on a transparent base; forming a mask member in said transparent film in a predetermined mask pattern; and partially modifying said transparent film to change an in-plane stress distribution of said transparent film. 20. A method of manufacturing a semiconductor device, comprising the steps of: preparing a wafer using a predetermined material; forming the circuit pattern on the wafer by lithography using an exposure mask, said exposure mask comprising a transparent base and a transparent film formed on said transparent base, said transparent film having at least one mask member formed in a predetermined chip pattern and having a relatively low exposure beam transparency, and at least one stress changing member changing an in-plane stress distribution of said transparent film; and dividing said wafer into semiconductor chips.
claims
1. A garment comprising:an article of clothing having at least one pocket, wherein said article of clothing is selected from the group consisting of a long sleeve shirt a short sleeve shirt, a sleeveless shirt, a pair of shorts and a pair of pants; andat least one pad having a top plane, said at least one pad located within said at least one pocket, wherein said at least one pad comprises:a plurality of interconnected, spaced apart tubes, wherein each tube has a first end and a second end; anda first enclosed pathway along a first portion of a perimeter of said at least one pad interconnecting each of said first ends, wherein said first enclosed pathway is recessed from the top plane of said at least one pad between adjacent tubes and wherein said first enclosed pathway comprises at least one opening in each of said tubes adjacent said first end;wherein adjacent tubes are spaced apart to form an aperture through said at least one pad such that said aperture is defined by at least said adjacent tubes and said first enclosed pathway. 2. The garment of claim 1, wherein said interconnected tubes form a cavity, which is filled with fluid that can flow between said plurality of spaced apart tubes. 3. The garment of claim 2, wherein said fluid is air. 4. The garment of claim 3, wherein the air in said cavity is at atmospheric pressure. 5. The garment of claim 3, wherein the air in said cavity is pressurized. 6. The garment of claim 1, wherein said at least one pad comprises ethylene-vinyl acetate (EVA). 7. The garment of claim 1, wherein said at least one pad comprises a foam. 8. The garment of claim 1, wherein said at least one pad comprises a gel. 9. The garment of claim 1, wherein said at least one pad further comprises:a second enclosed pathway along a second portion of said perimeter of said at least one pad interconnecting each of said second ends. 10. The garment of claim 9, wherein said second pathway is recessed from the top plane of said at least one pad between adjacent tubes and wherein said aperture is also defined by said second enclosed pathway. 11. The garment of claim 10, wherein said at least one pad is flexible along said recessed areas of said first and second pathways and substantially less flexible along a length of any of said plurality of spaced apart tubes. 12. The garment of claim 10, wherein said second pathway is recessed from a bottom plane of said at least one pad between adjacent tubes. 13. The garment of claim 1, wherein said at least one pad is flexible such that it conforms to an area of a wearer's body that said at least one pad covers. 14. The garment of claim 1, wherein said at least one pad is shaped to correspond to an area of a wearer's body that said at least one pad covers. 15. The garment of claim 1, wherein said at least one pad is removable from said at least one pocket. 16. The garment of claim 1, wherein said at least one pocket has a first end and a second end and an opening intermediate the first and second ends of the pocket. 17. The garment of claim 1, wherein said at least one pad covers an area selected from the group consisting of ribs, lower back, shoulders, elbows, knees, hips, coccyx, shins and sternum. 18. The garment of claim 1, wherein said at least one pad has a bottom plane, wherein said first pathway is recessed from the bottom plane of said at least one pad between adjacent tubes. 19. A garment comprising:an article of clothing; andat least one pad having a top plane, said at least one pad held to said article of clothing, wherein said at least one pad comprises:a plurality of interconnected, spaced apart tubes, wherein each tube has a first end and a second end;a first enclosed pathway along a first portion of a perimeter of said at least one pad interconnecting each of said first ends, wherein said first enclosed pathway is recessed from the top plane of said at least one pad between adjacent tubes and wherein said first enclosed pathway comprises at least one opening in each of said tubes adjacent said first end; anda second enclosed pathway along a second portion of said perimeter of said at least one pad interconnecting each of said second ends, wherein said second pathway is recessed from the to lane of said at least one sad between adjacent tubes;wherein adjacent tubes are spaced apart to form an aperture through said at least one pad such that said aperture is defined by at least said adjacent tubes, said first enclosed pathway, and said second enclosed pathway. 20. The garment of claim 19, wherein said at least one pad is flexible along said recessed areas of said first and second pathways and substantially less flexible along a length of any of said plurality of spaced apart tubes. 21. The garment of claim 19, wherein said at least one pad is removable from said article of clothing. 22. The garment of claim 19, wherein said at least one pad has a bottom plane, wherein said first pathway is recessed from the bottom plane of said at least one pad between adjacent tubes. 23. The garment of claim 19, wherein said second pathway is recessed from a bottom plane of said at least one pad between adjacent tubes. 24. A garment comprising:an article of clothing having at least one pocket; andat least one pad having a top plane, said at least one pad located within said at least one pocket, wherein said at least one pad comprises:a plurality of interconnected, spaced apart tubes, wherein each tube has a first end and a second end;a first enclosed pathway along a first portion of a perimeter of said at least one pad interconnecting each of said first ends, wherein said first enclosed pathway is recessed from the top plane of said at least one pad between adjacent tubes and wherein said first enclosed pathway comprises at least one opening in each of said tubes adjacent said first end; anda second enclosed pathway along a second portion of said perimeter of said at least one pad interconnecting each of said second ends, wherein said second pathway is recessed from the top plane of said at least one pad between adjacent tubes;wherein adjacent tubes are spaced apart to form an aperture through said at least one pad such that said aperture is defined by at least said adjacent tubes, said first enclosed pathway, and said second enclosed pathway.
description
Next, preferred embodiments of a boiling water reactor nuclear power plant according to the present invention will be described hereunder with reference to FIG. 1 to FIG. 14. FIG. 1 is a cross sectional view showing the general composition of a nuclear reactor pressure vessel. As illustrated in FIG. 1, in the present embodiment, a reactor core 202 is provided in the bottom of the nuclear reactor pressure vessel 201. More specifically, a reactor core support plate 204 is provided in the vicinity of a base portion of the nuclear reactor pressure vessel 201, a plurality of fuel assemblies 206 are supported on the reactor core support plate 204 standing in a square lattice configuration, and the top of the fuel assemblies 206 are fixed by an upper grid plate 205, whereby a reactor core 202 is constituted. The control rods 212 are cross-shaped control rods which are regularly disposed for each group of four fuel assemblies 206, and which are inserted into the reactor core 202 from above, by means of an internal control rod drive mechanism 211 accommodated entirely in the nuclear reactor pressure vessel 201. More specifically, a reactor core shroud 203 is provided on top of the upper grid plate 205 and control rod guide tubes 213 are disposed in this reactor core shroud 203. A control rod drive mechanism support grid 208 is fixed on the shroud head 207 of the reactor core shroud 203, and the control rod drive mechanism 211 is provided on this control rod drive mechanism support grid 208. The control rod drive mechanism 211 has, for example, a ball screw structure, the control rods 212 being connected to this control rod drive mechanism 211 by means of control rod drive shafts 214, the control rod being possible for going up and down. Thereby, the control rods 212 are inserted from above into the reactor core 202 by passing through the control rod guide tubes 213. In the present embodiment, all of the control rod guide tubes 213 are connected integrally, thereby to form a structure having a honeycomb-shaped lateral cross-section comprising a bundle of multiple tubes. The gap space adjacent to the control rod guide tubes 213 is open in the vertical direction, in such a manner that the two-phase gas/liquid flow generated in the reactor core 202 rises up via a two-phase flow region constituted by the gap space adjacent to the control rod guide tubes 213. A steam-water separator 209 is provided above the control rod drive mechanism 211 in the nuclear reactor pressure vessel 201, and furthermore a steam dryer 210 is provided above the steam-water separator 209. A withdrawal space 227 for withdrawing and removing the control rods is provided below the steam dryer 210. Moreover, a main steam pipe 215, feed water pipe 216 and emergency core cooling pipe 217 are provided respectively above the reactor core 202 of the nuclear reactor pressure vessel 201, and no piping, valves, drive mechanisms, or the like are provided below the reactor core 202. In this nuclear reactor pressure vessel 201, during plant operation, the two-phase flow generated in the reactor core 201 rises up via the gap space adjacent to the control rod guide tubes 213, and the steam passes through the steam-water separator 209 and steam dryer 210, and is sended via the main steam pipe 215. While, the separated water drops back down along the internal walls of the nuclear reactor pressure vessel 201, through either the steam-water separator 209 or steam dryer 210, and hence goes back on natural circulation to the reactor core 202. Therefore, according to the present embodiment, since the reactor core 202 is placed in a bottom position in the nuclear reactor pressure vessel 201 and the control rod guide tubes 213 are disposed thereabove, a chimney effect is created. The chimney effect enhances thermally driven natural circulation flow of water heated in the core 202 up into the region containing the control rod guide tubes 213 where the water cools and sinks to the bottom of the reactor vessel for reentry into the core 202 as illustrated in FIG. 6. Thus, the chimney effect increases the natural circulation flow rate that can be obtained. Hence, the characteristic features of the natural circulation type reactor can be utilized to the maximum. Furthermore, since it is not necessary to provide re-circulation pumps, as in a conventional nuclear reactor pressure vessel, the composition of the nuclear reactor pressure vessel can be made very compact, and significant economic benefits can be obtained by associated cost reductions. FIG. 2 is a general sectional view showing a composition of a nuclear reactor building for accommodating the nuclear reactor pressure vessel 201. This nuclear reactor building 221 has a unified structure comprising an underground level and an overground level, which is installed on underground foundations via whole anti-seismic devices 413 for suppressing vibration in the vertical direction and lateral direction, and a pressure containment vessel 401 is disposed in the central region of the underground level. Furthermore, in the overground level of the nuclear reactor building 221, there are provided a turbine 222 and a main condenser 223, along with a main control room, air conditioning component room 224, and the like. Moreover, there is also provided a removal space 414 having a sufficient volume to permit the nuclear reactor pressure vessel 201 to be lifted up to the central region of the overground level, thereby forming a composition wherein the whole nuclear reactor pressure vessel 201 can be lifted out upwards in one piece, as described hereinafter, thereby permitting exchange of the whole nuclear reactor pressure vessel unit. The pressure containment vessel 401 has a dual-cylinder structure comprising an inner wall 401a for forming a dry well 231 about the outer circumference of the nuclear reactor pressure vessel 201, and an outer wall 401b for forming a pressure suppression pool 404 to the outer side of the inner wall. The walls of this pressure containment vessel are constituted by a plurality of, for example, two, three or more, steel plates which are mutually opposing in separated fashion, the spaces between the opposing steel plates being able to convey water or air. These steel plates are formed with ribs which project vertically, laterally, or both vertically and laterally, on the opposing faces of the steel plates. Furthermore, the pumps, fans, and the like, which are active devices installed inside the pressure containment vessel 401 are to be minimized, and the piping such as the main steam pipe 215 led from the nuclear reactor pressure vessel 201, and the valves, and the like, provided inside the pressure containment vessel are accommodated inside a guard pipe 407 having a closed front end, which extending from a portion of the dry well 231 to the outside. Furthermore, the active devices disposed inside the dry well 231 may be minimized by using a control rod drive system that comprises upper entry type control rod drive mechanisms 211 which are built into the nuclear reactor pressure vessel 201, thereby removing control rod drive system structure from the dry well. This embodiment also features control rod drive mechanism 211 designed to low-maintenance specifications and control rods and fuel assemblies 206 designed to be used up over long-term operations (for example, 20 years or more). The cooling water in the nuclear reactor pressure vessel 201 is circulated on natural circulation driving force, wherein active pumps such as internal pumps and the like are eliminated. Internal reactor instrumentation and the like is inserted from above the nuclear reactor pressure vessel 201. Two isolation valves for the pressure containment vessel 401 are provided, as far as possible to the outer side of the pressure containment vessel 401. A dry well sump 409 is provided in the lower portion of the dry well 231, and reactor sump 410 is provided in a position further below the dry well sump 409. Consequently, drainage from the dry well sump 409 is able to transfer by the gravity force to the lower positioned reactor sump 410. Therefore, no active pumps such as sump pumps are provided inside the dry well 231. Two isolation valves for the pressure containment vessel 401 are provided outer side of the pressure containment vessel 401. A dry well sump 409 is provided in the lower portion of the dry well 231, and reactor sump 410 is provided in a position further below the dry well sump 409. Consequently, drainage from the dry well sump 409 is able to transfer by the force of gravity to the lower positioned reactor sump 410. Therefore, no active pumps such as sump pumps are provided inside the dry well 231. In this way, by integrating buildings that would otherwise have different seismic grades, such as a reactor building 221 and a turbine building or the like, into one building, the seismic design and construction design for the buildings can be performed together in one process, and turbines 222 and the piping or the like can be arranged together with this reactor building 221, in an integral way, on a foundation having an anti-seismic structure. Thereby, it is possible to achieve design standardization and rationalization. As described above and illustrated in the figures, the pressure containment vessel 401 has a dual-cylinder structure formed by an inner wall and an outer wall. As shown in FIGS. 2, 10A and 11, the pressure containment vessel 401 comprises a dry well 231 provided on the inner side of the inner wall and a pressure suppression pool 404 provided in a portion of the volume between the inner wall and the outer wall. As shown in FIG. 2, most of the pressure suppression pool 404 volume is disposed above the reactor core 202 constituted by the fuel assemblies 206. A dry well flooding pipe 430 for injecting water from the pool into the dry well 231 under its own gravity in the case of an emergency, and a gravitational reactor core cooling water injection system pipe 234 for injecting water into the reactor core 202 are connected to the pressure suppression pool 404. Thereby, the pressure suppression pool 404 has a composition whereby the pool water contained therein also serves as a water source for a gravity driven core cooling system. Supposing, for example, that a loss of coolant accident (LOCA) has occurred, then the gravity driven core cooling system pipe 234 injects water from the pressure suppression pool 404 into the reactor pressure vessel 201 via a check valve and shut-off valve (shown in FIG. 3 connected to pipe 234), and the reactor core 202 is cooled by flooding, in such a manner that a more severe accident can be prevented from occurring. Therefore, the boiling water reactor nuclear power plant according to the present embodiment is a nuclear power plant which is able to provide a greater safety sense to the local population and the plant operators, compared with a conventional boiling water reactor nuclear reactor, without having to consider countermeasures against falling of molten core material or steam explosions, as measures against so-called severe accidents, nor needing to assume about the generation of a large quantity of hydrogen or the like due to a water/zirconium reaction or the like. Furthermore, as shown in FIG. 4, the present embodiment facilitates meeting government-imposed requirements concerning severe accident countermeasures by having no nozzles, penetrations, or the like, for making piping connections below the elevation of the reactor core 202 in the nuclear reactor pressure vessel 201. The main 4 pipes connected to the nuclear reactor pressure vessel 201 only comprise, as described above, the main steam pipe 215, the feed water supply pipe 216, and the emergency core cooling system pipe 217, which are located above the reactor core 202. Moreover, by adopting the internal control rod drive mechanism 211 and a natural circulation system, there is no need to provide multiple nozzles for installing a control rod drive mechanism situated below the nuclear reactor vessel, or nozzles to be passed by the main shafts of the internal pumps, as in a conventional boiling water reactor nuclear reactor. Therefore, it is possible to minimize the spatial volume of the lower portion of the dry well 231 surrounding the nuclear reactor pressure vessel 201, and since water can more rapidly fill this small space at a given flow rate, it is possible to achieve a structure which permits IVR (In-Vessel Retention) as a severe accident countermeasure. Namely, the retention of molten core material inside the nuclear reactor pressure vessel can be attained by rapidly flooding the dry well 231, thereby cooling the nuclear reactor pressure vessel 201 before fuel melt-through can begin and preventing the event from progressing. Thus, minimizing the spatial volume of the lower part of the dry well 231 permits it to be filled with water faster for a given flow rate. Moreover, by supplying the water to the dry well 231 rapidly, even greater safety margins can be obtained. In the boiling water reactor nuclear power plant according to the present embodiment, the piping nozzles used for all of the reactor internal instrumentation which measures the reactor output power, reactor water level and the like, are disposed above the elevation of the reactor core 202 where the fuel assemblies 206 are loaded. Therefore, it is simple to achieve a structure wherein no nozzles, or the like, are disposed below the elevation of the reactor core 202 in the nuclear reactor pressure vessel 201. Consequently, the nuclear reactor pressure vessel 201 can readily be removed upwards in one piece, and even when the instrumentation pipes are disconnected in order to lift out the nuclear reactor pressure vessel 201, there is no leak of reactor water, and furthermore, the nuclear reactor pressure vessel 201 can be removed in one piece whilst the fuel remains in the reactor. FIG. 3 shows the system composition of a nuclear power plant according to the present embodiment. As illustrated in FIG. 3, in addition to providing a depressurization valve 224 inside a guard pipe 407 as part of an emergency core cooling system, the aforementioned gravity driven core cooling water injection system pipe 234 is also provided, so that the reactor core can be flooded in water rapidly by gravity driven water of the pressure suppression pool, thereby ensuring safety. Moreover, an emergency condenser 225 is also provided. Steam from the nuclear reactor pressure vessel 201 is introduced into this emergency condenser 225 via an emergency condenser steam pipe 226, and is condensed in the emergency condenser 225. The resulting condensate is returned to the reactor core 202 via the emergency condensed water pipe 227. Thereby, in cases where it is necessary to shut down the nuclear reactor in a safe manner, for instance, in transient condition in the nuclear reactor or the like, it is possible to shut down the nuclear reactor at high-temperature in an isolated condition (i.e., with the nuclear reactor isolated from the turbine and the main condenser). FIG. 4 shows a modification example of the nuclear reactor pressure vessel 201. In the embodiment shown in FIG. 4, in addition to natural circulation as a recirculation system for the cooling water inside the nuclear reactor pressure vessel 201, the natural circulation of the cooling water can be enhanced and the nuclear reactor output can be controlled, through a combination of a centrifugal type steam-water separator 219 bent into an inverse U-shape, and jet pumps 220 driven by the feed water from a feed water supply pipe 216. More specifically, the centrifugal type steam-water separator 219 comprises a space on the inlet side of the reactor core that is partitioned from the nuclear reactor pressure dome (region forming water surface) which leads up via a pipe from the shroud head 207 and separates steam and water by means of the centrifugal force of an inverse U-shaped section that is opened to the inner side. The separated steam passes into an upper dome, while the remaining water is recirculated by a receiving side receiving nozzle. The water is then collected to be pressurized by a downstream diffuser, and descends via a pipe, whilst at the same time being connected in parallel to a jet pump output region driven by the feed water, provided in order to collect the feed water and the water not yet collected by the centrifugal steam separator device. A reference numeral 217 denotes an emergency reactor core cooling system pipe, which is located above the reactor core 202. Furthermore, in this example, the steam dryer is a cylindrical-type steam dryer 218, and a main steam pipe 215 connected to this cylindrical stream dryer 218 leads from the upper end portion of the nuclear reactor pressure vessel 201. The remaining composition is approximately the same as that illustrated in FIG. 1. By using centrifugal steam-water separator 219, the driving force of the cooling water circulation in the reactor is increased, and a high density disposed core can be also adopted, thereby enabling the height of the nuclear reactor pressure vessel 201 to be reduced to approximately the same height as a conventional forced circulation type reactor. FIG. 5 shows a further modification of the nuclear reactor pressure vessel 201. In this example, in place of the internal control rod drive mechanism 211 illustrated in FIG. 1, an external control rod drive mechanism 235 as commonly used in a pressurized water reactors is adopted as a drive system for the upper entry control rod 212. In this case, since the control rod drive shafts 214 must penetrate the steam dome and upper border at the top of the nuclear reactor pressure vessel, the stream dryer 210 is accordingly formed in a cylindrical fashion along the side walls of the nuclear reactor pressure vessel 201. The remaining composition is approximately the same as that illustrated in FIG. 1. By adopting the external control rod drive mechanism 235 of this kind, it is possible easily to implement the present plant concept. FIG. 6 to FIG. 9 illustrate the valve mechanisms provided inside the nuclear reactor pressure vessel 201, and the action thereof. In this embodiment, a valve which can be opened as desired from the inner side of the reactor core shroud 203 to the outer side thereof is provided in a position above the fuel assemblies 206 constituting the reactor core 202. More specifically, as illustrated in FIG. 6, a flow path such as a pipe or the like is provided so as to penetrate from the inside to the outside of the shroud head 207, for instance, above the reactor core 202. An optionally openable nuclear reactor pressure vessel internal valve 302 is installed on the downcomer section 303 of this flow path. Thereby, it is possible to cause cooling water to flow from the inside to the outside by a pressure difference during its passage through the reactor core shroud 203, without obtaining a chimney effect. The composition of the nuclear reactor pressure vessel internal valve 302 and its installation position and the like are not particularly limited to the composition and positions illustrated in FIG. 6, and these may be changed or applied variously as illustrated in FIG. 8 and FIG. 9 described hereinafter. In other words, the valve installation positions may be selected freely, provided that these positions are at the chimney elevation required to reduce the flow rate in the reactor core. In a composition of RPV of this kind, during normal plant operation, the nuclear reactor pressure vessel internal valves 302 are closed, and the valve flow path is in a shut-off state. The flow path can then be opened to the required degree, as desired, according to requirements. For example, during the normal plant operation, the cooling water is circulated by passing along a natural circulation path inside the nuclear reactor pressure vessel 201, as illustrated by the arrows in FIG. 6. When the distance from the outlet of the reactor core 202 to the steam separator 209 is increased, (in other words, by lengthening the chimney section), the pressure of the water head in the downcomer section 303 (densityxc3x97gravity accelerationxc3x97height) is increased, and hence the driving force causing the cooling water to circulate is also raised. Moreover, for example, if an ATWS (Anticipated Transient Without Scram) accident occurs, then by opening the nuclear reactor pressure vessel internal valve 302 as illustrated in FIG. 7, the length of the downcomer section 303 is shortened, and moreover, by allowing the low-density two-phase flow inside the reactor core shroud to exit, the water head pressure in the downcomer section 303 is reduced. Consequently, the void can be increased by reducing the flow rate at the reactor core inlet, and hence the reactor core output can be restricted by addition of negative reactivity. It is also possible to apply this method for controlling output power of the plant during normal operation. FIG. 8 shows one compositional example of a nuclear reactor pressure vessel internal valve 302. The nuclear reactor pressure vessel internal valve 302 illustrated in FIG. 8 comprises an electrically driven or electromagnetically driven valve or the like, which directly opens and closes a water passage hole 304 which is formed by penetrating the shroud head 207, for example. This nuclear reactor pressure vessel internal valve 302 comprises a stator 306 provided in a sealed case 305, and a rotor 307 corresponding to the stator 306. A valve shaft 309 is driven to advance and withdraw in the axial direction by a ball nut 308 caused to turn by the rotor 307, in such a manner that the water passage hole 304 can be opened and closed by a valve member 310 provided on the valve shaft 309. In the example shown in FIG. 8, the valve member 310 is disposed inside the reactor core shroud 203. FIG. 9 shows an example where the valve member 310 is disposed to the outer side of the reactor core shroud 203. Naturally, it is also possible to adopt various compositions other than those illustrated in the diagrams. Next, a heat exchanging function by means of dry well flooding and the like will be described with reference to FIG. 10 and FIG. 11. These figures show enlarged partial sectional views of a portion of the pressure containment vessel 401: FIG. 10(A) illustrates the cooling action during normal operation as performed by a normally used cooling system, and the action inside the nuclear reactor pressure vessel 201 when the reactor water level falls. As shown in FIG. 10(B), the walls 402 of the pressure containment vessel 401 comprise, for example, vertical ribs 403 on opposing sides thereof, to form a ship hull-type double-steel-plate structure. As illustrated in FIG. 10(A), in the present embodiment, cooling water is accommodated and stored in the base section of the pressure suppression pool 404 comprised by these walls 402 and in the spaces between the opposing faces in the inner and outer circumference sections. Furthermore, the space above the dry well 231 and the space below and to the outer side of the pool 404 are connected by means of a connecting pipe 412 provided with a normal use refrigerator 413, in such a manner that the water heated at the side of the dry well 231 is cooled by the normal use refrigerator 413 when it is circulated to the outer circumference of the pressure suppression pool 404. Furthermore, these spaces are also connected via a coupling pipe 234 to a passive containment vessel cooling system (PCCS) pool 233 provided above the pressure suppression pool 404. Thereby, a dry well cooling system 411 is constituted. Furthermore, as an emergency opening passage connecting to the dry well 231, a pair of upper and lower dry well flooding pipes 430, for example, are provided on the inner periphery of the pressure suppression pool 404. In addition, a valve 430a which opens in emergency condition is provided in each of these dry well flooding pipes 430. Moreover, a water injection pipe 235 for advance used water injection is provided in the pressure suppression pool 404 facing into the dry well 231, at a position between the dry well flooding pipes 430. A valve 235a is provided in the water injection pipe 235 for advance water injection, which opens prior to the valves 430a that open the dry well flooding pipes 430 in the event of an emergency. This valve 235a has a composition whereby it opens at a predetermined signal or temperature, or melts at a predetermined temperature. The present embodiment constituted in this way has the following function. Firstly, for cooling the inside of the dry well during normal operation of the nuclear reactor, the cooling water of the dry well cooling system 411 is circulated through gaps in the walls 402 of the nuclear reactor containment vessel 401. Chilled water of approximately 7xc2x0 C., for example, is used for this cooling water. In the present embodiment, the actual volume of the dry well 231 itself is made small due to the structure of the containment vessel, as described above, and hence the internal temperature of the dry well 231 can be maintained at a prescribed temperature by the circulation of this water. On the other hand, in the case of a loss of coolant accident in the nuclear reactor, for example, it is possible to cool the pressure containment vessel using natural driving forces only, by means of the water passing through the gaps formed by the dual-steel-plate structure of the side walls of the pressure containment vessel 401, thereby to constitute a containment vessel wall cooling system. In other words, although the water inside the pressure containment vessel 401 will reach a high temperature, the cooling water at the outer side of the pressure containment vessel 401 will not heat up and will remain at a low temperature, and therefore cooling can be performed by the natural circulation of this cooling water. Next, supposing a severe accident wherein the reactor core fuel melts and drops onto the base of the reactor pressure vessel, water will be injected into the reactor pressure vessel 201 from the gravity driven core cooling system pipe 234. Also, the valve 235a will be opened, or melted by the temperature, and water will be injected into the dry well 231 from the water injection pipe 235. By flooding the dry well surrounding the reactor pressure vessel, the molten fuel will be cooled through the pressure vessel and damage to the lower boundary region of the nuclear reactor pressure vessel 201 can be prevented. Thereafter, the valves 430a are opened, and water is injected from the lower-side dry well flooding pipe 430, and the water is circulated via the upper-side flooding pipe 430. By cooling the outer walls of the nuclear reactor pressure vessel 201 in this way, the concept of IVR, namely, cooling the molten fuel within the nuclear reactor pressure vessel 201, is implemented. In the boiling water reactor nuclear power plant according to the present invention, cooling of the lower border region of the nuclear reactor pressure vessel is performed easily by locating the control rod drive mechanism on the upper portion of the nuclear reactor pressure vessel. In other words, heat exchange is performed by constructing the walls of the pressure containment vessel 401 in a ship hull-type dual-steel-plate structure, and circulating cooling water via natural circulation inside these walls 402 having a dual-steel-plate structure, in order to cool the outer side of the pressure containment vessel 401. Furthermore, since the lower dry well 231 and the pressure suppression pool 404 are connected by means of a coupling pipe 430 at two points of different connection height, an upper point through which heated water from the dry well will flow and lower point through which cooler water from the pressure suppression pool will flow as shown in FIGS. 10A and 11, then a thermal convection flow is created between the dry well 231 and the pressure suppression pool 404, and hence effective cooling can be performed. Moreover, in the space of the lower dry well, since the spatial volume of the dry well is minimized by the filler concrete 440 on the bottom of the dry well, then when the lower dry well 231 is filled with water to cool the walls of the nuclear reactor pressure vessel 201, a natural circulation cooling effect is created wherein the walls of the nuclear reactor pressure vessel 201 form a heat generating source, and the walls of the pressure containment vessel 401 form a heat radiation source. Consequently, stable cooling of the nuclear reactor pressure vessel side walls is performed over a long period, and the heat generated is released to the exterior if the pressure containment vessel 401, thereby suppressing increase in the pressure inside the containment vessel, whilst also restricting a severe accident to a state where the molten fuel is retained within the nuclear reactor pressure vessel 201, thereby to terminate the severe accident. In other words, the influences generated by a severe accident can be minimized, and improved safety can be realized. Moreover, the condensed water produced inside the dry well 231 flows down to a dry well sump 409 located at the bottom part of the dry well 231, whereupon it is discharged under gravity to the reactor sump 410 provided in the nuclear reactor building 221. Therefore, it is not necessary to provide active components and devices inside the dry well 231. FIG. 12 is an explanatory diagram showing a severe accident automatic cooling device. In this severe accident automatic cooling device, a normally closed water discharge pipe led from the pressure suppression pool 404 into the dry well 231 at the base region of the nuclear reactor pressure vessel 201, and heat-sensing device is employed as sealing device. Specifically, the pressure suppression pool 404 and the base portion of the dry well 231 are connected by means of a coupling pipe 441 forming a normally close water discharge pipe. The dry well 231 base side of this coupling pipe 441 is sealed by device of a low-melting-point metal (for example, solder) 442 which forming the aforementioned heat-sensing device. Moreover, a differential pressure meter 443 is provided in the coupling pipe 441. If a severe accident occurs and there is a melt down of the reactor core 202, the molten core material falling down from the lower portion of the nuclear reactor pressure vessel 201 to the base portion of the dry well 231 will raise the temperature in the dry well 231. As the temperature in the dry well 231 rises, the temperature of the low-melting-point metal 442 forming the seal means rises until the metal melts. By so doing, water will be discharged into the base portion of the dry well 231 under the force of gravity from the pressure suppression pool 404, thereby cooling the molten core material and hence enabling the molten material to be sealed and held inside the pressure containment vessel 401. Moreover, by means of water flowing in the coupling pipe 441, initiation of this water discharge, and thus the presence of the reactor core in the dry well, can be detected by the differential pressure meter 443. FIG. 13 shows a cooling structure based on heat exchange between a pressure suppression pool 404 and a dry well 231, using a heat pipe. More specifically, the pressure suppression pool 404 and the dry well 231 are linked by means of a heat pipe 444. If heat is released into the dry well 231 in the event of an accident, thereby causing the temperature of the dry well 231 to rise, then the heat is transmitted by the heat pipe 444 to the pressure suppression pool 404, without requiring active devices. Thereby, the temperature and pressure of the dry well 231 can be reduced. By using a heat pipe 444, it becomes possible to restrict and terminate the accident without flooding the dry well 231. In this way, according to the present embodiment, as cooling for the dry well 231 during normal operation, water is filled into the space within the dual-steel-plate structure constituting the pressure containment vessel 401, and chilled water (for example, water at 7xc2x0 C. approximately) of an air conditioning system is supplied and circulated therein in order to cool the dry well 231 from the exterior thereof, whereby it becomes unnecessary for the dry well to be provided with active devices such as dry well cooling fans or the like. When use of the fuel has been completed by operation for a prescribed period of time, then as illustrated in FIG. 14, the pressure containment vessel 401 is split and separated about the periphery of the nuclear reactor pressure vessel 201. Thereafter, the split nuclear reactor pressure vessel 201 together with the pressure containment vessel 401 are then lifted out into an overhead removal space (extraction space) 414, and the whole nuclear reactor pressure vessel 201 can then be exchanged readily. In the present embodiment, an inner/outer dual-wall structure is used for the pressure containment vessel 201 on the dry well 231 side and the pressure suppression pool 404 side. Therefore, the splitting of the pressure containment vessel 401 when exchanging the nuclear reactor pressure vessel 201 can be performed efficiently by performing the splitting at a position where the dual walls are divided in the vertical direction. Furthermore, the pressure containment vessel 401 has a dual-cylinder structure wherein the dry well 231 is positioned to the inner side thereof and the pressure suppression pool 404 is positioned to the outer side thereof. Further, a composition is adopted wherein a minimum amount of active devices such as pumps, fans and the like are placed inside the pressure containment vessel 401. In addition to this composition, devices requiring maintenance, such as pipes like the main steam pipe 215 led from the nuclear reactor pressure vessel 201, and the pressure containment vessel internal valves and the like are accommodated inside a guard pipe 407 extending from the dry well 231 portion to the dual-cylindrical structure to the exterior thereof, so that it becomes possible to perform maintenance of the valves and the like, inside the guard pipe. Moreover, by minimizing the active devices positioned inside the dry well 231, designing the control rods 212 to be maintenance-free, eliminating active pumps such as internal pumps, by adopting a natural circulation system for the reactor internal circulation system, and adopting a nuclear reactor pressure vessel 201 top entry-insertion design for the internal reactor instrumentation and the like, then the pressure containment vessel can be made more compact, and the composition thereof can be simplified. Furthermore, the isolation valves for the pressure containment vessel 401 are constituted by two valves provided on the exterior of the containment vessel, and the dry well sump 409 is positioned below the dry well 231, so that the gravity force can be used to discharge the water, thereby dispensing with the need to provide active devices such as sump pumps or the like into the dry well 231. Moreover, for instance, when the valves that must be positioned within the dry well 231; the nuclear reactor pressure vessel safety relief valve; the vacuum breaker valve; the depressurization value of the emergency reactor core cooling system; the gravity driven core cooling valve; the dry well flooding valve and the like, are placed inside a guard pipe 407, so that the operators are protected from the dry well environment during maintenance, a low radiation exposure state can be maintained, and maintenance and the like can be performed easily. Moreover, with regard to spent fuel, since the cylindrical section of the dry well 231 can be split and separated readily by means of a dual-steel-plate structure, as described above, and furthermore, since it can be separated from the pressure suppression pool and the guard pipe section whilst still united with the nuclear reactor pressure vessel 201, then by subsequently sealing the split sections of the dry well and the nuclear reactor pressure vessel 201, and filling the dry well section with water to attain a flooded cooled state. Therefore, it is possible to cool the nuclear reactor pressure vessel from the outside, and to transport the single unit to a transport ship or the like, whilst maintaining cooling of the fuel inside the nuclear reactor pressure vessel 201. Furthermore, by being able to remove the need for a spent fuel pool and associated apparatus thereof, and using the same building for structures having different seismic grades, such as the reactor building 221 and turbine building and the like, it is possible to carry out seismic design and construction design jointly in one process. By unifying the pipes and turbines, and the like as well as the buildings, and positioning the same on an anti-seismic foundation, it is possible to standardize the whole seismic device 413 and mitigate the seismic design conditions, thereby permitting design standardization and rationalization. Moreover, by fabricating the integrated reactor building 221 in module units in a factory, and transporting these units to the construction site, it is possible to combine only the required number of modules, hence modules can be selected according to the plant output power. Effects of this Invention As described above, according to an aspect of the present invention, it is possible to provide a compact and economical nuclear power plant. According to an aspect of the invention, even if a gravity driven core cooling system forming a passive safety system is adopted, it is possible to keep a source of water for the gravity driven core cooling system in the pressure suppression pool, and hence the size of the containment vessel can be compactified. According to an aspect of the invention, since all obstacles such as nozzles, pipes and the like can be eliminated from the area below the nuclear reactor pressure vessel, and the volume of the lower dry well can be minimized, it is possible to minimize the pool volume that is to be filled by the gravity driven core cooling system, and IVR (In Vessel Retention), namely, a retention of molten core material inside the nuclear reactor pressure vessel in order to prevent an incident from advancing, can be performed readily as a severe accident countermeasure. According to an aspect of the invention, even if an ATWS (Anticipated Transient Without Scram) event occurs, then output power can be suppressed until the boric acid solution injection system for shutting down the nuclear reactor is operated. According to an aspect of the invention, since removal of heat form the pressure containment vessel as required in the event of a loss of coolant accident or the like can be performed by means of a simple and compact system based on natural forces, then merits in terms of reliability and economy efficiency can be obtained. According to an aspect of the invention, even if the reactor core has melted in a severe accident, cooling of the walls of the reactor pressure vessel and release of heat to the exterior of the pressure containment vessel can be effectively performed whilst readily retaining the molten reactor core inside the reactor pressure vessel. Furthermore, the influences of the severe accident can be kept to a minimum, thereby improving the safety of the plant. According to an aspect of the invention, there is no need to provide active devices in the dry well, hence making the interior of the dry well a maintenance-free space and also rationalizing the required space. According to an aspect of the invention, even if a reactor core melt down occurs, cooling and isolation of the molten material can be achieved without providing active devices inside the pressure containment vessel. Moreover, abnormal conditions can also be detected reliably. According to an aspect of the invention, it is possible to transmit heat released into the dry well in the event of an accident, to the pressure suppression pool without using active devices, and hence the accident can be terminated without flooding the dry well. Thereby, the reliability of the plant can be increased. According to an aspect of the invention, it becomes unnecessary for operators to enter inside the dry well, which is a high-radiation area, for performing maintenance of valves and the like. Further, the maintenance work can be performed inside a partially restricted guard pipe only, thereby reducing the radiation exposure of the operators. According to an aspect the invention, the same building can be used for all of the facilities, and hence an economic merit is obtained. According to an aspect of the invention, it is possible to exchange the whole nuclear reactor pressure vessel including the dry well cylinder section in one unit. According to an aspect of the invention, by positioning the integrated nuclear reactor building module on a foundation having an anti-seismic structure, standardized design for both the building and the devices and equipment can be achieved readily. According to an aspect the invention, by fabricating integrated building modules in a factory and transporting the modules to the construction site, it is possible to arbitrarily select the plant output as required by installing only the required number of modules at the construction site.
045335141
description
This invention has been achieved as a result of investigation of the characteristic peculiarities at the time of start-up and shutdown of the boiling water-type nuclear reactors. First, the situation at the time of shutdown of a boiling water type nuclear reactor is discussed. During the normal operation of a boiling water-type nuclear reactor, the steam generated in the nuclear reactor pressure vessel is fed into a turbine by way of main steam piping. The inside pressure of the vessel during normal operation is around 70 kg/cm.sup.2 and the coolant temperature in the vessel is about 280.degree. C. When the reactor operation is discontinued, all of the control rods are first inserted into the core to stop nuclear fission and then the main steam valve in the main steam piping is closed to release the steam into a condenser through by-pass piping, reducing the pressure in the reactor pressure vessel. When the inside pressure of the vessel drops below 10 kg/cm.sup.2, steam release from by-pass piping is stopped and the coolant in the vessel is cooled by a residual heat removal system. This causes corresponding reduction of the inside pressure of the vessel. At the time of shutdown of the reactor, the pressure vessel is in communication with the condenser which is under negative pressure. Curve I in FIG. 1 shows the change of dissolved oxygen concentration in the coolant in the reactor pressure vessel at the time of shutdown of a conventional nuclear reactor described above. The dissolved oxygen concentration in the coolant stays constant at about 0.1 ppm independently of the drop of the coolant temperature, but when the residual heat removal system is operated (point B), that is, when the coolant temperature in the reactor pressure vessel reaches about 130.degree. C., there takes place a sharp rise of the dissolved oxygen concentration in the coolant. However, when the coolant temperature drops to around 100.degree. C., the dissolved oxygen concentration in the coolant in the pressure vessel again decreases to the constant level of around 0.1 ppm. The sharp rise of the dissolved oxygen concentration in the coolant as shown by the characteristic curve I is due to the operation of the residual heat removal system, because in the residual heat removal system, the high disolved oxygen concentration water remains inoperative at the time of start of the reactor or when the reactor is in normal rated output operation. Since the coolant is retained in said removal system during such period of operation, the dissolved oxygen concentration in the coolant increases gradually, and as the coolant with such high dissolved oxygen concentration in the residual heat removal system is supplied into the vessel at the time of shutdown of the reactor, there occurs a rise of the dissolved oxygen concentration in the coolant in the reactor pressure vessel. Point A in FIG. 1 indicates the time when insertion of the whole control rods is completed, and point C indicates the time when the head vent valve in the pressure vessel is opened. In case a welded structure of stainless steel is kept in contact for a prolonged period of time with the coolant having a dissolved oxygen concentration falling in the region S in FIG. 1 (stress corrosion cracking-sensitive region) where the temperature is about 100.degree. C. or above and the dissolved oxygen concentration is in excess of about 0.2 ppm, the structure has a risk of suffering stress corrosion cracking. FIG. 2 shows the change of dissolved oxygen concentration in the coolant in a boiling water-type nuclear reactor pressure vessel at the time of start-up of the reactor. The conventional starting method is as illustrated in FIGS. 4 and 5 in U.S. Pat. No. 4,293,382. According to this method, first the condenser vacuum pump is operated to degas the nuclear reactor pressure vessel, and when the dissolved oxygen concentration in the coolant in the vessel drops below 0.2 ppm, the control rods are withdrawn from the core, and after a critical state is reached, nuclear heating is conducted. The change of dissolved oxygen concentration in the coolant in the nuclear reactor pressure vessel at the time of start-up according to such conventional method is shown by characteristic curve III in FIG. 2. A dissolved oxygen concentration in the stress corrosion cracking-sensitive region S is reached when the coolant temperature in the vessel is between 100.degree. and 175.degree. C. The rise of dissolved oxygen concentration in the coolant in the reactor vessel at the start-up of the reactor as shown by curve III is due to nuclear heating incidental to the control rod withdrawal. Point D in FIG. 2 indicates the time point at which the condenser vacuum pump is operated to start degassing, and point E indicates the time point when the control rods are withdrawn to initiate nuclear heating of the coolant after the boiling water-type nuclear reactor has reached a critical state. As shown by curves I and III in FIGS. 1 and 2, at the time of shutdown and start-up of a boiling water type nuclear reactor in the conventional way, there exists a period in which the dissolved oxygen concentration in the coolant in the reactor pressure vessel stays in the region S. Actually, however, the period in which the dissolved oxygen concentration in the vessel stays in the region S is only about one hour at the time of shutdown and only about 2 hours even at the time of start-up and there is little risk of causing stress corrosion cracking. Even if there is little risk of occurence of stress corrosion cracking in the existing reactors, it is still necessary to make efforts for further reducing the possibility of such stress corrosion cracking to enhance the reliability of the boiling water type nuclear reactors. The present invention has been attained on the basis of experimental results. A preferred embodiment of this invention as adapted to a boiling water type nuclear reactor is described below with particular reference to FIG. 3. When the reactor is in operation, the coolant in the reactor pressure vessel 1 is fed into the core 2 by driving a recirculation pump 4. The coolant turns into steam as it is heated while passing through the core 2. This steam is sent from the pressure vessel 1 into a turbine 7 through main steam piping 5. The main steam valve 6 is open. The steam discharged out from turbine 7 is condensed in a condenser 8 and then returns into the pressure vessel 1 through piping 12 for feed water-condensate water system which connects a condensation pump 13, a condensate demineralizer 14, a feed water heater 15 and a feed water pump 16 successively in that order as shown in the diagram. The combustible gases such as oxygen and hydrogen generated by radioactive decomposition of the coolant in the pressure vessel 1 as well as the non-combustible gases such as radioactive rare gas carried in the steam are extracted from the condenser 8 by driving a vacuum pump 38 and led into a recombination device 39 and a rare gas hold-up device 40 through piping 42. Oxygen and hydrogen in the extracted gas are recombined by said recombination device 39 to become water. This water is removed by a condenser and a demineralizer, both being not shown in the drawing. Radioactivity of the radioactive rare gas is decayed by the rare gas hold-up device 40. The extracted gas with its radioactivity decayed is released out of the system from a vent stack 41. While the reactor is in operation, the coolant in the vessel 1 is incessantly purified by a reactor water clean-up system. A part of the coolant flowing in the recirculation piping 3 is supplied into the reactor water clean-up system 19 with driving of a pump 18. This portion of coolant is cooled by a regenerative heat exchanger 22 and a non-regenerative heat exchanger 21 and forwarded into a demineralizer 22. The coolant purified by the demineralizer 22 is heated in a regenerative heat exchanger 20 by the coolant flowing into the demineralizer 22, then flows into the feed water system 12 and returns into the vessel 1. A residual heat removal system is provided in the boiling water-type nuclear reactor. This residual heat removal system consists of a residual heat removal piping 23 which is connected at its both ends to the recirculation piping 3, a heat exchanger 24 and a pump 25. Said heat exchanger 24 and pump 25 are disposed in the residual heat removal piping 23. Also, valves 26 and 27 are provided at both ends of the residual heat removal piping 23. The piping 23 is connected by a pipe 28 to a spray nozzle 31 disposed inside the top of the reactor pressure vessel 1. At both ends of the pipe 28 are provided the valves 29 and 30. The pipe 28 is connected by a pipe 32 to that part of the reactor water clean-up piping 19 which is located on the discharge side of the demineralizer 22 and downstream of the regenerative heat exchanger 20. Valves 33 and 34 are provided at both ends of the pipe 32. When the reactor is operated under the normal rated output condition, the valves 10, 26, 27, 29, 30, 33, 34 and 43 stay closed. The operation for shutdown of said boiling water type nuclear reactor is now described with reference to FIGS. 3 and 4. In FIG. 4, curve F1 indicates the electrical output, curve G1 the coolant temperature in the pressure vessel and curve H1 the condenser vacuum. The speed of the recirculation pump 4 is reduced to decrease the coolant flow rate in the core 2 to lower the reactor output. As the reactor output begins to lower, the electric output is also reduced as shown by curve F1. When the reactor output drops to 60%, all of the control rods 35, including not only those inserted into the core 2 for output adjustment in operation of the reactor under normal rated output condition but also those completely withdrawn from the core 2 during reactor operation, start to be inserted into the core 2 by the operation of a control rod driving device 36. Upon sufficient lowering of the electric output, main steam valve 6 is closed while by-pass valve 10 is opened. The steam in the vessel 1 is released out and sent into condenser 8 through main steam piping 5 and by-pass piping 9 which connects said main steam piping 5 and condenser 8, whereby the steam feed into turbine 7 is discontinued, and at the same time the generator (not shown) which has been connected to turbine 7 is disengaged from said turbine 7. Turbine 7 is then tripped and entire control rod insertion into the core 2 is completed. As the steam in the vessel 1 is discharged into condenser 8 all the while, both pressure and coolant temperature in said vessel 1 drop sharply. Valves 33 and 34 are opened before entire control rod insertion is completed. A part of the coolant circulating in the reactor water clean-up system 19 flows into piping 32 and sprayed from spray nozzle 31 into the space 44 above the coolant level 37 in the vessel 1. The coolant temperature in the vessel 1 at start of spray is about 240.degree. C., and the coolant temperature at the outlet of the reactor water clean-up system is about 210.degree. C. The coolant, thus sprayed, is formed into fine water droplets and increased in area contacted with the gas existing in the space 44. Consequently, oxygen and hydrogen generated by radioactive decomposition of the coolant in the core 2, etc., and dissolved in the coolant are separated into the space 44 which is communicated with the condenser 8 (main steam valve 6 closed and by-pass valve 10 open) of high degree of vacuum (vacuum pump 38 being driven). As the coolant sprayed from the spray nozzle 31 is formed into fine water droplets, the dissolved oxygen separating efficiency is enhanced. Oxygen and hydrogen separated from the water droplets are guided into the condenser 8 through main steam piping 5 and by-pass piping 9 and treated in the recombination device 14. The water droplets reduced in dissolved oxygen concentration fall down and mix in the coolant in the vessel 1. As a result of such continuous degassing by spray of the high-temperature coolant at the time of shutdown of the reactor, the dissolved oxygen concentration in the coolant in the vessel 1 sharply decreases from about 0.1 ppm prespray level down to about 0.05 ppm as shown by curve II in FIG. 1. The coolant with low dissolved oxygen concentration, which has been degassed in the vessel 1, incessantly circulates in the reactor water clean-up piping 32 throughout the period when the reactor is in operation. Even if such coolant in the piping 32 is sprayed into the space 44 and degassed, there does not result any increase of dissolved oxygen concentration in the coolant in the vessel 1. Coolant spray through piping 32 is preferably performed before the residual heat removal system is put into operation whereupon the coolant with high dissolved oxygen concentration in said removal system is supplied into the vessel 1. However, if coolant spray into the space 44 is conducted before the main steam valve 6 is closed, since the water droplets are carried by the steam, there is a risk of corrosion of the main steam pipe 5 and turbine 7 by the water droplets. It is therefore desirable that the spray of the coolant into the space 44 through piping 32 be conducted after closure of the main steam valve 6 and before operation of the residual heat removal system. When the pressure in the reactor pressure vessel 1 drops to 3 atm., that is, when the coolant temperature in the vessel 1 reaches about 130.degree. C., the residual heat removal system is operated. Valves 26 and 27 are opened and the pump 25 is driven. A part of the coolant with low dissolved oxygen concentration circulating in the recirculation piping 3 flows into the residual heat removal system piping 23, and the coolant with high dissolved oxygen concentration, which has stayed in said piping 23, is guided into the vessel 1 through recirculation piping 3. However, since degassing by said spraying is performed continuously, the dissolved oxygen concentration in the vessel 1 is maintained at about 0.05 ppm as shown by curve II in FIG. 1. The residual heat removal system has a function to lower both pressure and coolant temperature in the vessel 1 as the coolant in the vessel 1 is cooled by the heat exchanger 24. Valves 29 and 30 are opened upon passage of a predetermined period of time after start of the operation of the residual heat removal system. The low-temperature coolant cooled by the heat exchanger 24 (this portion of coolant being lower in temperature than the coolant flowing in the reactor water clean-up piping 19) passes through the piping 28 and is sprayed into the space 44 from the spray nozzle 31. The temperature of the coolant discharged out from the heat exchanger 24 of the residual heat removal system 23 is lower than the temperature of the coolant fed into the feed water-condensate water piping 12 from the reactor water clean-up piping 32. Valves 33 and 34 are closed with opening of the valves 29 and 30. The portion of the pressure vessel 1 located above the coolant surface 37 is usually the area of the poor cooling efficiency as this portion is not contacted with the coolant, but such portion can be cooled with high efficiency by injecting the coolant in the residual heat removal system from the spray nozzle 31. This allows prompt removal of the top cover of the vessel 1 for the purpose of maintenance and inspection such as fuel exchange. After head spraying for a predetermined period of time, valves 29 and 30 are closed. Thereafter, the main steam isolation valve (not shown) provided in that part of main steam piping 5 which penetrates the housing vessel encompassing the pressure vessel 1 is closed and the head vent valve 43 is opened. Then the vacuum pump 38 is stopped, allowing influx of air into the condenser 8 through a vacuum breaking valve to break up vacuum in the condenser 8. This air flows into the space 44 through by-pass piping 9 and piping 11. After the pressure in the space 44 is equalized to the atmospheric pressure, the top cover of the pressure vessel 1 is removed for said purposes. The residual heat removal system keeps operating at the time of reactor shutdown and during the period of stoppage of the reactor and functions to remove heat generated in the core (including heat of decay of fuel generated after reactor shutdown). In the instant embodiment, the dissolved oxygen concentration in the coolant in the nuclear reactor pressure vessel 1 lowers to a very low level, with no likelihood of falling in the region S, at the time of reactor shutdown, so that it is possible to perfectly exclude the risk of development of stress corrosion cracking in the pressure vessel 1 as well as the internal structures thereof. Arrangement may be made such that the coolants flowing in both pipings 28 and 32 be sprayed simultaneously into the space 44 by keeping said both piping open. In this case, however, since the temperature of the coolant flowing in piping 32 is higher than that of the coolant flowing in piping 28, the pressure vessel cooling effect by spray of the coolant flowing in piping 28 is impaired. Also, the temperature of the water droplets formed after spraying of the coolant in piping 32 drops to supress the evaporation effect, resulting in a somewhat deteriorated degassing effect. Both valves 29 and 30 need not be opened in case there is no need of removing the top cover of the pressure vessel 1 because of no need for maintenance and inspection (such as fuel exchange) for the vessel 1. In this case, therefore, there is no need of stopping spray of the coolant of piping 32 into the space 44 even after driving the residual heat removal system as in the foregoing embodiment. An embodiment of this invention as applied at the time of start-up of a boiling water-type nuclear reactor is now explained with reference to FIGS. 3 and 5. Curves F1, G1 and H1 in FIG. 5 correspond to those in FIG. 4. After completion of the maintenance and/or inspection work such as fuel exchange, the top cover is remounted on the vessel 1. Then the vacuum breaking valve (not shown) of the condenser 8 is closed and the vacuum pump 38 is operated to elevate the vacuum in the condenser 8. The main steam isolation valve in main steam pipe 5, main steam valve 6, by-pass valve 10 and head vent valve 43 stay closed. Valves 26, 27, 29, 30, 33 and 34 also remain closed. When the vacuum in the condenser 8 has reached a predetermined level, by-pass valve 10 is opened, followed by opening of the main steam isolation valve. As the vacuum in the pressure vessel 1 rises accordingly, the dissolved oxygen concentration in the coolant in said vessel 1 drops sharply as shown in FIG. 2. Then recirculation pump 4 is driven to increase the coolant flow rate in the core to 20%. Pump 18 is also driven to start clean-up of the coolant in the vessel 1 by demineralizer 22. Valves 33 and 34 are opened, allowing the coolant in the reactor water clean-up system piping 19 to pass through piping 32 and be sprayed into the space 44 from spray nozzle 31. Dissolved oxygen in the fine water droplets sprayed into the space 44 is separated as in the case of the operation at the time of reactor shutdown. Then withdrawal of the control rods 35 inserted in the core 2 is started. A predetermined amount of control rod withdrawal is effected gradually until a critical state is reached. Thereafter the control rods 35 are further withdrawn and heating of the coolant (nuclear heating) by nuclear fission of fuel is started, causing a pressure boost in the vessel 1 and heating of the coolant. When the reactor output elevates to 60%, control rod withdrawal is stopped to increase the coolant flow rate in the core 2. This further elevates the reactor output. The temperature of the coolant sprayed from spray nozzle 31 is naturally higher than the temperature of the coolant which has been cooled by heat exchanger 24, not only during the period when nuclear heating is being performed by control rod withdrawal but also even at the time of start of spraying. When the coolant temperature reaches about 220.degree. C., both valves 33 and 34 are closed to stop spraying of the coolant in piping 32 into the space 44. Spraying may be continued until main steam valve 6 is opened as discussed below. If spraying is further continued even after opening of main steam valve 6, water droplets will be led into turbine 7. The dissolved oxygen concentration in the coolant in the vessel 1 can be maintained to a very low level, or at about 0.06 ppm, as shown by curve IV in FIG. 2 by performing the spraying of the coolant into the space 44 through piping 32. No increase of dissolved oxygen concentration in the coolant is caused even if oxygen generation by radioactive decomposition of the coolant by nuclear heating thereof is increased, and there can be eliminated any risk of stress corrosion cracking of the reactor vessel 1 and its internal structures even at the time of sarting of operation. It may be envisaged to spray the coolant in the residual heat removal system into space 44 through piping 28 and spray nozzle 31, instead of spraying the coolant in reactor water clean-up system piping 19 into said space 44 through piping 32. However, it should be noted that while coolant spraying at the time of start of operation is performed for suppressing increase of dissolved oxygen concentration consequent upon increase of oxygen generation by nuclear heating, it is also required to avoid any hindrance to rise of pressure and temperature of the reactor. For example, even if the residual heat removal system is operated at the time of shutdown of the reactor, spraying of the cooled coolant in such system produces a cooling action to impede rise of pressure and temperature of the reactor. When the coolant temperature in the vessel 1 reaches about 280.degree. C., with the internal pressure thereof also reaching about 70 atm., by-pass valve 10 is closed and at the same time main steam valve 5 is opened. The steam generated in the vessel 1 is sent into turbine 7 through main steam piping 5 to actuate the turbine 7, and this turbine 7 is then connected to the generator. Still another embodiment of this invention is shown in FIG. 6, wherein the same means and members in the foregoing embodiment are indicated by the same symbols. In this embodiment, piping 32 which is connected at its one end to piping 28 has its other end connected to that part of reactor water clean-up system piping 19 which is located between pump 18 and regenerative heat exchanger 20. The arrangement of this embodiment, as in the foregoing embodiment, is capable of preventing stress corrosion cracking at least at the time of shutdown or at the time of start-up of the reactor. This embodiment, however, is rather low in coolant purification efficiency as the coolant which by-passed the demineralizer 22 returns to the vessel 1. A further embodiment of this invention is illustrated in FIG. 7, with the same means and members as in the embodiment of FIG. 3 being indicated by the same symbols. In this embodiment, an end of piping 32 is connected to recirculation piping 3 in which the degassed coolant flows all the time. The same effect as in the embodiment of FIG. 3 is provided from the instant embodiment in which the coolant is sprayed into the space 44 at least at the time of shutdown or at the time of start-up of the reactor. Still another embodiment of this invention is depicted in FIG. 8 where the same members as in the embodiment of FIG. 3 are referred to by the same symbols. In this embodiment, an end of piping 32 is connected directly to the reactor pressure vessel 1 and a pump 45 is provided in piping 32. When the coolant is sprayed into the space 44 at least at the time of shutdown or at the time of start-up of the reactor, valves 33 and 34 are opened and pump 45 is driven. This embodiment can produce the same effect as in the embodiment of FIG. 3. However, increase of piping connected to the pressure vessel 1 is a detrimental factor for the fabrication of the system. Also, this embodiment requires provision of an additional pump 45. In yet another embodiment of this invention shown in FIG. 9, spray nozzles 31 and 46, both disposed in space 44, are provided respectively to pipings 28 and 32. This embodiment can give the same effect as in the embodiment of FIG. 3. In any of the embodiments of FIGS. 6 to 9, the temperature of the coolant flowing in piping 32 is higher than that of the coolant flowing in piping 28. This invention can be applied to other types of nuclear reactors such as pressurized water type nuclear reactor. According to this invention, it is possible to perfectly prevent stress corrosion cracking in the nuclear reactor vessels and the internal structures thereof either at the time of shutdown or at the time of start-up of the reactors to further enhance the reliability of the reactors.
claims
1. A method for displaying an X-ray image, comprising:providing an X-ray imaging device, comprising:an X-ray source;a square or rectangular X-ray detector; anda collimator comprising an X-ray attenuating material with an aperture therein, the collimator configured to produce an X-ray image having a squircle shaped perimeter;taking an X-ray image of an object between the X-ray source and the X-ray detector; anddisplaying the X-ray image on a display device. 2. The method of claim 1, wherein a length of a widest portion of the image is longer than about 85% of a length of a shortest edge of the display device, and wherein the entire image is viewable on the display, without resizing or reshaping the image, when the image is rotated about its center. 3. The method of claim 1, wherein a distance between first and fourth edges of the X-ray image is longer than 71% of a length of a shortest edge of the display, and wherein the entire image is viewable on the display, without resizing or reshaping the image, when the image is rotated about its center by 45 degrees. 4. The method of claim 1, wherein the image further comprises a rounded or curved border between first and second edges and between third and fourth edges. 5. The method of claim 1, wherein the image further comprises a rounded or curved border between first and second edges, between second and fourth edges, between third and fourth edges, and between third and first edges. 6. The method of claim 1, wherein the collimator allows more than about 78.5% and less than about 100% of the X-ray detector to be exposed to an X-ray beam. 7. The method of claim 1, wherein the collimator collimates two corners off the square or rectangular X-ray detector. 8. A method for displaying an X-ray image, comprising:providing an X-ray imaging device including an X-ray source, a square or rectangular X-ray detector and a collimator comprising an X-ray attenuating material with an aperture therein, the collimator configured to produce an X-ray image having a squircle shape; anddisplaying the X-ray image on a display device. 9. The method of claim 8, further comprising rotating the X-ray image on the display device. 10. The method of claim 8, wherein the squircle shape comprises a superellipse shape or a cornerless shape. 11. The method of claim 10, wherein the cornerless shape has a first substantially straight edge and a second substantially straight edge running substantially perpendicular to the first edge, wherein the first and second edges do not physically intersect with each other at 90 degrees. 12. The method of claim 11, wherein the cornerless shape further comprises a third substantially straight edge and a fourth substantially straight edge running substantially perpendicular to the third edge, and wherein third and fourth edges to not physically intersect with each other at 90 degrees. 13. The method of claim 12, wherein the first and third edges do not physically intersect with each other at 90 degrees, and wherein the second and fourth edges do not physically intersect with each other at 90 degrees. 14. The method of claim 12, wherein the cornerless shape further comprises a rounded or a curved border between the first and second edges, between the second and fourth edges, between the third and fourth edges, and between the third and first edges. 15. The method of claim 12, wherein the cornerless shape further comprises a chamfered border between the first and second edges, between the second and fourth edges, between the third and fourth edges, and between the third and first edges. 16. The method of claim 9, wherein the display device has a square or rectangular shape and the entire X-ray image can be rotated and viewed on the display device without resizing or reshaping the image. 17. The method of claim 8, wherein the widest portion of the X-ray image is longer than about 85% of the length of the shortest edge of the display. 18. A method, comprising:providing an X-ray imaging device containing an X-ray source, a square or rectangular X-ray detector, and a collimator having an X-ray attenuating material with an aperture therein, the collimator configured to produce an X-ray image having a squircle shape;taking an X-ray image of an object;displaying the X-ray image on a square or rectangular display device; androtating and viewing the entire X-ray image on the display device without resizing or reshaping the image. 19. The method of claim 18, wherein the squircle shape comprises a superellipse shape or a cornerless shape. 20. The method of claim 19, wherein the cornerless shape has a first substantially straight edge and a second substantially straight edge running substantially perpendicular to the first edge, wherein the first and second edges do not physically intersect with each other at 90 degrees. 21. The method of claim 20, wherein the cornerless shape further comprises a third substantially straight edge and a fourth substantially straight edge running substantially perpendicular to the third edge, and wherein third and fourth edges to not physically intersect with each other at 90 degrees. 22. The method of claim 21, wherein the first and third edges do not physically intersect with each other at 90 degrees, and wherein the second and fourth edges do not physically intersect with each other at 90 degrees. 23. The method of claim 21, wherein the cornerless shape further comprises a rounded or a curved border between the first and second edges, between the second and fourth edges, between the third and fourth edges, and between the third and first edges. 24. The method of claim 21, wherein the cornerless shape further comprises a chamfered border between the first and second edges, between the second and fourth edges, between the third and fourth edges, and between the third and first edges. 25. The method of claim 18, wherein the widest portion of the X-ray image is longer than about 85% of the length of the shortest edge of the display.