Upload processor

#13
by ylacombe HF staff - opened
Files changed (2) hide show
  1. added_tokens.json +211 -0
  2. tokenizer_config.json +1881 -1
added_tokens.json ADDED
@@ -0,0 +1,211 @@
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1
+ {
2
+ "</s>": 3,
3
+ "<MINED_DATA>": 256203,
4
+ "<MMT_BT_DATA>": 256204,
5
+ "<SMT_BT_DATA>": 256205,
6
+ "<pad>": 0,
7
+ "<s>": 2,
8
+ "<unk>": 1,
9
+ "__ace_Latn__": 256002,
10
+ "__ace__": 256001,
11
+ "__acm__": 256003,
12
+ "__acq__": 256004,
13
+ "__aeb__": 256005,
14
+ "__afr__": 256006,
15
+ "__ajp__": 256007,
16
+ "__aka__": 256008,
17
+ "__als__": 256162,
18
+ "__amh__": 256009,
19
+ "__apc__": 256010,
20
+ "__arb__": 256011,
21
+ "__ars__": 256012,
22
+ "__ary__": 256013,
23
+ "__arz__": 256014,
24
+ "__asm__": 256015,
25
+ "__ast__": 256016,
26
+ "__awa__": 256017,
27
+ "__ayr__": 256018,
28
+ "__azb__": 256019,
29
+ "__azj__": 256020,
30
+ "__bak__": 256021,
31
+ "__bam__": 256022,
32
+ "__ban__": 256023,
33
+ "__bel__": 256024,
34
+ "__bem__": 256025,
35
+ "__ben__": 256026,
36
+ "__bho__": 256027,
37
+ "__bjn_Latn__": 256029,
38
+ "__bjn__": 256028,
39
+ "__bod__": 256030,
40
+ "__bos__": 256031,
41
+ "__bug__": 256032,
42
+ "__bul__": 256033,
43
+ "__cat__": 256034,
44
+ "__ceb__": 256035,
45
+ "__ces__": 256036,
46
+ "__cjk__": 256037,
47
+ "__ckb__": 256038,
48
+ "__cmn_Hant__": 256201,
49
+ "__cmn__": 256200,
50
+ "__crh__": 256039,
51
+ "__cym__": 256040,
52
+ "__dan__": 256041,
53
+ "__deu__": 256042,
54
+ "__dik__": 256043,
55
+ "__dyu__": 256044,
56
+ "__dzo__": 256045,
57
+ "__ell__": 256046,
58
+ "__eng__": 256047,
59
+ "__epo__": 256048,
60
+ "__est__": 256049,
61
+ "__eus__": 256050,
62
+ "__ewe__": 256051,
63
+ "__fao__": 256052,
64
+ "__fij__": 256054,
65
+ "__fin__": 256055,
66
+ "__fon__": 256056,
67
+ "__fra__": 256057,
68
+ "__fur__": 256058,
69
+ "__fuv__": 256059,
70
+ "__gaz__": 256135,
71
+ "__gla__": 256060,
72
+ "__gle__": 256061,
73
+ "__glg__": 256062,
74
+ "__grn__": 256063,
75
+ "__guj__": 256064,
76
+ "__hat__": 256065,
77
+ "__hau__": 256066,
78
+ "__heb__": 256067,
79
+ "__hin__": 256068,
80
+ "__hne__": 256069,
81
+ "__hrv__": 256070,
82
+ "__hun__": 256071,
83
+ "__hye__": 256072,
84
+ "__ibo__": 256073,
85
+ "__ilo__": 256074,
86
+ "__ind__": 256075,
87
+ "__isl__": 256076,
88
+ "__ita__": 256077,
89
+ "__jav__": 256078,
90
+ "__jpn__": 256079,
91
+ "__kab__": 256080,
92
+ "__kac__": 256081,
93
+ "__kam__": 256082,
94
+ "__kan__": 256083,
95
+ "__kas_Deva__": 256085,
96
+ "__kas__": 256084,
97
+ "__kat__": 256086,
98
+ "__kaz__": 256089,
99
+ "__kbp__": 256090,
100
+ "__kea__": 256091,
101
+ "__khk__": 256122,
102
+ "__khm__": 256092,
103
+ "__kik__": 256093,
104
+ "__kin__": 256094,
105
+ "__kir__": 256095,
106
+ "__kmb__": 256096,
107
+ "__kmr__": 256099,
108
+ "__knc_Latn__": 256088,
109
+ "__knc__": 256087,
110
+ "__kon__": 256097,
111
+ "__kor__": 256098,
112
+ "__lao__": 256100,
113
+ "__lij__": 256102,
114
+ "__lim__": 256103,
115
+ "__lin__": 256104,
116
+ "__lit__": 256105,
117
+ "__lmo__": 256106,
118
+ "__ltg__": 256107,
119
+ "__ltz__": 256108,
120
+ "__lua__": 256109,
121
+ "__lug__": 256110,
122
+ "__luo__": 256111,
123
+ "__lus__": 256112,
124
+ "__lvs__": 256101,
125
+ "__mag__": 256113,
126
+ "__mai__": 256114,
127
+ "__mal__": 256115,
128
+ "__mar__": 256116,
129
+ "__min__": 256117,
130
+ "__mkd__": 256118,
131
+ "__mlt__": 256120,
132
+ "__mni__": 256121,
133
+ "__mos__": 256123,
134
+ "__mri__": 256124,
135
+ "__mya__": 256126,
136
+ "__nld__": 256127,
137
+ "__nno__": 256128,
138
+ "__nob__": 256129,
139
+ "__npi__": 256130,
140
+ "__nso__": 256131,
141
+ "__nus__": 256132,
142
+ "__nya__": 256133,
143
+ "__oci__": 256134,
144
+ "__ory__": 256136,
145
+ "__pag__": 256137,
146
+ "__pan__": 256138,
147
+ "__pap__": 256139,
148
+ "__pbt__": 256143,
149
+ "__pes__": 256053,
150
+ "__plt__": 256119,
151
+ "__pol__": 256140,
152
+ "__por__": 256141,
153
+ "__prs__": 256142,
154
+ "__quy__": 256144,
155
+ "__ron__": 256145,
156
+ "__run__": 256146,
157
+ "__rus__": 256147,
158
+ "__sag__": 256148,
159
+ "__san__": 256149,
160
+ "__sat__": 256150,
161
+ "__scn__": 256151,
162
+ "__shn__": 256152,
163
+ "__sin__": 256153,
164
+ "__slk__": 256154,
165
+ "__slv__": 256155,
166
+ "__smo__": 256156,
167
+ "__sna__": 256157,
168
+ "__snd__": 256158,
169
+ "__som__": 256159,
170
+ "__sot__": 256160,
171
+ "__spa__": 256161,
172
+ "__srd__": 256163,
173
+ "__srp__": 256164,
174
+ "__ssw__": 256165,
175
+ "__sun__": 256166,
176
+ "__swe__": 256167,
177
+ "__swh__": 256168,
178
+ "__szl__": 256169,
179
+ "__tam__": 256170,
180
+ "__taq_Tfng__": 256178,
181
+ "__taq__": 256177,
182
+ "__tat__": 256171,
183
+ "__tel__": 256172,
184
+ "__tgk__": 256173,
185
+ "__tgl__": 256174,
186
+ "__tha__": 256175,
187
+ "__tir__": 256176,
188
+ "__tpi__": 256179,
189
+ "__tsn__": 256180,
190
+ "__tso__": 256181,
191
+ "__tuk__": 256182,
192
+ "__tum__": 256183,
193
+ "__tur__": 256184,
194
+ "__twi__": 256185,
195
+ "__tzm__": 256186,
196
+ "__uig__": 256187,
197
+ "__ukr__": 256188,
198
+ "__umb__": 256189,
199
+ "__urd__": 256190,
200
+ "__uzn__": 256191,
201
+ "__vec__": 256192,
202
+ "__vie__": 256193,
203
+ "__war__": 256194,
204
+ "__wol__": 256195,
205
+ "__xho__": 256196,
206
+ "__ydd__": 256197,
207
+ "__yor__": 256198,
208
+ "__yue__": 256199,
209
+ "__zsm__": 256125,
210
+ "__zul__": 256202
211
+ }
tokenizer_config.json CHANGED
@@ -1,5 +1,1885 @@
1
  {
2
- "additional_special_tokens": null,
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
3
  "bos_token": "<s>",
4
  "clean_up_tokenization_spaces": true,
5
  "cls_token": "<s>",
 
1
  {
2
+ "added_tokens_decoder": {
3
+ "0": {
4
+ "content": "<pad>",
5
+ "lstrip": false,
6
+ "normalized": false,
7
+ "rstrip": false,
8
+ "single_word": false,
9
+ "special": true
10
+ },
11
+ "1": {
12
+ "content": "<unk>",
13
+ "lstrip": false,
14
+ "normalized": false,
15
+ "rstrip": false,
16
+ "single_word": false,
17
+ "special": true
18
+ },
19
+ "2": {
20
+ "content": "<s>",
21
+ "lstrip": false,
22
+ "normalized": false,
23
+ "rstrip": false,
24
+ "single_word": false,
25
+ "special": true
26
+ },
27
+ "3": {
28
+ "content": "</s>",
29
+ "lstrip": false,
30
+ "normalized": false,
31
+ "rstrip": false,
32
+ "single_word": false,
33
+ "special": true
34
+ },
35
+ "256001": {
36
+ "content": "__ace__",
37
+ "lstrip": true,
38
+ "normalized": false,
39
+ "rstrip": true,
40
+ "single_word": false,
41
+ "special": true
42
+ },
43
+ "256002": {
44
+ "content": "__ace_Latn__",
45
+ "lstrip": true,
46
+ "normalized": false,
47
+ "rstrip": true,
48
+ "single_word": false,
49
+ "special": true
50
+ },
51
+ "256003": {
52
+ "content": "__acm__",
53
+ "lstrip": true,
54
+ "normalized": false,
55
+ "rstrip": true,
56
+ "single_word": false,
57
+ "special": true
58
+ },
59
+ "256004": {
60
+ "content": "__acq__",
61
+ "lstrip": true,
62
+ "normalized": false,
63
+ "rstrip": true,
64
+ "single_word": false,
65
+ "special": true
66
+ },
67
+ "256005": {
68
+ "content": "__aeb__",
69
+ "lstrip": true,
70
+ "normalized": false,
71
+ "rstrip": true,
72
+ "single_word": false,
73
+ "special": true
74
+ },
75
+ "256006": {
76
+ "content": "__afr__",
77
+ "lstrip": true,
78
+ "normalized": false,
79
+ "rstrip": true,
80
+ "single_word": false,
81
+ "special": true
82
+ },
83
+ "256007": {
84
+ "content": "__ajp__",
85
+ "lstrip": true,
86
+ "normalized": false,
87
+ "rstrip": true,
88
+ "single_word": false,
89
+ "special": true
90
+ },
91
+ "256008": {
92
+ "content": "__aka__",
93
+ "lstrip": true,
94
+ "normalized": false,
95
+ "rstrip": true,
96
+ "single_word": false,
97
+ "special": true
98
+ },
99
+ "256009": {
100
+ "content": "__amh__",
101
+ "lstrip": true,
102
+ "normalized": false,
103
+ "rstrip": true,
104
+ "single_word": false,
105
+ "special": true
106
+ },
107
+ "256010": {
108
+ "content": "__apc__",
109
+ "lstrip": true,
110
+ "normalized": false,
111
+ "rstrip": true,
112
+ "single_word": false,
113
+ "special": true
114
+ },
115
+ "256011": {
116
+ "content": "__arb__",
117
+ "lstrip": true,
118
+ "normalized": false,
119
+ "rstrip": true,
120
+ "single_word": false,
121
+ "special": true
122
+ },
123
+ "256012": {
124
+ "content": "__ars__",
125
+ "lstrip": true,
126
+ "normalized": false,
127
+ "rstrip": true,
128
+ "single_word": false,
129
+ "special": true
130
+ },
131
+ "256013": {
132
+ "content": "__ary__",
133
+ "lstrip": true,
134
+ "normalized": false,
135
+ "rstrip": true,
136
+ "single_word": false,
137
+ "special": true
138
+ },
139
+ "256014": {
140
+ "content": "__arz__",
141
+ "lstrip": true,
142
+ "normalized": false,
143
+ "rstrip": true,
144
+ "single_word": false,
145
+ "special": true
146
+ },
147
+ "256015": {
148
+ "content": "__asm__",
149
+ "lstrip": true,
150
+ "normalized": false,
151
+ "rstrip": true,
152
+ "single_word": false,
153
+ "special": true
154
+ },
155
+ "256016": {
156
+ "content": "__ast__",
157
+ "lstrip": true,
158
+ "normalized": false,
159
+ "rstrip": true,
160
+ "single_word": false,
161
+ "special": true
162
+ },
163
+ "256017": {
164
+ "content": "__awa__",
165
+ "lstrip": true,
166
+ "normalized": false,
167
+ "rstrip": true,
168
+ "single_word": false,
169
+ "special": true
170
+ },
171
+ "256018": {
172
+ "content": "__ayr__",
173
+ "lstrip": true,
174
+ "normalized": false,
175
+ "rstrip": true,
176
+ "single_word": false,
177
+ "special": true
178
+ },
179
+ "256019": {
180
+ "content": "__azb__",
181
+ "lstrip": true,
182
+ "normalized": false,
183
+ "rstrip": true,
184
+ "single_word": false,
185
+ "special": true
186
+ },
187
+ "256020": {
188
+ "content": "__azj__",
189
+ "lstrip": true,
190
+ "normalized": false,
191
+ "rstrip": true,
192
+ "single_word": false,
193
+ "special": true
194
+ },
195
+ "256021": {
196
+ "content": "__bak__",
197
+ "lstrip": true,
198
+ "normalized": false,
199
+ "rstrip": true,
200
+ "single_word": false,
201
+ "special": true
202
+ },
203
+ "256022": {
204
+ "content": "__bam__",
205
+ "lstrip": true,
206
+ "normalized": false,
207
+ "rstrip": true,
208
+ "single_word": false,
209
+ "special": true
210
+ },
211
+ "256023": {
212
+ "content": "__ban__",
213
+ "lstrip": true,
214
+ "normalized": false,
215
+ "rstrip": true,
216
+ "single_word": false,
217
+ "special": true
218
+ },
219
+ "256024": {
220
+ "content": "__bel__",
221
+ "lstrip": true,
222
+ "normalized": false,
223
+ "rstrip": true,
224
+ "single_word": false,
225
+ "special": true
226
+ },
227
+ "256025": {
228
+ "content": "__bem__",
229
+ "lstrip": true,
230
+ "normalized": false,
231
+ "rstrip": true,
232
+ "single_word": false,
233
+ "special": true
234
+ },
235
+ "256026": {
236
+ "content": "__ben__",
237
+ "lstrip": true,
238
+ "normalized": false,
239
+ "rstrip": true,
240
+ "single_word": false,
241
+ "special": true
242
+ },
243
+ "256027": {
244
+ "content": "__bho__",
245
+ "lstrip": true,
246
+ "normalized": false,
247
+ "rstrip": true,
248
+ "single_word": false,
249
+ "special": true
250
+ },
251
+ "256028": {
252
+ "content": "__bjn__",
253
+ "lstrip": true,
254
+ "normalized": false,
255
+ "rstrip": true,
256
+ "single_word": false,
257
+ "special": true
258
+ },
259
+ "256029": {
260
+ "content": "__bjn_Latn__",
261
+ "lstrip": true,
262
+ "normalized": false,
263
+ "rstrip": true,
264
+ "single_word": false,
265
+ "special": true
266
+ },
267
+ "256030": {
268
+ "content": "__bod__",
269
+ "lstrip": true,
270
+ "normalized": false,
271
+ "rstrip": true,
272
+ "single_word": false,
273
+ "special": true
274
+ },
275
+ "256031": {
276
+ "content": "__bos__",
277
+ "lstrip": true,
278
+ "normalized": false,
279
+ "rstrip": true,
280
+ "single_word": false,
281
+ "special": true
282
+ },
283
+ "256032": {
284
+ "content": "__bug__",
285
+ "lstrip": true,
286
+ "normalized": false,
287
+ "rstrip": true,
288
+ "single_word": false,
289
+ "special": true
290
+ },
291
+ "256033": {
292
+ "content": "__bul__",
293
+ "lstrip": true,
294
+ "normalized": false,
295
+ "rstrip": true,
296
+ "single_word": false,
297
+ "special": true
298
+ },
299
+ "256034": {
300
+ "content": "__cat__",
301
+ "lstrip": true,
302
+ "normalized": false,
303
+ "rstrip": true,
304
+ "single_word": false,
305
+ "special": true
306
+ },
307
+ "256035": {
308
+ "content": "__ceb__",
309
+ "lstrip": true,
310
+ "normalized": false,
311
+ "rstrip": true,
312
+ "single_word": false,
313
+ "special": true
314
+ },
315
+ "256036": {
316
+ "content": "__ces__",
317
+ "lstrip": true,
318
+ "normalized": false,
319
+ "rstrip": true,
320
+ "single_word": false,
321
+ "special": true
322
+ },
323
+ "256037": {
324
+ "content": "__cjk__",
325
+ "lstrip": true,
326
+ "normalized": false,
327
+ "rstrip": true,
328
+ "single_word": false,
329
+ "special": true
330
+ },
331
+ "256038": {
332
+ "content": "__ckb__",
333
+ "lstrip": true,
334
+ "normalized": false,
335
+ "rstrip": true,
336
+ "single_word": false,
337
+ "special": true
338
+ },
339
+ "256039": {
340
+ "content": "__crh__",
341
+ "lstrip": true,
342
+ "normalized": false,
343
+ "rstrip": true,
344
+ "single_word": false,
345
+ "special": true
346
+ },
347
+ "256040": {
348
+ "content": "__cym__",
349
+ "lstrip": true,
350
+ "normalized": false,
351
+ "rstrip": true,
352
+ "single_word": false,
353
+ "special": true
354
+ },
355
+ "256041": {
356
+ "content": "__dan__",
357
+ "lstrip": true,
358
+ "normalized": false,
359
+ "rstrip": true,
360
+ "single_word": false,
361
+ "special": true
362
+ },
363
+ "256042": {
364
+ "content": "__deu__",
365
+ "lstrip": true,
366
+ "normalized": false,
367
+ "rstrip": true,
368
+ "single_word": false,
369
+ "special": true
370
+ },
371
+ "256043": {
372
+ "content": "__dik__",
373
+ "lstrip": true,
374
+ "normalized": false,
375
+ "rstrip": true,
376
+ "single_word": false,
377
+ "special": true
378
+ },
379
+ "256044": {
380
+ "content": "__dyu__",
381
+ "lstrip": true,
382
+ "normalized": false,
383
+ "rstrip": true,
384
+ "single_word": false,
385
+ "special": true
386
+ },
387
+ "256045": {
388
+ "content": "__dzo__",
389
+ "lstrip": true,
390
+ "normalized": false,
391
+ "rstrip": true,
392
+ "single_word": false,
393
+ "special": true
394
+ },
395
+ "256046": {
396
+ "content": "__ell__",
397
+ "lstrip": true,
398
+ "normalized": false,
399
+ "rstrip": true,
400
+ "single_word": false,
401
+ "special": true
402
+ },
403
+ "256047": {
404
+ "content": "__eng__",
405
+ "lstrip": true,
406
+ "normalized": false,
407
+ "rstrip": true,
408
+ "single_word": false,
409
+ "special": true
410
+ },
411
+ "256048": {
412
+ "content": "__epo__",
413
+ "lstrip": true,
414
+ "normalized": false,
415
+ "rstrip": true,
416
+ "single_word": false,
417
+ "special": true
418
+ },
419
+ "256049": {
420
+ "content": "__est__",
421
+ "lstrip": true,
422
+ "normalized": false,
423
+ "rstrip": true,
424
+ "single_word": false,
425
+ "special": true
426
+ },
427
+ "256050": {
428
+ "content": "__eus__",
429
+ "lstrip": true,
430
+ "normalized": false,
431
+ "rstrip": true,
432
+ "single_word": false,
433
+ "special": true
434
+ },
435
+ "256051": {
436
+ "content": "__ewe__",
437
+ "lstrip": true,
438
+ "normalized": false,
439
+ "rstrip": true,
440
+ "single_word": false,
441
+ "special": true
442
+ },
443
+ "256052": {
444
+ "content": "__fao__",
445
+ "lstrip": true,
446
+ "normalized": false,
447
+ "rstrip": true,
448
+ "single_word": false,
449
+ "special": true
450
+ },
451
+ "256053": {
452
+ "content": "__pes__",
453
+ "lstrip": true,
454
+ "normalized": false,
455
+ "rstrip": true,
456
+ "single_word": false,
457
+ "special": true
458
+ },
459
+ "256054": {
460
+ "content": "__fij__",
461
+ "lstrip": true,
462
+ "normalized": false,
463
+ "rstrip": true,
464
+ "single_word": false,
465
+ "special": true
466
+ },
467
+ "256055": {
468
+ "content": "__fin__",
469
+ "lstrip": true,
470
+ "normalized": false,
471
+ "rstrip": true,
472
+ "single_word": false,
473
+ "special": true
474
+ },
475
+ "256056": {
476
+ "content": "__fon__",
477
+ "lstrip": true,
478
+ "normalized": false,
479
+ "rstrip": true,
480
+ "single_word": false,
481
+ "special": true
482
+ },
483
+ "256057": {
484
+ "content": "__fra__",
485
+ "lstrip": true,
486
+ "normalized": false,
487
+ "rstrip": true,
488
+ "single_word": false,
489
+ "special": true
490
+ },
491
+ "256058": {
492
+ "content": "__fur__",
493
+ "lstrip": true,
494
+ "normalized": false,
495
+ "rstrip": true,
496
+ "single_word": false,
497
+ "special": true
498
+ },
499
+ "256059": {
500
+ "content": "__fuv__",
501
+ "lstrip": true,
502
+ "normalized": false,
503
+ "rstrip": true,
504
+ "single_word": false,
505
+ "special": true
506
+ },
507
+ "256060": {
508
+ "content": "__gla__",
509
+ "lstrip": true,
510
+ "normalized": false,
511
+ "rstrip": true,
512
+ "single_word": false,
513
+ "special": true
514
+ },
515
+ "256061": {
516
+ "content": "__gle__",
517
+ "lstrip": true,
518
+ "normalized": false,
519
+ "rstrip": true,
520
+ "single_word": false,
521
+ "special": true
522
+ },
523
+ "256062": {
524
+ "content": "__glg__",
525
+ "lstrip": true,
526
+ "normalized": false,
527
+ "rstrip": true,
528
+ "single_word": false,
529
+ "special": true
530
+ },
531
+ "256063": {
532
+ "content": "__grn__",
533
+ "lstrip": true,
534
+ "normalized": false,
535
+ "rstrip": true,
536
+ "single_word": false,
537
+ "special": true
538
+ },
539
+ "256064": {
540
+ "content": "__guj__",
541
+ "lstrip": true,
542
+ "normalized": false,
543
+ "rstrip": true,
544
+ "single_word": false,
545
+ "special": true
546
+ },
547
+ "256065": {
548
+ "content": "__hat__",
549
+ "lstrip": true,
550
+ "normalized": false,
551
+ "rstrip": true,
552
+ "single_word": false,
553
+ "special": true
554
+ },
555
+ "256066": {
556
+ "content": "__hau__",
557
+ "lstrip": true,
558
+ "normalized": false,
559
+ "rstrip": true,
560
+ "single_word": false,
561
+ "special": true
562
+ },
563
+ "256067": {
564
+ "content": "__heb__",
565
+ "lstrip": true,
566
+ "normalized": false,
567
+ "rstrip": true,
568
+ "single_word": false,
569
+ "special": true
570
+ },
571
+ "256068": {
572
+ "content": "__hin__",
573
+ "lstrip": true,
574
+ "normalized": false,
575
+ "rstrip": true,
576
+ "single_word": false,
577
+ "special": true
578
+ },
579
+ "256069": {
580
+ "content": "__hne__",
581
+ "lstrip": true,
582
+ "normalized": false,
583
+ "rstrip": true,
584
+ "single_word": false,
585
+ "special": true
586
+ },
587
+ "256070": {
588
+ "content": "__hrv__",
589
+ "lstrip": true,
590
+ "normalized": false,
591
+ "rstrip": true,
592
+ "single_word": false,
593
+ "special": true
594
+ },
595
+ "256071": {
596
+ "content": "__hun__",
597
+ "lstrip": true,
598
+ "normalized": false,
599
+ "rstrip": true,
600
+ "single_word": false,
601
+ "special": true
602
+ },
603
+ "256072": {
604
+ "content": "__hye__",
605
+ "lstrip": true,
606
+ "normalized": false,
607
+ "rstrip": true,
608
+ "single_word": false,
609
+ "special": true
610
+ },
611
+ "256073": {
612
+ "content": "__ibo__",
613
+ "lstrip": true,
614
+ "normalized": false,
615
+ "rstrip": true,
616
+ "single_word": false,
617
+ "special": true
618
+ },
619
+ "256074": {
620
+ "content": "__ilo__",
621
+ "lstrip": true,
622
+ "normalized": false,
623
+ "rstrip": true,
624
+ "single_word": false,
625
+ "special": true
626
+ },
627
+ "256075": {
628
+ "content": "__ind__",
629
+ "lstrip": true,
630
+ "normalized": false,
631
+ "rstrip": true,
632
+ "single_word": false,
633
+ "special": true
634
+ },
635
+ "256076": {
636
+ "content": "__isl__",
637
+ "lstrip": true,
638
+ "normalized": false,
639
+ "rstrip": true,
640
+ "single_word": false,
641
+ "special": true
642
+ },
643
+ "256077": {
644
+ "content": "__ita__",
645
+ "lstrip": true,
646
+ "normalized": false,
647
+ "rstrip": true,
648
+ "single_word": false,
649
+ "special": true
650
+ },
651
+ "256078": {
652
+ "content": "__jav__",
653
+ "lstrip": true,
654
+ "normalized": false,
655
+ "rstrip": true,
656
+ "single_word": false,
657
+ "special": true
658
+ },
659
+ "256079": {
660
+ "content": "__jpn__",
661
+ "lstrip": true,
662
+ "normalized": false,
663
+ "rstrip": true,
664
+ "single_word": false,
665
+ "special": true
666
+ },
667
+ "256080": {
668
+ "content": "__kab__",
669
+ "lstrip": true,
670
+ "normalized": false,
671
+ "rstrip": true,
672
+ "single_word": false,
673
+ "special": true
674
+ },
675
+ "256081": {
676
+ "content": "__kac__",
677
+ "lstrip": true,
678
+ "normalized": false,
679
+ "rstrip": true,
680
+ "single_word": false,
681
+ "special": true
682
+ },
683
+ "256082": {
684
+ "content": "__kam__",
685
+ "lstrip": true,
686
+ "normalized": false,
687
+ "rstrip": true,
688
+ "single_word": false,
689
+ "special": true
690
+ },
691
+ "256083": {
692
+ "content": "__kan__",
693
+ "lstrip": true,
694
+ "normalized": false,
695
+ "rstrip": true,
696
+ "single_word": false,
697
+ "special": true
698
+ },
699
+ "256084": {
700
+ "content": "__kas__",
701
+ "lstrip": true,
702
+ "normalized": false,
703
+ "rstrip": true,
704
+ "single_word": false,
705
+ "special": true
706
+ },
707
+ "256085": {
708
+ "content": "__kas_Deva__",
709
+ "lstrip": true,
710
+ "normalized": false,
711
+ "rstrip": true,
712
+ "single_word": false,
713
+ "special": true
714
+ },
715
+ "256086": {
716
+ "content": "__kat__",
717
+ "lstrip": true,
718
+ "normalized": false,
719
+ "rstrip": true,
720
+ "single_word": false,
721
+ "special": true
722
+ },
723
+ "256087": {
724
+ "content": "__knc__",
725
+ "lstrip": true,
726
+ "normalized": false,
727
+ "rstrip": true,
728
+ "single_word": false,
729
+ "special": true
730
+ },
731
+ "256088": {
732
+ "content": "__knc_Latn__",
733
+ "lstrip": true,
734
+ "normalized": false,
735
+ "rstrip": true,
736
+ "single_word": false,
737
+ "special": true
738
+ },
739
+ "256089": {
740
+ "content": "__kaz__",
741
+ "lstrip": true,
742
+ "normalized": false,
743
+ "rstrip": true,
744
+ "single_word": false,
745
+ "special": true
746
+ },
747
+ "256090": {
748
+ "content": "__kbp__",
749
+ "lstrip": true,
750
+ "normalized": false,
751
+ "rstrip": true,
752
+ "single_word": false,
753
+ "special": true
754
+ },
755
+ "256091": {
756
+ "content": "__kea__",
757
+ "lstrip": true,
758
+ "normalized": false,
759
+ "rstrip": true,
760
+ "single_word": false,
761
+ "special": true
762
+ },
763
+ "256092": {
764
+ "content": "__khm__",
765
+ "lstrip": true,
766
+ "normalized": false,
767
+ "rstrip": true,
768
+ "single_word": false,
769
+ "special": true
770
+ },
771
+ "256093": {
772
+ "content": "__kik__",
773
+ "lstrip": true,
774
+ "normalized": false,
775
+ "rstrip": true,
776
+ "single_word": false,
777
+ "special": true
778
+ },
779
+ "256094": {
780
+ "content": "__kin__",
781
+ "lstrip": true,
782
+ "normalized": false,
783
+ "rstrip": true,
784
+ "single_word": false,
785
+ "special": true
786
+ },
787
+ "256095": {
788
+ "content": "__kir__",
789
+ "lstrip": true,
790
+ "normalized": false,
791
+ "rstrip": true,
792
+ "single_word": false,
793
+ "special": true
794
+ },
795
+ "256096": {
796
+ "content": "__kmb__",
797
+ "lstrip": true,
798
+ "normalized": false,
799
+ "rstrip": true,
800
+ "single_word": false,
801
+ "special": true
802
+ },
803
+ "256097": {
804
+ "content": "__kon__",
805
+ "lstrip": true,
806
+ "normalized": false,
807
+ "rstrip": true,
808
+ "single_word": false,
809
+ "special": true
810
+ },
811
+ "256098": {
812
+ "content": "__kor__",
813
+ "lstrip": true,
814
+ "normalized": false,
815
+ "rstrip": true,
816
+ "single_word": false,
817
+ "special": true
818
+ },
819
+ "256099": {
820
+ "content": "__kmr__",
821
+ "lstrip": true,
822
+ "normalized": false,
823
+ "rstrip": true,
824
+ "single_word": false,
825
+ "special": true
826
+ },
827
+ "256100": {
828
+ "content": "__lao__",
829
+ "lstrip": true,
830
+ "normalized": false,
831
+ "rstrip": true,
832
+ "single_word": false,
833
+ "special": true
834
+ },
835
+ "256101": {
836
+ "content": "__lvs__",
837
+ "lstrip": true,
838
+ "normalized": false,
839
+ "rstrip": true,
840
+ "single_word": false,
841
+ "special": true
842
+ },
843
+ "256102": {
844
+ "content": "__lij__",
845
+ "lstrip": true,
846
+ "normalized": false,
847
+ "rstrip": true,
848
+ "single_word": false,
849
+ "special": true
850
+ },
851
+ "256103": {
852
+ "content": "__lim__",
853
+ "lstrip": true,
854
+ "normalized": false,
855
+ "rstrip": true,
856
+ "single_word": false,
857
+ "special": true
858
+ },
859
+ "256104": {
860
+ "content": "__lin__",
861
+ "lstrip": true,
862
+ "normalized": false,
863
+ "rstrip": true,
864
+ "single_word": false,
865
+ "special": true
866
+ },
867
+ "256105": {
868
+ "content": "__lit__",
869
+ "lstrip": true,
870
+ "normalized": false,
871
+ "rstrip": true,
872
+ "single_word": false,
873
+ "special": true
874
+ },
875
+ "256106": {
876
+ "content": "__lmo__",
877
+ "lstrip": true,
878
+ "normalized": false,
879
+ "rstrip": true,
880
+ "single_word": false,
881
+ "special": true
882
+ },
883
+ "256107": {
884
+ "content": "__ltg__",
885
+ "lstrip": true,
886
+ "normalized": false,
887
+ "rstrip": true,
888
+ "single_word": false,
889
+ "special": true
890
+ },
891
+ "256108": {
892
+ "content": "__ltz__",
893
+ "lstrip": true,
894
+ "normalized": false,
895
+ "rstrip": true,
896
+ "single_word": false,
897
+ "special": true
898
+ },
899
+ "256109": {
900
+ "content": "__lua__",
901
+ "lstrip": true,
902
+ "normalized": false,
903
+ "rstrip": true,
904
+ "single_word": false,
905
+ "special": true
906
+ },
907
+ "256110": {
908
+ "content": "__lug__",
909
+ "lstrip": true,
910
+ "normalized": false,
911
+ "rstrip": true,
912
+ "single_word": false,
913
+ "special": true
914
+ },
915
+ "256111": {
916
+ "content": "__luo__",
917
+ "lstrip": true,
918
+ "normalized": false,
919
+ "rstrip": true,
920
+ "single_word": false,
921
+ "special": true
922
+ },
923
+ "256112": {
924
+ "content": "__lus__",
925
+ "lstrip": true,
926
+ "normalized": false,
927
+ "rstrip": true,
928
+ "single_word": false,
929
+ "special": true
930
+ },
931
+ "256113": {
932
+ "content": "__mag__",
933
+ "lstrip": true,
934
+ "normalized": false,
935
+ "rstrip": true,
936
+ "single_word": false,
937
+ "special": true
938
+ },
939
+ "256114": {
940
+ "content": "__mai__",
941
+ "lstrip": true,
942
+ "normalized": false,
943
+ "rstrip": true,
944
+ "single_word": false,
945
+ "special": true
946
+ },
947
+ "256115": {
948
+ "content": "__mal__",
949
+ "lstrip": true,
950
+ "normalized": false,
951
+ "rstrip": true,
952
+ "single_word": false,
953
+ "special": true
954
+ },
955
+ "256116": {
956
+ "content": "__mar__",
957
+ "lstrip": true,
958
+ "normalized": false,
959
+ "rstrip": true,
960
+ "single_word": false,
961
+ "special": true
962
+ },
963
+ "256117": {
964
+ "content": "__min__",
965
+ "lstrip": true,
966
+ "normalized": false,
967
+ "rstrip": true,
968
+ "single_word": false,
969
+ "special": true
970
+ },
971
+ "256118": {
972
+ "content": "__mkd__",
973
+ "lstrip": true,
974
+ "normalized": false,
975
+ "rstrip": true,
976
+ "single_word": false,
977
+ "special": true
978
+ },
979
+ "256119": {
980
+ "content": "__plt__",
981
+ "lstrip": true,
982
+ "normalized": false,
983
+ "rstrip": true,
984
+ "single_word": false,
985
+ "special": true
986
+ },
987
+ "256120": {
988
+ "content": "__mlt__",
989
+ "lstrip": true,
990
+ "normalized": false,
991
+ "rstrip": true,
992
+ "single_word": false,
993
+ "special": true
994
+ },
995
+ "256121": {
996
+ "content": "__mni__",
997
+ "lstrip": true,
998
+ "normalized": false,
999
+ "rstrip": true,
1000
+ "single_word": false,
1001
+ "special": true
1002
+ },
1003
+ "256122": {
1004
+ "content": "__khk__",
1005
+ "lstrip": true,
1006
+ "normalized": false,
1007
+ "rstrip": true,
1008
+ "single_word": false,
1009
+ "special": true
1010
+ },
1011
+ "256123": {
1012
+ "content": "__mos__",
1013
+ "lstrip": true,
1014
+ "normalized": false,
1015
+ "rstrip": true,
1016
+ "single_word": false,
1017
+ "special": true
1018
+ },
1019
+ "256124": {
1020
+ "content": "__mri__",
1021
+ "lstrip": true,
1022
+ "normalized": false,
1023
+ "rstrip": true,
1024
+ "single_word": false,
1025
+ "special": true
1026
+ },
1027
+ "256125": {
1028
+ "content": "__zsm__",
1029
+ "lstrip": true,
1030
+ "normalized": false,
1031
+ "rstrip": true,
1032
+ "single_word": false,
1033
+ "special": true
1034
+ },
1035
+ "256126": {
1036
+ "content": "__mya__",
1037
+ "lstrip": true,
1038
+ "normalized": false,
1039
+ "rstrip": true,
1040
+ "single_word": false,
1041
+ "special": true
1042
+ },
1043
+ "256127": {
1044
+ "content": "__nld__",
1045
+ "lstrip": true,
1046
+ "normalized": false,
1047
+ "rstrip": true,
1048
+ "single_word": false,
1049
+ "special": true
1050
+ },
1051
+ "256128": {
1052
+ "content": "__nno__",
1053
+ "lstrip": true,
1054
+ "normalized": false,
1055
+ "rstrip": true,
1056
+ "single_word": false,
1057
+ "special": true
1058
+ },
1059
+ "256129": {
1060
+ "content": "__nob__",
1061
+ "lstrip": true,
1062
+ "normalized": false,
1063
+ "rstrip": true,
1064
+ "single_word": false,
1065
+ "special": true
1066
+ },
1067
+ "256130": {
1068
+ "content": "__npi__",
1069
+ "lstrip": true,
1070
+ "normalized": false,
1071
+ "rstrip": true,
1072
+ "single_word": false,
1073
+ "special": true
1074
+ },
1075
+ "256131": {
1076
+ "content": "__nso__",
1077
+ "lstrip": true,
1078
+ "normalized": false,
1079
+ "rstrip": true,
1080
+ "single_word": false,
1081
+ "special": true
1082
+ },
1083
+ "256132": {
1084
+ "content": "__nus__",
1085
+ "lstrip": true,
1086
+ "normalized": false,
1087
+ "rstrip": true,
1088
+ "single_word": false,
1089
+ "special": true
1090
+ },
1091
+ "256133": {
1092
+ "content": "__nya__",
1093
+ "lstrip": true,
1094
+ "normalized": false,
1095
+ "rstrip": true,
1096
+ "single_word": false,
1097
+ "special": true
1098
+ },
1099
+ "256134": {
1100
+ "content": "__oci__",
1101
+ "lstrip": true,
1102
+ "normalized": false,
1103
+ "rstrip": true,
1104
+ "single_word": false,
1105
+ "special": true
1106
+ },
1107
+ "256135": {
1108
+ "content": "__gaz__",
1109
+ "lstrip": true,
1110
+ "normalized": false,
1111
+ "rstrip": true,
1112
+ "single_word": false,
1113
+ "special": true
1114
+ },
1115
+ "256136": {
1116
+ "content": "__ory__",
1117
+ "lstrip": true,
1118
+ "normalized": false,
1119
+ "rstrip": true,
1120
+ "single_word": false,
1121
+ "special": true
1122
+ },
1123
+ "256137": {
1124
+ "content": "__pag__",
1125
+ "lstrip": true,
1126
+ "normalized": false,
1127
+ "rstrip": true,
1128
+ "single_word": false,
1129
+ "special": true
1130
+ },
1131
+ "256138": {
1132
+ "content": "__pan__",
1133
+ "lstrip": true,
1134
+ "normalized": false,
1135
+ "rstrip": true,
1136
+ "single_word": false,
1137
+ "special": true
1138
+ },
1139
+ "256139": {
1140
+ "content": "__pap__",
1141
+ "lstrip": true,
1142
+ "normalized": false,
1143
+ "rstrip": true,
1144
+ "single_word": false,
1145
+ "special": true
1146
+ },
1147
+ "256140": {
1148
+ "content": "__pol__",
1149
+ "lstrip": true,
1150
+ "normalized": false,
1151
+ "rstrip": true,
1152
+ "single_word": false,
1153
+ "special": true
1154
+ },
1155
+ "256141": {
1156
+ "content": "__por__",
1157
+ "lstrip": true,
1158
+ "normalized": false,
1159
+ "rstrip": true,
1160
+ "single_word": false,
1161
+ "special": true
1162
+ },
1163
+ "256142": {
1164
+ "content": "__prs__",
1165
+ "lstrip": true,
1166
+ "normalized": false,
1167
+ "rstrip": true,
1168
+ "single_word": false,
1169
+ "special": true
1170
+ },
1171
+ "256143": {
1172
+ "content": "__pbt__",
1173
+ "lstrip": true,
1174
+ "normalized": false,
1175
+ "rstrip": true,
1176
+ "single_word": false,
1177
+ "special": true
1178
+ },
1179
+ "256144": {
1180
+ "content": "__quy__",
1181
+ "lstrip": true,
1182
+ "normalized": false,
1183
+ "rstrip": true,
1184
+ "single_word": false,
1185
+ "special": true
1186
+ },
1187
+ "256145": {
1188
+ "content": "__ron__",
1189
+ "lstrip": true,
1190
+ "normalized": false,
1191
+ "rstrip": true,
1192
+ "single_word": false,
1193
+ "special": true
1194
+ },
1195
+ "256146": {
1196
+ "content": "__run__",
1197
+ "lstrip": true,
1198
+ "normalized": false,
1199
+ "rstrip": true,
1200
+ "single_word": false,
1201
+ "special": true
1202
+ },
1203
+ "256147": {
1204
+ "content": "__rus__",
1205
+ "lstrip": true,
1206
+ "normalized": false,
1207
+ "rstrip": true,
1208
+ "single_word": false,
1209
+ "special": true
1210
+ },
1211
+ "256148": {
1212
+ "content": "__sag__",
1213
+ "lstrip": true,
1214
+ "normalized": false,
1215
+ "rstrip": true,
1216
+ "single_word": false,
1217
+ "special": true
1218
+ },
1219
+ "256149": {
1220
+ "content": "__san__",
1221
+ "lstrip": true,
1222
+ "normalized": false,
1223
+ "rstrip": true,
1224
+ "single_word": false,
1225
+ "special": true
1226
+ },
1227
+ "256150": {
1228
+ "content": "__sat__",
1229
+ "lstrip": true,
1230
+ "normalized": false,
1231
+ "rstrip": true,
1232
+ "single_word": false,
1233
+ "special": true
1234
+ },
1235
+ "256151": {
1236
+ "content": "__scn__",
1237
+ "lstrip": true,
1238
+ "normalized": false,
1239
+ "rstrip": true,
1240
+ "single_word": false,
1241
+ "special": true
1242
+ },
1243
+ "256152": {
1244
+ "content": "__shn__",
1245
+ "lstrip": true,
1246
+ "normalized": false,
1247
+ "rstrip": true,
1248
+ "single_word": false,
1249
+ "special": true
1250
+ },
1251
+ "256153": {
1252
+ "content": "__sin__",
1253
+ "lstrip": true,
1254
+ "normalized": false,
1255
+ "rstrip": true,
1256
+ "single_word": false,
1257
+ "special": true
1258
+ },
1259
+ "256154": {
1260
+ "content": "__slk__",
1261
+ "lstrip": true,
1262
+ "normalized": false,
1263
+ "rstrip": true,
1264
+ "single_word": false,
1265
+ "special": true
1266
+ },
1267
+ "256155": {
1268
+ "content": "__slv__",
1269
+ "lstrip": true,
1270
+ "normalized": false,
1271
+ "rstrip": true,
1272
+ "single_word": false,
1273
+ "special": true
1274
+ },
1275
+ "256156": {
1276
+ "content": "__smo__",
1277
+ "lstrip": true,
1278
+ "normalized": false,
1279
+ "rstrip": true,
1280
+ "single_word": false,
1281
+ "special": true
1282
+ },
1283
+ "256157": {
1284
+ "content": "__sna__",
1285
+ "lstrip": true,
1286
+ "normalized": false,
1287
+ "rstrip": true,
1288
+ "single_word": false,
1289
+ "special": true
1290
+ },
1291
+ "256158": {
1292
+ "content": "__snd__",
1293
+ "lstrip": true,
1294
+ "normalized": false,
1295
+ "rstrip": true,
1296
+ "single_word": false,
1297
+ "special": true
1298
+ },
1299
+ "256159": {
1300
+ "content": "__som__",
1301
+ "lstrip": true,
1302
+ "normalized": false,
1303
+ "rstrip": true,
1304
+ "single_word": false,
1305
+ "special": true
1306
+ },
1307
+ "256160": {
1308
+ "content": "__sot__",
1309
+ "lstrip": true,
1310
+ "normalized": false,
1311
+ "rstrip": true,
1312
+ "single_word": false,
1313
+ "special": true
1314
+ },
1315
+ "256161": {
1316
+ "content": "__spa__",
1317
+ "lstrip": true,
1318
+ "normalized": false,
1319
+ "rstrip": true,
1320
+ "single_word": false,
1321
+ "special": true
1322
+ },
1323
+ "256162": {
1324
+ "content": "__als__",
1325
+ "lstrip": true,
1326
+ "normalized": false,
1327
+ "rstrip": true,
1328
+ "single_word": false,
1329
+ "special": true
1330
+ },
1331
+ "256163": {
1332
+ "content": "__srd__",
1333
+ "lstrip": true,
1334
+ "normalized": false,
1335
+ "rstrip": true,
1336
+ "single_word": false,
1337
+ "special": true
1338
+ },
1339
+ "256164": {
1340
+ "content": "__srp__",
1341
+ "lstrip": true,
1342
+ "normalized": false,
1343
+ "rstrip": true,
1344
+ "single_word": false,
1345
+ "special": true
1346
+ },
1347
+ "256165": {
1348
+ "content": "__ssw__",
1349
+ "lstrip": true,
1350
+ "normalized": false,
1351
+ "rstrip": true,
1352
+ "single_word": false,
1353
+ "special": true
1354
+ },
1355
+ "256166": {
1356
+ "content": "__sun__",
1357
+ "lstrip": true,
1358
+ "normalized": false,
1359
+ "rstrip": true,
1360
+ "single_word": false,
1361
+ "special": true
1362
+ },
1363
+ "256167": {
1364
+ "content": "__swe__",
1365
+ "lstrip": true,
1366
+ "normalized": false,
1367
+ "rstrip": true,
1368
+ "single_word": false,
1369
+ "special": true
1370
+ },
1371
+ "256168": {
1372
+ "content": "__swh__",
1373
+ "lstrip": true,
1374
+ "normalized": false,
1375
+ "rstrip": true,
1376
+ "single_word": false,
1377
+ "special": true
1378
+ },
1379
+ "256169": {
1380
+ "content": "__szl__",
1381
+ "lstrip": true,
1382
+ "normalized": false,
1383
+ "rstrip": true,
1384
+ "single_word": false,
1385
+ "special": true
1386
+ },
1387
+ "256170": {
1388
+ "content": "__tam__",
1389
+ "lstrip": true,
1390
+ "normalized": false,
1391
+ "rstrip": true,
1392
+ "single_word": false,
1393
+ "special": true
1394
+ },
1395
+ "256171": {
1396
+ "content": "__tat__",
1397
+ "lstrip": true,
1398
+ "normalized": false,
1399
+ "rstrip": true,
1400
+ "single_word": false,
1401
+ "special": true
1402
+ },
1403
+ "256172": {
1404
+ "content": "__tel__",
1405
+ "lstrip": true,
1406
+ "normalized": false,
1407
+ "rstrip": true,
1408
+ "single_word": false,
1409
+ "special": true
1410
+ },
1411
+ "256173": {
1412
+ "content": "__tgk__",
1413
+ "lstrip": true,
1414
+ "normalized": false,
1415
+ "rstrip": true,
1416
+ "single_word": false,
1417
+ "special": true
1418
+ },
1419
+ "256174": {
1420
+ "content": "__tgl__",
1421
+ "lstrip": true,
1422
+ "normalized": false,
1423
+ "rstrip": true,
1424
+ "single_word": false,
1425
+ "special": true
1426
+ },
1427
+ "256175": {
1428
+ "content": "__tha__",
1429
+ "lstrip": true,
1430
+ "normalized": false,
1431
+ "rstrip": true,
1432
+ "single_word": false,
1433
+ "special": true
1434
+ },
1435
+ "256176": {
1436
+ "content": "__tir__",
1437
+ "lstrip": true,
1438
+ "normalized": false,
1439
+ "rstrip": true,
1440
+ "single_word": false,
1441
+ "special": true
1442
+ },
1443
+ "256177": {
1444
+ "content": "__taq__",
1445
+ "lstrip": true,
1446
+ "normalized": false,
1447
+ "rstrip": true,
1448
+ "single_word": false,
1449
+ "special": true
1450
+ },
1451
+ "256178": {
1452
+ "content": "__taq_Tfng__",
1453
+ "lstrip": true,
1454
+ "normalized": false,
1455
+ "rstrip": true,
1456
+ "single_word": false,
1457
+ "special": true
1458
+ },
1459
+ "256179": {
1460
+ "content": "__tpi__",
1461
+ "lstrip": true,
1462
+ "normalized": false,
1463
+ "rstrip": true,
1464
+ "single_word": false,
1465
+ "special": true
1466
+ },
1467
+ "256180": {
1468
+ "content": "__tsn__",
1469
+ "lstrip": true,
1470
+ "normalized": false,
1471
+ "rstrip": true,
1472
+ "single_word": false,
1473
+ "special": true
1474
+ },
1475
+ "256181": {
1476
+ "content": "__tso__",
1477
+ "lstrip": true,
1478
+ "normalized": false,
1479
+ "rstrip": true,
1480
+ "single_word": false,
1481
+ "special": true
1482
+ },
1483
+ "256182": {
1484
+ "content": "__tuk__",
1485
+ "lstrip": true,
1486
+ "normalized": false,
1487
+ "rstrip": true,
1488
+ "single_word": false,
1489
+ "special": true
1490
+ },
1491
+ "256183": {
1492
+ "content": "__tum__",
1493
+ "lstrip": true,
1494
+ "normalized": false,
1495
+ "rstrip": true,
1496
+ "single_word": false,
1497
+ "special": true
1498
+ },
1499
+ "256184": {
1500
+ "content": "__tur__",
1501
+ "lstrip": true,
1502
+ "normalized": false,
1503
+ "rstrip": true,
1504
+ "single_word": false,
1505
+ "special": true
1506
+ },
1507
+ "256185": {
1508
+ "content": "__twi__",
1509
+ "lstrip": true,
1510
+ "normalized": false,
1511
+ "rstrip": true,
1512
+ "single_word": false,
1513
+ "special": true
1514
+ },
1515
+ "256186": {
1516
+ "content": "__tzm__",
1517
+ "lstrip": true,
1518
+ "normalized": false,
1519
+ "rstrip": true,
1520
+ "single_word": false,
1521
+ "special": true
1522
+ },
1523
+ "256187": {
1524
+ "content": "__uig__",
1525
+ "lstrip": true,
1526
+ "normalized": false,
1527
+ "rstrip": true,
1528
+ "single_word": false,
1529
+ "special": true
1530
+ },
1531
+ "256188": {
1532
+ "content": "__ukr__",
1533
+ "lstrip": true,
1534
+ "normalized": false,
1535
+ "rstrip": true,
1536
+ "single_word": false,
1537
+ "special": true
1538
+ },
1539
+ "256189": {
1540
+ "content": "__umb__",
1541
+ "lstrip": true,
1542
+ "normalized": false,
1543
+ "rstrip": true,
1544
+ "single_word": false,
1545
+ "special": true
1546
+ },
1547
+ "256190": {
1548
+ "content": "__urd__",
1549
+ "lstrip": true,
1550
+ "normalized": false,
1551
+ "rstrip": true,
1552
+ "single_word": false,
1553
+ "special": true
1554
+ },
1555
+ "256191": {
1556
+ "content": "__uzn__",
1557
+ "lstrip": true,
1558
+ "normalized": false,
1559
+ "rstrip": true,
1560
+ "single_word": false,
1561
+ "special": true
1562
+ },
1563
+ "256192": {
1564
+ "content": "__vec__",
1565
+ "lstrip": true,
1566
+ "normalized": false,
1567
+ "rstrip": true,
1568
+ "single_word": false,
1569
+ "special": true
1570
+ },
1571
+ "256193": {
1572
+ "content": "__vie__",
1573
+ "lstrip": true,
1574
+ "normalized": false,
1575
+ "rstrip": true,
1576
+ "single_word": false,
1577
+ "special": true
1578
+ },
1579
+ "256194": {
1580
+ "content": "__war__",
1581
+ "lstrip": true,
1582
+ "normalized": false,
1583
+ "rstrip": true,
1584
+ "single_word": false,
1585
+ "special": true
1586
+ },
1587
+ "256195": {
1588
+ "content": "__wol__",
1589
+ "lstrip": true,
1590
+ "normalized": false,
1591
+ "rstrip": true,
1592
+ "single_word": false,
1593
+ "special": true
1594
+ },
1595
+ "256196": {
1596
+ "content": "__xho__",
1597
+ "lstrip": true,
1598
+ "normalized": false,
1599
+ "rstrip": true,
1600
+ "single_word": false,
1601
+ "special": true
1602
+ },
1603
+ "256197": {
1604
+ "content": "__ydd__",
1605
+ "lstrip": true,
1606
+ "normalized": false,
1607
+ "rstrip": true,
1608
+ "single_word": false,
1609
+ "special": true
1610
+ },
1611
+ "256198": {
1612
+ "content": "__yor__",
1613
+ "lstrip": true,
1614
+ "normalized": false,
1615
+ "rstrip": true,
1616
+ "single_word": false,
1617
+ "special": true
1618
+ },
1619
+ "256199": {
1620
+ "content": "__yue__",
1621
+ "lstrip": true,
1622
+ "normalized": false,
1623
+ "rstrip": true,
1624
+ "single_word": false,
1625
+ "special": true
1626
+ },
1627
+ "256200": {
1628
+ "content": "__cmn__",
1629
+ "lstrip": true,
1630
+ "normalized": false,
1631
+ "rstrip": true,
1632
+ "single_word": false,
1633
+ "special": true
1634
+ },
1635
+ "256201": {
1636
+ "content": "__cmn_Hant__",
1637
+ "lstrip": true,
1638
+ "normalized": false,
1639
+ "rstrip": true,
1640
+ "single_word": false,
1641
+ "special": true
1642
+ },
1643
+ "256202": {
1644
+ "content": "__zul__",
1645
+ "lstrip": true,
1646
+ "normalized": false,
1647
+ "rstrip": true,
1648
+ "single_word": false,
1649
+ "special": true
1650
+ },
1651
+ "256203": {
1652
+ "content": "<MINED_DATA>",
1653
+ "lstrip": true,
1654
+ "normalized": false,
1655
+ "rstrip": true,
1656
+ "single_word": false,
1657
+ "special": true
1658
+ },
1659
+ "256204": {
1660
+ "content": "<MMT_BT_DATA>",
1661
+ "lstrip": true,
1662
+ "normalized": false,
1663
+ "rstrip": true,
1664
+ "single_word": false,
1665
+ "special": true
1666
+ },
1667
+ "256205": {
1668
+ "content": "<SMT_BT_DATA>",
1669
+ "lstrip": true,
1670
+ "normalized": false,
1671
+ "rstrip": true,
1672
+ "single_word": false,
1673
+ "special": true
1674
+ }
1675
+ },
1676
+ "additional_special_tokens": [
1677
+ "__ace__",
1678
+ "__ace_Latn__",
1679
+ "__acm__",
1680
+ "__acq__",
1681
+ "__aeb__",
1682
+ "__afr__",
1683
+ "__ajp__",
1684
+ "__aka__",
1685
+ "__amh__",
1686
+ "__apc__",
1687
+ "__arb__",
1688
+ "__ars__",
1689
+ "__ary__",
1690
+ "__arz__",
1691
+ "__asm__",
1692
+ "__ast__",
1693
+ "__awa__",
1694
+ "__ayr__",
1695
+ "__azb__",
1696
+ "__azj__",
1697
+ "__bak__",
1698
+ "__bam__",
1699
+ "__ban__",
1700
+ "__bel__",
1701
+ "__bem__",
1702
+ "__ben__",
1703
+ "__bho__",
1704
+ "__bjn__",
1705
+ "__bjn_Latn__",
1706
+ "__bod__",
1707
+ "__bos__",
1708
+ "__bug__",
1709
+ "__bul__",
1710
+ "__cat__",
1711
+ "__ceb__",
1712
+ "__ces__",
1713
+ "__cjk__",
1714
+ "__ckb__",
1715
+ "__crh__",
1716
+ "__cym__",
1717
+ "__dan__",
1718
+ "__deu__",
1719
+ "__dik__",
1720
+ "__dyu__",
1721
+ "__dzo__",
1722
+ "__ell__",
1723
+ "__eng__",
1724
+ "__epo__",
1725
+ "__est__",
1726
+ "__eus__",
1727
+ "__ewe__",
1728
+ "__fao__",
1729
+ "__pes__",
1730
+ "__fij__",
1731
+ "__fin__",
1732
+ "__fon__",
1733
+ "__fra__",
1734
+ "__fur__",
1735
+ "__fuv__",
1736
+ "__gla__",
1737
+ "__gle__",
1738
+ "__glg__",
1739
+ "__grn__",
1740
+ "__guj__",
1741
+ "__hat__",
1742
+ "__hau__",
1743
+ "__heb__",
1744
+ "__hin__",
1745
+ "__hne__",
1746
+ "__hrv__",
1747
+ "__hun__",
1748
+ "__hye__",
1749
+ "__ibo__",
1750
+ "__ilo__",
1751
+ "__ind__",
1752
+ "__isl__",
1753
+ "__ita__",
1754
+ "__jav__",
1755
+ "__jpn__",
1756
+ "__kab__",
1757
+ "__kac__",
1758
+ "__kam__",
1759
+ "__kan__",
1760
+ "__kas__",
1761
+ "__kas_Deva__",
1762
+ "__kat__",
1763
+ "__knc__",
1764
+ "__knc_Latn__",
1765
+ "__kaz__",
1766
+ "__kbp__",
1767
+ "__kea__",
1768
+ "__khm__",
1769
+ "__kik__",
1770
+ "__kin__",
1771
+ "__kir__",
1772
+ "__kmb__",
1773
+ "__kon__",
1774
+ "__kor__",
1775
+ "__kmr__",
1776
+ "__lao__",
1777
+ "__lvs__",
1778
+ "__lij__",
1779
+ "__lim__",
1780
+ "__lin__",
1781
+ "__lit__",
1782
+ "__lmo__",
1783
+ "__ltg__",
1784
+ "__ltz__",
1785
+ "__lua__",
1786
+ "__lug__",
1787
+ "__luo__",
1788
+ "__lus__",
1789
+ "__mag__",
1790
+ "__mai__",
1791
+ "__mal__",
1792
+ "__mar__",
1793
+ "__min__",
1794
+ "__mkd__",
1795
+ "__plt__",
1796
+ "__mlt__",
1797
+ "__mni__",
1798
+ "__khk__",
1799
+ "__mos__",
1800
+ "__mri__",
1801
+ "__zsm__",
1802
+ "__mya__",
1803
+ "__nld__",
1804
+ "__nno__",
1805
+ "__nob__",
1806
+ "__npi__",
1807
+ "__nso__",
1808
+ "__nus__",
1809
+ "__nya__",
1810
+ "__oci__",
1811
+ "__gaz__",
1812
+ "__ory__",
1813
+ "__pag__",
1814
+ "__pan__",
1815
+ "__pap__",
1816
+ "__pol__",
1817
+ "__por__",
1818
+ "__prs__",
1819
+ "__pbt__",
1820
+ "__quy__",
1821
+ "__ron__",
1822
+ "__run__",
1823
+ "__rus__",
1824
+ "__sag__",
1825
+ "__san__",
1826
+ "__sat__",
1827
+ "__scn__",
1828
+ "__shn__",
1829
+ "__sin__",
1830
+ "__slk__",
1831
+ "__slv__",
1832
+ "__smo__",
1833
+ "__sna__",
1834
+ "__snd__",
1835
+ "__som__",
1836
+ "__sot__",
1837
+ "__spa__",
1838
+ "__als__",
1839
+ "__srd__",
1840
+ "__srp__",
1841
+ "__ssw__",
1842
+ "__sun__",
1843
+ "__swe__",
1844
+ "__swh__",
1845
+ "__szl__",
1846
+ "__tam__",
1847
+ "__tat__",
1848
+ "__tel__",
1849
+ "__tgk__",
1850
+ "__tgl__",
1851
+ "__tha__",
1852
+ "__tir__",
1853
+ "__taq__",
1854
+ "__taq_Tfng__",
1855
+ "__tpi__",
1856
+ "__tsn__",
1857
+ "__tso__",
1858
+ "__tuk__",
1859
+ "__tum__",
1860
+ "__tur__",
1861
+ "__twi__",
1862
+ "__tzm__",
1863
+ "__uig__",
1864
+ "__ukr__",
1865
+ "__umb__",
1866
+ "__urd__",
1867
+ "__uzn__",
1868
+ "__vec__",
1869
+ "__vie__",
1870
+ "__war__",
1871
+ "__wol__",
1872
+ "__xho__",
1873
+ "__ydd__",
1874
+ "__yor__",
1875
+ "__yue__",
1876
+ "__cmn__",
1877
+ "__cmn_Hant__",
1878
+ "__zul__",
1879
+ "<MINED_DATA>",
1880
+ "<MMT_BT_DATA>",
1881
+ "<SMT_BT_DATA>"
1882
+ ],
1883
  "bos_token": "<s>",
1884
  "clean_up_tokenization_spaces": true,
1885
  "cls_token": "<s>",