patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
047626461
summary
The invention relates to a method of treating low level radioactive waste liquid, and in particular liquid effluents containing beta or gamma low level radioactive substances to convert them into storable solids. One of the major problems of the nuclear industry is the storage of radioactive waste and principally liquid effluents. It is necessary to treat liquid effluents to convert them into a stable product of minimal volume. Several solutions have been proposed, amongst which can be cited those which consist of diluting and neutralising the effluent and precipitating metallic hydroxides. It is also known to evaporate part of the water to form sludge which is separated by centrifuging and which is then enclosed in bitumen or cement or subjected to incineration. These processes can only be performed in specialized treatment centres. U.S. Pat. No. 4,065,400 teaches a method in which the atomized liquid waste is introduced into a fluidized bed of inert and hot particles, and removed after calcination with a part of the bed for subsequent vitrification. British Pat. No. 2046499 teaches a method in which the radioactive elements of the liquid effluents are fixed on ion-exchanging resins which are then encapsulated in an organic material before being placed on the sea bed. These methods require cumbersome installations which cannot be used at every site, and are not movable. Also, to obtain a dry product which can be encapsulated, these solutions require the introduction of an extra substance which increases the volume to be stored. It is known from European patent publication -A-0125381 (Rockwell) to reduce the volume of low level radioactive wastes containing free water by converting the waste into the form of an atomized spray and contacting the spray with hot gases to form a dry flowable powder and water vapour. The powder is then incorporated in a matrix for storage. The method is performed in a carbon steel vessel at a fixed installation and the gases are heated by burning a fuel. The method is not efficient because radioactive contamination is likely to build up in the vessel and unless extra precautions are taken the fuel will cause pollution. It is one object of this invention to provide a substantially pollution free method of treating low level radioactive waste liquid such that the apparatus in which the method is performed will be of long and safe life, and which will provide solids better adapted to a prolonged storage life. It is a further object to provide apparatus for performing the method which is transportable. According to one aspect of the invention there is provided a method of treating low level radioactive waste liquid, comprising atomizing the liquid to provide particles of solid radioactive material and then encapsulating the particles in a matrix characterized in that the pH of the liquid is adjusted to be substantially neutral before the liquid is subjected to atomization. The adjustment of the pH of the liquid has the effect of ensuring that when the liquid is atomized the solids formed do not tend to crystallize in the apparatus in which the method is performed. Such deposits can form on the inner wall surfaces of the atomizer and in the associated pipes which can become corroded and even blocked. In addition, because the radioactive particles have a neutral pH, when they are later encapsulated in a matrix e.g. one of resin, concrete or bitumen, there is reduced tendency for a chemical attack or instability. Preferably the pH of the liquid is adjusted to a value of between about 6 and about 8, most preferably about 6.7. While a variety of neutralizing agents can be used to adjust the pH, it is preferred that a strong caustic solution is used to adjust the pH of the liquid; most preferably the strong caustic solution is potash. Neutralization is preferably carried out by agitation and with cooling, so that the aerosol formation temperature is not reached. The neutralized liquid, which may be a suspension, is then supplied to a centrifugal wheel atomizer and typically to the turbine of the atomizer dryer which is preferably inside and at the top of a cylindro-conically shaped chamber. Preferably the speed of rotation of the turbine is between about 18,000 and about 24,000 revolutions/minute in order to form a mist of fine droplets into which heated air is injected to bring about an instantaneous evaporation of the liquid and to form dry particles which do not agglomerate together and do not adhere to the walls of the chamber. These particles are removed at the bottom of the cone of the chamber by the flow of hot air. The air is preferably heated by non-polluting means, preferably an electric heater, and most preferably to about 400.degree. C. to about 500.degree. C. The rate of supply of the air and the output are regulated so as to have a temperature of between about 105.degree. C. and about 150.degree. C. at the atomizer outlet. The formed mixture of air, particles and water vapour is then conveyed over a pre-filter, then over a filter, and finally over a final filter, so that the gaseous flow is completely free from any contamination and can be returned to the atmosphere. The dry particles recovered in the filters are then mixed with an encapsulating agent, preferably a thermo-hardenable plastics material and the mixture is placed in packings of plastics material in which is created a vacuum of between about 200 and about 400 Pa and heating is carried out at between about 110.degree. C. and about 150.degree. C. so as to make the plastic material flow. These packings are then placed in casks to be stored or buried, in the usual way. The thermo-hardenable plastics material is preferably a low-density polyethylene but for certain products containing particularly emissive radioactive contaminants, resins concrete or bitumen can be used. The packings are preferably of polyethylene. The method of the invention thus makes possible the total treatment of a liquid effluent contaminated by beta or gamma radiation to provide a solid product which complies with the standard fixed by ANDRA. This method comprises a succession of fully integrated steps without any discontinuity, and the product comprises a mass having an extremely reduced volume. This mass is chemically inert, has suitable mechanical characteristics and toxic matter was not released when lixiviation tests are carried out, nor are any sweating phenomena observed. The invention is applicable to liquid effluents containing any source of low level radioactivity and is particularly applicable to low level radioactive waste containing beta and gamma emitters. The level of radiation is typically below 4.times.10.sup.-1 G.Bq.m.sup.-3. In another aspect the invention provides apparatus for use in the treatment of low level radioactive waste liquid comprising a vessel to receive the liquid and supply it to an atomizer, means for supplying heated air to the atomizer and filtration means for separating the solid particles and water vapour characterized in that means are present to adjust the pH of the liquid before it is supplied to the atomiser. Preferably the inner walls of the apparatus are formed of stainless steel. Preferably the atomizer includes a turbine which is arranged to rotate at a speed of between about 18,000 to about 24,000 revolutions/minute to form droplets which are atomised by heated air. Most preferably the heated air supplied to the atomiser is heated by an electric heater. In a much preferred feature of the invention, the apparatus is mounted on a transporter so that it may be moved to a supply of liquid to be treated. For this purpose, the transporter is encased in a radiation proof shield.
summary
summary
summary
043437621
summary
BACKGROUND OF THE INVENTION The invention relates generally to nuclear fission reactors, and more particularly to a safety device for dividing a critical mass of fissioning nuclear fuel with neutron absorbing baffles into a plurality of less than critical masses to stop fissioning, and containing the dispersed masses and radioactive products against escape from the reactor and into the environment. No search of this sensitive art has been made, and the inventor does not know of any prior art. SUMMARY OF THE INVENTION It is an object of the invention to provide a safety device for stopping the fissioning of a nuclear fuel core and containing the radioactive products in a melt-down of said nuclear fuel core.
051961610
summary
TECHNICAL FIELD OF THE INVENTION The present invention relates to a storage rack for the interim storage of hazardous nuclear fuel assemblies. More particularly, this invention relates to a fail-safe rack structure adapted for surviving catastrophic event storage of recoverable (reusable) irradiated fuel rod assemblies. BACKGROUND OF THE INVENTION Nuclear reactors consist of an array of fuel rods containing the radioactive nuclear fuel. The rods are commonly elongated slim metal tubes and are configured in groups, as in modular or unit fuel rod assemblies. After an extended period of reactor use, such irradiated or spent fuel assemblies must be lifted from the reactor proper and replaced while still retaining appreciable amounts of numerous fission products. Such irradiated fuel assemblies have generally been stored in special liquid filled pools until they are to be reprocessed. Racks for such interim storage of bundles removed from nuclear reactors are known where the assembly receiving tubes stand on a bottom plate of the main storage pool or tank. They are usually braced laterally by structures connected to both the bottom plate and the tank walls, in anticipation of surviving seismic rare disturbances. Recoverable Irradiated Fuel (RIF) assemblies are placed in storage and utilize pool water to fulfill four basic needs: (1) to provide nuclear isolation between RIF units; (2) to provide radioactive shielding to protect personnel; (3) to remove the heat buildup caused from radioactive decay of the fuel rods; and (4) to limit radioactive contamination to the storage pool and racks. The dependability of a liquid moderator, usually water, to meet these critical needs is contingent on the integrity of the storage pool. If the main reservoir level cannot maintain its functional safe level, e.g., because of a seismic event or piping system failure, then the RIF loaded rack will lose its liquid cover. Such a loss could lead to a critical configuration of the RIF units, leading to excessive heat buildup, possibly lethal radiation levels, and the consequent unregulated broadcast of radioactive contamination in the storage pool. Because of these ominous risks of moderator loss, commercial facilities must then resort to emergency remedial actions to restore the required liquid cover over the irradiated fuel assemblies. Such steps include but are not necessarily limited to: (1) closing gates to isolate the affected storage; (2) moving single RIF units to an alternate storage pool; (3) moving a loaded storage rack to a functioning pool should the inherent rack design so permit; and (4) rapid refilling the entire reservoir to regain the liquid level essential to proper operation. If such crash steps cannot be implemented prior to fuel rack exposure, site evacuation may be necessary due to rising radiation levels or the danger of reaching a critical fuel configuration. The dire consequences of even remote events like failure of the pool liquid level over nuclear fuel assemblies is a continuing concern in the nuclear industry, which has accordingly generated many corrective or protective approaches. Among the prior art approaches to remedial design of nuclear fuel storage assemblies is the storage rack of U.S. Pat. No. 4,400,344 to Wachter, et al., disclosing a rack consisting of a checkerboard array of square storage cells or tubes. Alternate cells in each row include a neutron-absorbing poison material (usually in the tube wall), while the other cells are used for storage of the spent fuel assemblies. For temporary storage, the poison cells contain a moderator like water, and the entire rack is encased in concrete for shielding. The resultant 50 percent loss of rod storage space and the complex construction make evident the expense and the probable inability to withstand a major seismic mishap because of the vulnerability of the concrete casing to fracture. Another approach to interim storage of rod assemblies is seen in U.S. Pat. No. 4,348,352 to M. Knecht, which discloses a rack installable in a water tank and which is designed to be earthquake proof, also receiving the fuel rod assemblies in close packing. The maintenance of the liquid level in the water tank is quite dependent upon the integrity of the reinforced concrete tank (reinforced with steel plate) for storing of the rack unit. Seismic safety is thus tied to massive steel sheet reinforced concrete storage pools, rather than to any improvement in rack configuration which would retain cooling water about irradiated rod assemblies despite unplanned liquid level loss about the rack. U.S. Pat. No. 4,187,433 to Zezza discloses a high storage density nuclear fuel assembly in a pool with each fuel cell being vertically movable in the storage rack. Circulation openings at the top and bottom of the cells permit pool water circulation through them; of course, this is effective only so long as ambient water is available in the pool. U.S. Pat. No. 4,318,492 to Peehs, et al., is directed to a fuel assembly storage capsule formed of a sleeve sealed at its bottom and having an upper opening closable by a cover that has a cross section matching that of the fuel assembly to be received therein. The sleeve and the cover rim define an annular space filled with air. No means for liquid circulation therethrough is taught. U.S. Pat. No. 4,788,029 to Kerjean, et al., employs an apparatus for storing fuel assemblies in a pool where they are separated by a water gap. The walls of the cells are externally covered with a neutrophage material for irradiation control. There is no teaching relating to use of circulating liquid for waste heat removal and control. OBJECTS OF THE INVENTION It is a principal object of the present invention to provide a storage rack for toxic waste materials, e.g., hazardous irradiated fuels, which has a passive fail-safe protection feature while the rack is storable in a large volume moderator fluid pool. It is another object of this inevention to provide a rack that requires no hasty and hazardous unit relocation to alternate moderator pools upon loss of liquid level in the main pool. Still another object of the invention is to provide a storage rack configuration that will maintain nuclear isolation between RIF units, adequate liquid shielding for personnel, and means for ongoing removal of decay fuel heat upon loss of moderator fluid level from the main storage pool. Yet another object of the invention is to provide a toxic waste storage system the use of which, in the event of liquid loss in the main storage pool, precludes having to invoke standard emergency fuel assembly safety actions usually initiated to maintain the existing liquid cover over resting assemblies. SUMMARY OF THE INVENTION In an effort to overcome the above-mentioned deficiencies of the prior art, the present invention provides a storage rack for retaining toxic waste materials, e.g., hazardous nuclear fuel assemblies, which are normally reposed in a liquid moderator filled pool. The rack of the present invention provides an important passive accident safety configuration, comprising an integral container with conjoined external sidewalls and a bottom plate adequate to support a liquid load as well as the weight of the stored assembly. A tailored cover plate contains insertion slots for the rod assemblies. By virtue of such a configuration, any unplanned loss of liquid level in the main storage pool does not inherently result in liquid level loss over the assemblies positioned within the rack. The outer container sidewalls are welded or otherwise securely affixed to an internal framework that supports the RIF assembly modules. The design and construction can thus accommodate the loss of hydrostatic head from the ambient water should the pool liquid level be lost. The liquid present within the rack walls is retained despite any degree of liquid level drop occurring outside in the main pool. Nuclear isolation is maintained by the interstitial liquid filling in between and within the storage tubes, as is radiation shielding of personnel from the retained liquid cover over the cells within the rack. Moreover, the removal of heat from radioactive rods at least partially continues because heat generated by the nuclear fuel is dissipated into the ambient liquid, which then circulates by convection flow. Lastly, spread of hazardous contamination is precluded by the continuing liquid cover over the RIF modules while they reside in the storage rack of the invention. The operational aspects of the passive storage rack are otherwise identical to prior racks in normal use, except for its unique fail-safe, interim protection function, as described herein. The storage rack is essentially, in its lateral configuration, a double walled shell. An integral inner rectangular shell (generally having no bottom plate) is interposed between the imperforate rack external sides and the periphery of the array of elongate receiving tubes adapted for containing irradiated fuel or similar toxic waste assemblies. The inner shell is sufficiently spaced from the sidewall to define a vertical peripheral passageway within the container sidewalls and is adapted to permit downward flow of the cooler moderator fluid entering from the ambient pool. Each of the elongate tubes has one or more ports disposed on its lower edge, which edge fixedly abuts the bottom plate. The ports are intended to permit bottom water inflow for absorbing the waste heat normally generated by the fuel assemblies loaded into the elongate tubes. Convection liquid flow moves the liquid through the rack while maintaining a more or less steady state temperature with cooling water flowthrough. Exit ports are preferably located in the upper planar member (preferably having at least one for each elongate tube) to permit the escape of warmer liquid from the rack confines back into the ambient pool. An important feature of the present invention is that this rack structure assures that the retained moderator fluid can circulate fully through the rack proper only while it is disposed wholly beneath the pool level. Should the pool liquid level be lost, until major remedial steps are taken, the trapped liquid will then recirculate solely within the rack container, warming up steadily yet still providing interim protection. In the presently preferred embodiment, the upper member is provided with a plurality of hinged squared edge covers having one or more ports in each to permit the escape of warmed liquid to the ambient pool. This hinging aspect facilitates the lifting out of the nuclear fuel assemblies, as desired. The hinge covers are conveniently aligned with the otherwise squared open ends of the elongate receiving tubes. The foregoing general description will serve to explain the mode of operation and principles of the invention in general terms so as to enable those of ordinary skill in the art to which this invention pertains to make and use the same. The accompanying drawings, together with the following detailed description of the invention, will serve to further disclose the best mode currently contemplated by the inventors of carrying out the invention; they are exemplary and explanatory but are not restrictive of the invention, the scope of which is defined solely by the claims.
abstract
An X-ray source is provided for delivering a high intensity X-ray beam with a predefined energy level of monochromatization, intensity and spatial distribution to a desired region of a sample. The source includes a linear accelerator with a thin anode 4, an electron trap 5 for separating an electron beam from an X-ray beam and conditioning optics which direct, shape and monochromatize the X-ray beam. The conditioning optics include a housing 8 within which are contained entrance slits, multi layer Kirkpatrick-Baez mirrors, exit slits, and a stop diaphragm. The invention also include a method of generating X-rays and a method of using them.
summary
description
The embodiments of the present invention will now be explained with reference to the drawings. FIG. 1 shows one embodiment of the invention. In FIG. 1, the current pulse signals output from a neutron detector 1 are converted and amplified into voltage pulse signals at a pre-amplifier 2 before being input to a pulse count rate measurement unit 3. The current pulse signals output from the neutron detector 1 are negative. The voltage pulse signals (negative neutron signals) output from the pre-amplifier 2 is input to a negative pulse height discriminator 8 that constitutes the pulse count rate measurement unit 3. The negative pulse height discriminator 8 is provided with a negative discrimination level Ln for measuring the negative pulse count of the neutron signals. When the voltage pulse signal is smaller (greater in absolute value) than the negative discrimination level Ln, the negative pulse height discriminator 8 outputs a pulse signal to add to a negative pulse counter 9. In a positive pulse height discriminator 18, the positive discrimination level Lp is set by a positive discrimination level setting circuit 5 for measuring the positive pulses caused by excessive extrinsic electric noises. The discriminator 18 outputs a pulse signal when the noise pulse signal exceeds the positive discrimination level Lp to add to a positive pulse counter 19. The discrimination level setting circuits 4 and 5 for negative pulse count measurement and positive pulse count measurement each sets the negative or positive pulse height discrimination level Ln or Lp as shown in FIG. 3(b). The pulse count of the negative pulse counter 9 is provided to a display device 11, a trip circuit 12a, and a pulse count rate correction circuit 22. Further, the output of the pulse count rate correction circuit 22 is provided to the display device 11 and a trip circuit 12b. The pulse count of the positive pulse counter 19 is provided to the display device 11, the pulse count rate correction circuit 22 and a count rate anomaly detection circuit 24, and the output of the count rate anomaly detection circuit 24 is provided to the display device 11. The output of the trip circuits 12a and 12b are provided to the display device 11. Next, the operation mentioned above is explained with reference to the flowchart of FIG. 2. The current pulse signal shown in FIG. 3(a) output from the neutron detector 1 for detecting the neutron flux density is converted and amplified into a voltage pulse signal by the pre-amplifier 2 before being input to a negative pulse height discriminator 8 constituting the pulse count unit 3. The negative pulse height discriminator 8 outputs a pulse signal when the voltage pulse signal is smaller (greater in absolute value) than the negative discrimination level Ln to add to the negative pulse counter 9. On the other hand, the positive pulse height discriminator 18 provides a pulse signal to the positive pulse counter 19 that counts the pulses when the noise pulse signal exceeds the positive discrimination level Lp. In step S1 of the pulse count unit 3, the negative pulse counter 9 counts the number of negative pulses, and in step S2, the positive pulse counter 19 carries out the count-rate process of the positive pulses. In step S3, the count rate anomaly detection circuit 24 compares the counted value of the positive pulses counted by the positive pulse counter 19 with a preset value. If the counted value does not reach the preset value, the procedure advances to step 5, and if the counted value is equal to or greater than the preset value, the procedure advances to step 4. In step S4, the count rate anomaly detection circuit 24 displays the count rate anomaly on the display device. Normally, when no electric noise exists, there is no count rate anomaly displayed on the display device 11, but when electric noise pulses exceeding a certain level is mixed in, the count rate anomaly is displayed on the display device 11. In step S5, the pulse count rate correction circuit 22 inputs the negative pulse count rate of the negative pulse counter 9 and the positive pulse count rate of the positive pulse counter 19, and computes xe2x80x9cnegative pulse countxe2x80x94positive pulse countxe2x80x9d to correct the measured value, and thereafter in step S6, the corrected measured value (count rate) is displayed as the measured value on the display device 11. In step S7, the trip circuit 12 compares the corrected measured value with the trip preset value, and if the corrected measured value has not reached the trip preset value the procedure is terminated, and if the corrected measured value is equal to or greater than the trip preset value, the procedure advances to step 8. Instep 8, the trip circuit 12 displays on the display device 11 that trip output exists. This is how the neutron measurement is performed, but normally, there exists no excessive extrinsic electric noise, so only the negative neutron pulses are counted by the negative pulse counter 9. If excessive extrinsic electric noise is generated and the negative pulse counter 9 counts the neutron pulses and the electric noise pulses, not only the negative pulse count rate but also the positive pulse count rate measured by the positive pulse counter 19 is displayed simultaneously on the display device 11. Further, the count rate anomaly detection circuit 25 compares the positive pulse count rate with the preset value, and when the positive pulse count rate exceeds the preset value, it displays a count rate anomaly detection result on the display device 11. By looking at the display device 11, the operator can determine easily that the increase in negative pulse count rate is caused by the electric noise. Thereafter, when the electric noise disappears and the decrease in negative pulse count rate is displayed on the display device 11, the decrease in the positive pulse count rate measured by the positive pulse counter 19 is simultaneously displayed on the screen. Further, since the positive pulse count rate becomes smaller than the preset value, the count rate anomaly detection circuit 24 clears the count rate anomaly detection result and outputs the result to the display device 11, so it is shown on the display that the state is normal. According to these operations, it could easily be judged that the decrease in the negative pulse count rate is caused by the disappearance of the electric noise. As explained above, even if excessive extrinsic electric noise with shorter intervals than the signal pulse width is mixed into the pulse signals continuously, the present invention detects and displays the occurrence of the electric noise by counting the positive pulses, and moreover, detects and displays the variation of the positive pulse count rate simultaneously when detecting and displaying the variation of the negative pulse count rate accompanying the occurrence and disappearance of the extrinsic electric noise. Accordingly, the present invention enables the operator to confirm the status of the system easily and to determine that the fluctuation of the counted value is caused by electric noises. Next, the function of correcting the pulse count rate according to the present invention is explained. The negative pulse height discrimination level Ln and the positive pulse height discrimination level Lp are set in advance as shown in FIG. 3(b) so that the negative pulse count and the positive pulse count of the extrinsic electric noises are equal when no neutron signal exists. The pulse count rate correction circuit 22 carries out an operation to subtract the positive pulse count from the negative pulse count. Thereby, even when electric noise is superposed continuously over the neutron pulse signals with smaller intervals than the signal pulse width as shown in FIG. 3(c), the pulse count rate correction circuit 22 is capable of computing the corrected count rate excluding the fluctuation of the pulse count rate caused by the influence of the electric noises. This corrected count rate is displayed on the display device 11. Moreover, a trip circuit 12a and a trip circuit 12b are provided to correspond to the count rate before the correction and the count rate after the correction, and the trip output status is displayed on the display device 11, thereby enabling the operator to understand the status related to the electric noise more accurately. As explained above, even if excessive extrinsic electric noise having a shorter interval than the signal pulse width is mixed into the pulses, the present invention enables to measure the pulse count rate stably without being influenced by the noise. Accordingly, the present invention improves the reliability of the neutron flux measurement. FIGS. 4(a) through (c) show examples of the screen displaying the negative pulse count rate together with the positive pulse count rate and the corrected pulse count rate according to the present invention. FIG. 4(a) is a display screen example of the display device 11 showing the case where no noise exists in the input signal and no trip output of the xe2x80x9chighxe2x80x9d count rate exists. FIG. 4(b) is a display screen example of the display device 11 showing the case where the negative pulse count rate is increased since noise signals are mixed into the input signal, but the function to correct the pulse count rate enables to compute the correct count rate, so no high count rate trip output exists. FIG. 4(c) shows the displayed screen example of the display device 11 showing the case where no noise signal exists in the input signal, but the actual count rate exceeds the trip value, so therefore a high count rate trip is output. The measurement is performed as mentioned, and the counting of positive noise pulse signals are performed simultaneously when counting the negative pulse signals output from the neutron detector, and the positive pulse count per unit time is subtracted from the negative pulse count per unit time so as to measure the neutrons. In other words, the negative pulse count rate computed by subtracting the positive pulse count rate (noise pulses) from a negative pulse count rate that is the sum of the noise pulses and the neutron flux detection pulses counted within a measurement cycle of a unit time is set as the neutron measurement value. According to the present invention, the noise pulses detected in both positive and negative polarities are cancelled so that the count of the negative pulse signals corresponding to the detection pulse signals of the neutron flux is obtained for neutron measurement. Therefore, the neutrons can be measured with high accuracy without being influenced by the number of noise pulses that are mixed in per unit time.
048250856
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The radiation image storage panel by use of a light stimulable phosphor (hereinafter abbreviated as storage panel) comprises generally a light stimulable phosphor layer (hereinafter abbreviated as stimulation layer) and various constituent layers for assisting the function of said stimulation layer (e.g. protective layer, filter layer or adhesive layer, etc.) provided on a support. FIGS. 1 (a)-(h) illustrate various embodiments of the storage panel of the present invention. In FIG. 1 (a), 1 is a support, 2H is a heat generating stimulation layer comprising a heat generating body for drying (hereinafter abbreviated as heat generating body) assembled therein, 3 is a protective layer. Here, an example is shown in which said protective layer covers to the peripheral side surface of the stimulation layer. In FIG. 1 (b), a heat generating layer H1 comprising a heat generating body is provided on the back surface of the support 1 opposite to the stimulation layer 2 in contact with the support 1, and in FIG. 1 (c), a heat generating layer H2 comprising a heat generating body is provided on the same side as the stimulation layer 2 with respect to the support and in contact with the support, the protective layer 3 covering the entire surface only of the stimulation layer 2. In FIG. 1 (d), 1H is a heat generating support comprising a heat generating body assembled in the support. In FIG. 1 (e), H3 is a supporting heat generating body in which the heat generating body itself also functions as the support, and the protective layer 3 covers the entire surface including the stimulation layer 2 and the back surface of the supporting heat generating body H3. In FIG. 1 (f), a heat generating layer H4 is provided in contact with the upper surface of the stimulation layer 2, while FIG. 1 (g) exhibits an embodiment in which the stimulation layer 2 is sandwiched between the heat generating layers H2 and H4. FIG. 1 (h) shows an example in which the heat generating protective layer 3H has a heat generating body assembled therein. The storage panel of the present invention is not limited to the above examples, and when the layer comprising a heat generating body or containing a heat generating body assembled therein is in the form which is located on the protective layer side than the stimulation layer or is a protective layer as such and performs reading of image from the protective layer side, a transparent substance is used for said heat generating body. The surface of the layer comprising a heat generating body or containing a heat generating body assembled therein may be a smooth surface or it can be also made a matte surface for the purpose of improving adhesiveness with the stimulation layer. For the above layer containing a heat generating body assembled therein or the heat generating support, it is preferable to use an electroconductive fine powder of carbon black, a metal fine powder, etc. Also, for the heat generating layer comprising a heat generating body, a thin film formed by vapor deposition or sputtering of a metal oxide of electrical resistor such as transparent indium oxide or metal, or a coated film of a coating material containing carbon black, metallic fine powder, etc. dispersed or suspended therein may be employed. Also, for the supporting heat generating body, in which the above heat generating body itself also functions as the support, carbon fiber sheet, etc. may be employed. The heating temperature range for drying or dehumidication of the storage panel may be 40.degree. to 150.degree. C., preferably 40.degree. to 80.degree. C., and within said temperature range, use of non-heat-resistant materials (e.g. polyethylene terephthalate, etc.) is freely permissible for the support and the protective layer. If the heating temperature is too high, loss may occur in the radiation energy accumulation in the stimulation layer during reading, or afterglow amount may be undesirably increased. The timing for heating may be at any desired timing during reading for a stimulation of radiation image and/or during non-reading. The time required for drying can be about 1.0 to 2.0 hours at 80.degree. C. even in the storage panel lowered to 30% relative sensitivity by containment of moisture, during which the sensitivity can be restored to approximately to 100%. The drying efficiency (sensitivity restoration speed) is better for the binder-free stimulation layer formed by vapor phase deposition. It is also possible to take such measures as enhancing the heating temperature higher during non-reading than during reading to enhance the drying effect and image extinction efficiency, etc., and heating may be also discontinued during reading. Also, a successive drying treatment may be performed every time for use, or alternatively comprehensive dehumidication treatment may be performed after a long time storage to the extent such that its function may not be restored during non-use at night, etc. or through the decomposition of the phosphor by moisture. When a heat generating body is assembled in a storage panel as in the embodiment as described above, the heat generating body may take any desired pattern, provided that it is a form capable of forming a current circuit and having sufficient heating effect on the whole panel surface. Its examples are shown in FIGS. 2 (a)-(c). FIG. 2 (a) is an example in which a uniform thin layer circuit is formed in the heat generating body, FIG. 2 (b) is an example of a comb-type and FIG. 2 (c) is an example of a bent single wire type circuit. In FIGS. 2 (a)-(c) P is electrode and H is heat generating body. Next, drying temperature control of the storage panel can be easily done by combining a temperature detector such as a thermocouple, etc. with a temperature controller, a power source for heater. FIG. 3 shows a block diagram of one example thereof. In FIG. 3, 1 is a support, 2 a stimulation layer, 3 a protective layer, A a temperature detector, B a temperature controller, C a power source for heater and H2 a heat generating layer. The storage panel of the present invention as described in detail above is particularly suitable for utilization in an exposure-reading built in type radiation image reading device having a stimulable phosphor plate built therein, but it can be also utilized in the case in which photographing and reading apparatus are constituted separately. Also in the case of separate devices, heating and drying devices may be used. Next, one example of dehumidication efficiency of the storage panel of the present invention is shown in FIG. 4. The structure of said storage panel is constituted as in FIG. 1 (c), and RbBr: T1 phosphor is used as the light stimulable phosphor. Also, FIG. 5 shows the relationship between the water content in the stimulation layer of the above storage panel (water mg/stimulation layer 2) and sensitivity. As is apparent from the figures, by heating of the stimulation layer, dehumidication and moisture prevention of said layer are effected to ensure permanent characteristics during usage of the storage panel. As the support to be used in the storage panel of the present invention, various polymeric materials, glasses, metals, etc. may be employed. In handling of information recording materials, those which can be worked into sheets or webs having flexibility may be employed, and from this point it is preferable to use plastic films such as cellulose acetate film, polyester film, polyethylene terephthalate film, polyamide film, polyimide film, triacetate film, polycarbonate film, etc.; metal sheets such as of aluminum, iron, copper, chromium, etc. or metal sheets having coated layers of said metal oxides. The layer thickness of these supports, which may differ depending on the material of the support, may be generally 80 .mu.m to 1000 .mu.m, more preferably 80 .mu.m to 500 .mu.m from the point in handling. The surface of these supports may be a smooth surface, or it can be also made a matte surface for the purpose of improving adhesiveness with the stimulation layer or the heat generating layer. Also, the surface of the support can be made uneven surface or it may be also made a structure with minute tile-shaped plates separated from each other being spread. Further, these supports may have also a subbing layer provided on the surface where the stimulation layer is provided for further improvement of adhesiveness with the stimulation layer. Generally speaking, stimulable phosphor in a storage panel refers to a phosphor which exhibits stimulated emission corresponding to the dose of the initial light or radiation of high energy by optical, thermal, mechanical, chemical or electrical stimulation after irradiation of initial light or high energy radiation, but practically it includes light stimulable and heat stimulable phosphors as the main ones. In the storage panel by use of the above heat stimulable phosphor, a heat generating mechanism is assembled or taken in to effect image reading by heat excitation of the stored radiation image. The heat content to be used for a heat excitation is not a heat content enough to dry the phosphor of the storage panel at all within the heat excitation time of at most second unit. For the reasons as mentioned above, the heat stimulable phosphor is slow in response to excitation and can be read in time series with difficulty, etc., practically light stimulable phosphor is useful, and those which effect a stimulated emission with stimulating light of 500 nm or higher are preferred. As the light stimulable phosphor to be used in the storage panel of the present invention, there may be included alkaline earth fluoride halide phosphors represented by the formula: EQU (Ba.sub.1-x-y Mg.sub.x Ca.sub.y)FX:eEu.sup.2+ (wherein X is at least one of Br and Cl, x, y and e are numbers satisfying the conditions of 0<x+y.ltoreq.0.6, xy .noteq.0 and 10.sup.-6 .ltoreq.e.ltoreq.5.times.10.sup.-2) disclosed in Japanese Unexamined Patent Publication No. 12143/1980; phosphors represented by the formula: EQU LnOX:xA (wherein Ln represents at least one of La, Y, Gd and Lu, X Cl and/or Br, A Ce and/or Tb, x is a number satisfying 0<x<0.1) disclosed in Japanese Unexamined Patent Publication No. 12144/1980; phosphors represented by the formula: EQU (Ba.sub.1-x M.sup.II.sub.x)FX:yA (wherein M.sup.II represents at least one of Mg, Ca, Sr, Zn and Cd, X at least one of Cl, Br and I, A at least one of Eu, Tb, Ce, Tm, Dy, Pr, Ho, Nd, Yb, and Er, x and y are numbers satisfying the conditions of 0.ltoreq.x.ltoreq.0.6 and 0.ltoreq.y.ltoreq.0.2) disclosed in Japanese Unexamined Patent Publication No. 12145/1980; phosphors represented by the formula: EQU BaFX:xCe,yA (wherein X represents at least one of Cl, Br and I, A at least one of In, Tl, Gd, Sm and Zr, x and y respectively 0<x.ltoreq.2.times.10.sup.-1 and 0<y.ltoreq.5.times.10.sup.-2) disclosed in Japanese Unexamined Patent Publication No. 84389/1980; rare earth element activated divalent metal fluorohalide phosphors represented by the formula: EQU M.sup.II FX.multidot.xA:yLn (wherein M.sup.II represents at least one of Mg, Ca, Ba, Sr, Zn and Cd, A at least one of BeO, MgO, CaO, SrO, BaO, ZnO, Al.sub.2 O.sub.3, Y.sub.2 O.sub.3, La.sub.2 O.sub.3, In.sub.2 O.sub.3, SiO.sub.2, TiO.sub.2, ZrO.sub.2, GeO.sub.2, SnO.sub.2, Nb.sub.2 O.sub.5 and ThO.sub.2, Ln at least one of Eu, Tb, Ce, Tm, Dy, Pr, Ho, Nd, Yb, Er, Sm and Gd, X at least one of Cl, Br and I, x and y are numbers satisfying the conditions of 5.times.10.sup.-5 .ltoreq.x.ltoreq.0.5 and 0<y.ltoreq.0.2) disclosed in Japanese Unexamined Patent Publication No. 160078/1980; phosphors represented by either one of the following formulae: EQU xM.sub.3 (PO.sub.4).sub.2 .multidot.NX.sub.2 :yA EQU M.sub.3 (PO.sub.4).sub.2 :yA (wherein each of M and N represents at least one of Mg, Ca, Sr, Ba, Zn and Cd, X at least one of F, Cl, Br and I, A at least one of Eu, Tb, Ce, Tm, Dy, Pr, Ho, Nd, Yb, Er, Sb, Tl, Mn and Sn and x and y are numbers satisfying the conditions of 0<x.ltoreq.6 and 0.ltoreq.y.ltoreq.1); phosphors represented by either one of the following formulae: EQU nReX.sub.3 .multidot.mAX'.sub.2 :xEu EQU nReX.sub.3 .multidot.mAX'.sub.2 :xEu,ySm (wherein Re is at least one of La, Gd, Y and Lu, A at least one of alkaline earth metals, Ba, Sr and Ca, X and X' each at least one of F, Cl and Br, x and y are numbers satisfying the conditions of 1.times.10.sup.-4 <x<3.times.10.sup.-1 and 1.times.10.sup.-4 <y<1.times.10.sup.-1, and n/m satisfies the condition of 1.times.10.sup.-3 <n/m<7.times.10.sup.-1); and alkali halide phosphors represented by the following formula: EQU M.sup.I X.multidot.am.sup.II X'.sub.2 .multidot.bm.sup.III X".sub.3 :cA (wherein M.sup.I is at least one alkali metal selected from Li, Na, K, Rb and Cs, M.sup.II at least one divalent metal selected from Be, Mg, Ca, Sr, Ba, Zn, Cd, Cu and Ni, M.sup.III at least one trivalent metal selected from Sc, Y, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Al, Ga, and In. X, X' and X" are each at least one halogen selected from F, Cl, Br and I, A is at least one metal selected from Eu, Tb, Ce, Tm, Dy, Pr, Ho, Nd, Yb, Er, Gd, Lu, Sm, Y, Tl, Na, Ag, Cu and Mg, a is a numerical value within the range of 0.ltoreq.a<0.5, b is a numerical value within the range of 0.ltoreq.b<0.5, and c is a numerical value within the range of 0<c.ltoreq.0.2) disclosed in Japanese Unexamined Patent Publication No. 148285/1982. Particularly, alkali halide phosphors are preferred, since a stimulation layer can be formed easily according to the method such as vapor deposition, sputtering, etc. However, the light stimulable phosphor to be used in the storage panel of the present invention is not limited to the phosphors as described above, but any phosphor which is a phosphor capable of exhibiting stimulated emission when stimulating light is irradiated after irradiation may be employed. The storage panel of the present invention may have a group of stimulation layers comprising one or two or more stimulation layers containing at least one of the light stimulable phosphors as mentioned above. Also, the stimulable phosphors contained in the respective stimulation layers may be the same or different from each other. The above stimulation layer may be formed on the support at the layered portion containing no binder by vapor deposition, sputtering, etc. of the light stimulable phosphor as described in Japanese Unexamined Patent Publication No. 73100/1986, or alternatively, the stimulable phosphor may be dispersed in a suitable binder to prepare a coating solution and coating it on the support. When a binder is used in the storage panel of the present invention, there may be employed binders conventionally used for layer constitution, including proteins such as gelatin, polysaccharides such as dextran or gum arabic, polyvinyl butyrate, polyvinyl acetate, nitrocellulose, ethyl cellulose, vinylidene chloridevinyl chloride copolymer, polymethyl methacrylate, vinyl chloride-vinyl acetate copolymer, polyurethane, cellulose acetate butyrate, polyvinyl alcohol, etc. However, concerning the storage panel of the present invention, as particularly proposed in the above Japanese Unexamined Patent Publication No. 73100/1986, the stimulation layer should have a structure containing no binder. As the method for forming the stimulation layer containing no binder, the following methods may be included. As the first method, there is the vapor deposition method. In said method, a support is first placed in the vapor deposition device and then the device is internally evacuated to a vaccum degree of about 10.sup.-6 Torr. Subsequently, at least one of the above light stimulable phosphors is evaporated by heating according to the method such as the resistance heating method, the electron beam method, etc. to deposit a light stimulable phosphor to a desired thickness on the above support surface. As a consequence, a stimulation layer containing no binder is formed, but it is also possible to form the stimulation layer in divided plural times in the above vapor deposition step. Also, in the above vapor deposition step, it is possible to perform co-vapor deposition by use of a plural number of resistance heaters or electron beams. Also, in the above vapor deposition method, the starting materials for the light stimulable phosphor can be co-vapor deposited by use of a plural number of resistance heaters or electron beams, whereby the desired light stimulable phosphor can be synthesized simultaneously with formation of the stimulation layer on the support. Further, in the above vapor deposition method, the material to be deposited may be cooled or heated if desired during vapor deposition. Also, the stimulation may be subjected to heat treatment after completion of the vapor deposition. As the second method, there is the sputtering method. In said method, similarly as the vapor deposition method, after the support is placed in a sputtering device, the device is once internally evacuated to a evacuation degree of about 10.sup.-6 Torr, and subsequently an inert gas such as Ar, Ne, etc. as the gas for sputtering is introduced into the sputtering device to control the gas pressure at about 10.sup.-3 Torr. Next, by effecting sputtering with the above light stimulable phosphor as the target, the light stimulable phosphor is deposited to a desired thickness on the above support surface, whereby the stimulation layer can be formed similarly as the above vapor deposition method. As the third method, there is the CVD method. According to said method, by decomposing the light stimulable phosphor or the organic metal compound containing the starting materials for the light stimulable phosphor with an energy such as heat, high frequency power, etc., a stimulable layer containing no binder can be obtained on the support. As the fourth method, there is the blowing method. According to said method, by blowing light stimulable phosphor powder onto a tacky layer, a stimulation layer containing no binder is obtained on the support. The layer thickness of the stimulation layer of the storage panel of the present invention may differ depending on the sensitivity of the storage panel to radiation, the kind of the light stimulable phosphor, etc., but it may be within the range from 10 .mu.m to 1000 .mu.m when containing no binder, more preferably from 20 .mu.m to 800 .mu.m, and preferably within the range from 10 .mu.m to 1000 .mu.m when containing a binder, more preferably from 20 .mu.m to 500 .mu.m. The storage panel of the present invention can take various structures for the purpose of improving sharpness of the radiation image obtained. For example, there may be included the structure such that the stimulation layer has fine columnar block structure extending substantially in the vertical direction to the above support surface as described in Japanese Unexamined Patent Publication No. 246700/1986; the structure comprising a support having a large number of fine uneven patterns and a stimulation layer comprising fine columnar block structure having the above surface structure as such on the above support as disclosed in Japanese Unexamined Patent Publication No. 142497/1986; the structure comprising a support having a surface structure such that a large number of minute tile-shaped plates are spread as separated with fine intervals from each other, and a stimulation layer comprising fine columnar block structure having the above surface structure as such on the above support as described in Japanese Unexamined Patent Publication No. 142498/1986; the structure comprising a large number of minute tile-shaped plates and a fine network which sectionalizes the respective fine tile-shaped plates surrounding around said fine tile-shaped plates and a stimulation layer with fine columnar block structure of stimulable phosphors extending in the thickness direction on said fine tile-shaped plates as described in Japanese Unexamined Patent Publication No. 142499/1986; the structure provided with a stimulation layer comprising a fine columnar block structure having crevasses developed from the intervals of the fine tile-shaped plates toward the layer surface, said crevasses being developed by application of shock treatment on the stimulation layer deposited in the thickness direction on the surface of the fine tile-shaped surfaces which are scattered from each other with intervals as distributed in a large number on the support surface as described in Japanese Unexamined Patent Publication No. 142500/1986. Also, for the purpose of improving sharpness of the radiation image obtained in the storage panel of the present invention, white powder may be contained in the stimulation layer, and also the stimulation layer may be colored with a colorant which can absorb the stimulating light. Also, between the support and the stimulation layer, a light reflective layer containing white filler may be provided. Next, it is preferable to provide a protective layer on the surface opposite to the support side of the stimulation layer and on other surfaces, if desired. As the method for forming the protective layer, the methods as described below may be employed. As the first method, there is the method as disclosed in Japanese Unexamined Patent Publication No. 42500/1984 in which a protective layer is formed by coating the surface on which the protective layer is to be placed with a solution prepared by dissolving a highly transparent polymeric substance in a suitable solvent, followed by drying. As the second method, there is the method similarly as disclosed in Japanese Unexamined Patent Publication No. 42500/1984 in which a suitable adhesive is imparted to one surface of the thin film comprising a transparent polymeric substance and adhered on the surface on which the protective layer is to be provided. As the material for a protective layer to be used in the first and the second methods, there may be included, for example, cellulose derivatives such as cellulose acetate, nitrocellulose, ethyl cellulose, etc., or polymethyl methacrylate, polyvinyl butyral, polyvinyl formal, polycarbonate, polyvinyl acetate, polyacrylonitrile, polymethylallyl alcohol, polymethyl vinyl ketone, cellulose diacetate, cellulose triacetate, polyvinyl alcohol, polyacrylic acid, polymethacrylic acid, polyglycine, polyacrylamide, polyvinylpyrrolidone, polyvinylamine, polyethylene terephthalate, polyethylene, polyvinylidene chloride, polyvinyl chloride, polyamide (nylon), polytetrafluoroethylene, poly-fluorochloroethylene, polypropylene, tetrafluoroethylenehexafluoropropylene copolymer, polyvinyl isobutyl ether, polystyrene, etc. As the third method, there is the method as described in Japanese Unexamined Patent Publication No. 176900/1986 in which a coating solution containing at least one of radiation curing type resin or thermosetting resin is coated on the surface on which the protective layer is to be provided, and the above coating solution is cured by application of irradiation of radiation such as UV-ray or electron beam and/or heating by means of a device as shown in Japanese Unexamined Patent Publication No. 176900/1986. As the above radiation curing type resin, there may be included compounds having unsaturated bouble bonds or compositions containing such compounds, and examples of such compounds may be preferably prepolymers and/or oligomers having two or more unsaturated double bonds, and further monomers having unsaturated double bonds (vinyl monomers) can be contained therein as the reactive diluent. The layer thickness of one layer of the protective layer formed according to the above first, second and third methods may be preferably within the range from about 1 .mu.m to 1000 .mu.m, more preferably from about 2 .mu.m to 50 .mu.m. As the fourth method, there is the method in which an inorganic substance layer such as of SiO.sub.2, SiC, SiN, Al.sub.2 O.sub.3, etc. is formed by the vaccum vapor deposition method, the sputtering method, etc. The above inorganic substance layer should preferably have a layer thickness of about 0.1 .mu.m to 100 .mu.m. The storage panel of the present invention may be prepared by first providing a stimulation layer on a support and then forming a protective layer on said stimulation layer, or alternatively by providing the previously formed protective layer by attachment on the above stimulation layer. Alternatively, there may be also employed the procedure in which the support is provided after formation of the stimulation layer on the protective layer. In the storage panel of the present invention, the protective layer may also comprise a combination of two or more layers with different moisture absorptions. Of the above protective layers, as the material to be used for the protective layer with relatively smaller moisture absorption, there may be preferably used, for example, polyethylene, polytetrafluoroethylene, polytrifluorochloroethylene, polypropylene, tetrafluoroethylenehexafluoropropylene copolymer, polyvinylidene chloride, polyvinyl isobutyl ether, polyethylene terephthalate, vinylidene chloride-vinyl chloride copolymer, vinylidene chloride-acrylonitrile copolymer, vinylidene chlorideisobutylene copolymer, polystyrene, epoxy type polymers and acrylic polymers, etc. On the other hand, as the material to be used for the protective layer with relatively greater moisture absorption, there may be preferably used, for example, polyvinyl alcohol, polyacrylamide, polyglycine, polymethacrylic acid, polyacrylic acid, polyvinylpyrrolidone, polyvinylamine, cellulose diacetate, cellulose triacetate, nylon 4, nylon 6, nylon 12, nylon 66, polyvinyl acetate, polymethylallyl alcohol, etc. Of the embodiments of the present invention, preferred is a storage panel having a composite protective layer in which at least one is selected from among the materials of the group as mentioned above as the material for protective layer with smaller absorption and also at least one is selected from among the materials for protective layer with greater moisture absorption, and the former is arranged on the outside and the latter inside, namely, on the side in contact with the stimulation layer. The storage panel of the present invention is used in the radiation image converting method as schematically shown in FIG. 6. More specifically, in FIG. 6, 21 is a radiation generating device, 22 a subject, 23 a storage panel of the present invention, 24 a source for stimulating light, 25 a photoconverting device for detection of the stimulated emission radiated from said storage panel, 26 a device for reproducing the signal detected in 25 as the image, 27 a device for displaying the reproduced image, 28 a filter which separates stimulating light from stimulated emission and transmits only the stimulated emission. The members of 25 et seq. may be any of the members which can reproduce the light information from 23 as the image in some form, and the above members are not limitative of the present invention. As shown in FIG. 6, from the radiation generating device 21 enters the storage panel 23 through the subject 22. The incident radiation is absorbed by the stimulation layer of the storage panel 23, and its energy is stored to form a stored image of the radiation transmitted image. Next, the stored image is excited by the stimulating light from the stimulating light source 24 to release it as the stimulated emission. The intensity of the stimulated emission released is proportional to the energy amount of the radiation stored, and the light signal can be subjected to photoconverting by a photoconverting device 25 such as photoelectric multiplier, etc., reproduced as the image by the image reproducing device 26 and displayed by the displaying device 27, whereby the radiation transmitted image of the subject can be observed. EXAMPLES The present invention is described below by referring to Examples. EXAMPLE 1 As the support, a chemical reinforced glass with the thickness of 500 .mu.m was placed in a vapor deposition vessel. Next, an alkali halide light stimulable phosphor (0.9RbBr.0.1CsF:0.01T1) was placed in a tungsten boat for a resistance heating, and set on the electrodes for a resistance heating, followed subsequently by evacuation of the vapor deposition vessel to a vaccum degree of 2.times. 10.sup.-6 Torr. Next, a current was passed through the tungusten boat, and the alkali halide light stimulable phosphor was evaporated by the resistance heating method to be deposited on the chemical reinforced glass until the layer thickness of the stimulation layer became a thickness of 300 .mu.m. Next, after the panel was taken out in the air, on the surface where no stimulation layer of the chemical reinforced glass was provided, an electroconductive film sheet having ITO (Indium Tin Oxide) vapor deposited on a polyimide film (produced by Micro Gijutsu Kenkyusho, 10.OMEGA./.quadrature.) was adhered, while on the stimulation layer surface a transparent polyethylene terephthalate sheet with the thickness of 20 .mu.m was adhered, to give a storage panel A of the present invention with the structure as shown in FIG. 1 (b). The storage panel A was mounted with electrodes and a temperature control circuit as shown in FIG. 3, and left to stand in a thermostat chamber of 30.degree. C. and relative humidity of 70% while heating the stimulation layer to 80.degree. C., and the sensitivity change with lapse of time was measured to obtain the results as shown in FIG. 7 curve a. EXAMPLE 2 In Example 1, the heating of the stimulation layer was conducted at 140.degree. C., and following otherwise the same procedure as in Example 1, sensitivity change with lapse of time was measured to obtain FIG. 7 curve b. EXAMPLE 3 In Example 1, on the side where the stimulation layer is to be provided previously as the support, a chemical reinforced glass with the thickness of 500 .mu.m having a transparent electroconductive film (ITO, 10.OMEGA./.quadrature.) vapor deposited thereon was used, and following otherwise the same procedure as in Example 1, a storage panel B of the present invention was obtained. On the transparent electroconductive film, a SiO film (2000 .ANG.) for prevention of the reaction between the transparent electroconductive film and the light stimulable phosphor was provided. Next, the sensitivity change with lapse of time of the storage panel B was measured in the same manner as in Example 1 to obtain the results shown in FIG. 7 curve c. COMPARATIVE EXAMPLE 1 The stimulation layer of the storage panel A prepared in Example 1 was left to stand in a thermostat chamber of 30.degree. C. and relative humidity of 70% without heating, and the sensitivity change with lapse of time was measured to obtain the results shown in FIG. 7 curve p. From FIG. 7, it can be seen that the storage panel of the present invention prevents lowering in sensitivity by moisture absorption by heating the stimulation layer, whereby permanent characteristic during usage can be ensured. EXAMPLE 4 By mixing and dispersing 8 parts by weight of an alkali halide light stimulable phosphor (0.9RbBr.0.1CsF: 0.01Tl), 1 part by weight of a polyvinyl butyral resin and 5 parts by weight of a solvent (cyclohexanone), a coating solution for stimulation layer was prepared. Next, the coating solution was applied uniformly on a chemical reinforced glass support with the thickness of 500 .mu.m placed horizontally, and dried naturally to form a stimulation layer with the thickness of 300 .mu.m. On the surface where no stimulation layer of chemical reinforced glass of the thus obtained panel is provided, the same electroconductive sheet as in Example 1 was adhered, while on the stimulation layer surface, a transparent polyethylene terephthalate sheet with the thickness of 20 .mu.m was adhered to give a storage panel C of the present invention. After the storage panel A of Example 1 and the storage panel C of this Example were left to stand for a sufficiently long term in a thermostat chamber of 30.degree. C. and relative humidity of 80%, they were taken out in a thermostat chamber of 30.degree. C. and relative humidity of 60%, mounted with a temperature control circuit as shown in FIG. 3, and the stimulation layer was heated to 80.degree. C. for examination of how the sensitivities of the above storage panels A, C were restored. The results are shown in FIG. 8 curve d (storage panel A), curve e (storage panel C). COMPARATIVE EXAMPLE 2 After the storage panel A of Example 1 was left to stand similarly as in Example 4 for a sufficiently long term in a thermostat chamber of 30.degree. C. and relative humidity of 80%, it was taken out in a thermostat chamber of 30.degree. C. and relative humidity of 60%, and the state of sensitivity restoration of the above storage panel A was examined without heating the stimulation layer. The results are shown in FIG. 8 curve q. From FIG. 8, it can be understood that the storage panel of the present invention can be restored in sensitivity by heating of the stimulation layer, even if the sensitivity may be once lowered. Of the storage panels of the present invention, the storage panel A can be restored in sensitivity more rapidly, because no binder is contained therein. As described above, the storage panel of the present invention having a heating mechanism built therein has preferable behaviors as follows: (1) By heatin, absorption of moisture onto the stimulable phosphor can be prevented, whereby lowering in sensitivity to radiation, increase of fading, etc. can be inhibited; (2) By heating, moisture absorbed onto the stimulable phosphor is released, whereby the deteriorated performance of the stimulable phosphor by moisture absorption can be restored; (3) By heating, the stored energy at trap level which causes long life afterglow is released before reading, whereby the afterglow is reduced to improve S/N; whereby permanent characteristics during usage of the storage panel can be improved.
description
The present application is a continuation of U.S. patent application Ser. No. 15/413,818, filed on Jan. 24, 2017, now issued as U.S. Pat. No. 10,202,674, which is a continuation of U.S. patent application Ser. No. 14/630,141, filed on Feb. 24, 2015, now issued as U.S. Pat. No. 9,551,048, which is a continuation of U.S. patent application Ser. No. 13/705,012, filed on Dec. 4, 2012, now issued as U.S. Pat. No. 8,961,647, which is a continuation of U.S. patent application Ser. No. 12/312,089, filed on Sep. 16, 2009, now issued as U.S. Pat. No. 8,323,373, which in turn is a U.S. national stage application under 35 U.S.C. § 371 of PCT Application No. PCT/US2007/071233, filed Jun. 14, 2007, which claims priority to U.S. Provisional Patent Application No. 60/854,725, filed Oct. 27, 2006, the entireties of which are incorporated herein by reference. The present invention relates generally to the art of aluminum alloys. More specifically, the invention is directed to the use of powder metallurgy technology to form aluminum composite alloys which maintain their high performance characteristics even at elevated temperatures. The invention accomplishes this through the use of nanotechnology applied to particulate materials incorporated within the aluminum alloy. The resulting alloy composite has high temperature stability and a unique linear property/temperature profile. The alloy's high temperature mechanical properties are achieved by a uniform distribution of nano-sized alumina particulate in a superfine grained, nano-scaled aluminum matrix which is formed via the use of superfine atomized aluminum powder or aluminum alloy powder as raw material for the production route. The matrix can be pure aluminum or one or more of numerous aluminum alloys disclosed hereinbelow. Conventional aluminum materials exhibit many desirable properties at ambient temperatures such as light weight and corrosion resistance. Moreover, they can be tailor-made for various applications with relative ease. Thus aluminum alloys have dominated the aircraft, missile, marine, transportation, packaging, and other industries. Despite the well known advantages of conventional aluminum alloys, their physical properties can be degraded at high temperatures, for example above 250° C. Loss of strength is particularly noticeable, and this loss of strength is a major reason why aluminum alloys are generally absent in demanding high temperature applications. In place of aluminum, the art has been forced to rely on much more expensive alloys such as those containing titanium or tungsten as the main alloying metal. Various attempts have been made to overcome the deficiencies of aluminum alloys at high temperatures. For example, U.S. Pat. No. 5,053,085 relates to “High strength, heat resistant aluminum based alloys” having at least one element from an M group consisting of V, Cr, Mn, Fe, Co, Ni, Cu, Zr, Ti, Mo, W, Ca, Li, Mg and Si and one element from X group consisting of Y, La Ce, Sm, Nd, Hf, Ta, and Mm (Misch metal) blended to various atomic percentage ratios. These various alloy combinations produce an amorphous, microcrystalline phase, or microcrystalline composite dispersions through rapid solidification of molten aluminum. Rapid solidification of the aluminum is accomplished through melt spinning techniques which produce ribbon or wire feed stock. The ribbon or wire feed stock can be crushed and consolidated into billets for fabrication into various products through conventional extrusion, forging, or rolling technologies. Mechanical alloying is another attempt to produce high strength aluminum alloys. Nano particle strengthening of metal matrix materials is achieved in high-energy ball mills by reducing the particulates to fine dispersoids which strengthen the base alloy. A major problem associated with this technology is the uneven working of the particulates. A given volume of material is grossly over or under processed which leads to flaws in the final structure. U.S. Pat. No. 5,688,303 relates to a mechanical alloying process which incorporates the use of rolling mill technology to allegedly improve the homogenization of the mechanical alloying. Some of the largest obstacles to mechanical alloying technology include lack of ductility and powder handling issues. Handling of the mechanically alloyed powders is dangerous since the protective oxide is removed from the aluminum powder which then becomes pyrophoric. Aluminum powder without the protective oxide will ignite instantaneously when exposed to atmosphere so extreme caution is required during the handling of the powder blend. Moreover, the use of high energy ball mills is very expensive and time consuming which results in higher material processing costs. Other attempts to improve high temperature physical properties include the incorporation of additives. U.S. Pat. No. 6,287,714 relates to “Grain growth inhibitor for nanostructured materials”. Boron nitride (BN) is added as a grain growth inhibitor for nanostructure materials. This BN addition is added as an inorganic polymer at about 1% by weight and is uniformly dispersed at the grain boundaries which are decomposed during the heat treat temperature of the nanostructure material. U.S. Pat. No. 6,398,843 relates to “Dispersion-strengthened aluminum alloy” for dispersion strengthened ceramic particle aluminum or aluminum alloys. This patent is based on blending ceramic particles (alumina, silicon carbide, titanium oxide, aluminum carbide, zirconium oxide, silicon nitride, or silicon dioxide) with a particle size<100 nm. U.S. Pat. No. 6,630,008 relates to “Nanocrystalline metal matrix composites, and production methods” which involves using a chemical vapor deposition (CVD) process to fluidize aluminum powder which is coated with aluminum oxide, silicon carbide, or boron carbide then hot consolidated in the solid-state condition using heated sand as a pressure transmitting media. U.S. Pat. No. 6,726,741 relates to aluminum composite material and manufacture based on an aluminum powder and a neutron absorber material, and a third particle. Mechanical alloying is used in the manufacturing process. U.S. Pat. No. 6,852,275 relates to a process for production of inter-metallic compound-based composite materials. The technology is based on producing a metal powder preform and pressure infiltrating aluminum which results in a spontaneous combustion reaction to form inter-metallic compounds. Rapid solidification processing (RSP) technology is another method employed to produce fine metallic powders. However, RSP has high costs associated with atomization of the high soluble alloying elements, powder production rates, chemistry control, and recovery steps needed in order to maintain the amorphous and nano size microstructures. The other major obstacle with RSP is the difficulty in fabrication of the materials. These processes, while promising, have heretofore failed to address the long felt needs of manufacturing high temperature aluminum alloys on a commercial scale. Thus traditional, non-aluminum based alloys continue to dominate the high temperature alloy markets. The present invention overcomes the deficiencies of the prior art by taking advantage of the oxide coating which naturally forms during the atomization process to manufacture aluminum powder and by taking advantage of processing of powders with a particle size distribution below 30 μm. It is known that oxides exist on atomized aluminum powder regardless of the type of atomization gas used to manufacture. See, “Metals Handbook Ninth Edition Volume 7—Powder Metallurgy” by Alcoa Labs (FIG. 1). An indication of the oxide content can be estimated by measuring the oxygen content of the aluminum powder. Generally the oxygen content does not significantly change whether air, nitrogen, or argon gases are used to manufacture the powder. As aluminum powder surface area increases (aluminum powder size decreases) the oxygen content increases dramatically, indicating a greater oxide content. The average thickness of the oxide coating on the aluminum powders is an average of about 5 nm regardless of the type of atomization gas but is independent of alloy composition and particle size. The oxide is primarily alumina (Al2O3) with other unstable compounds such as Al (OH) and AlOOH. This alumina oxide content is primarily controlled by the specific surface area of the powder. Particle size and particle morphology are the two main parameters which influence the specific surface area of the powder (>the surface area) respectively the more irregular (>the surface area) the higher the oxide content. With conventional aluminum powder sizes having a Particle Size Distribution (PSD) of <400 μm the particle shape/morphology becomes a very important factor towards controlling the oxide content since the irregular particle shape results in a greater surface area thus a higher oxide content. With a particle size<30 μm the effect of particle morphology has less influence on oxide content since the particles are more spherical or even ideal spherical in nature. Generally, the oxide content for various atomized aluminum particle sizes varies between about 0.01% up to about 4.5% of alumina oxide. The present invention targets starting aluminum or aluminum alloy powders with particles of <30 μm in size which will provide between 0.1-4.5 w/o alumina oxide content. The invention provides for hot working the desired PSD aluminum or aluminum alloy powder which produces in situ transversal nano-scaled grain size in the range of about 200 nm (a grain size reduction of factor 10.times.). Secondly the hot work operation produces in situ evenly distributed nanoscaled alumina oxide particles (the former oxide skins of the particles) with a thickness of max. 3-7 nm, resulting in high superior strength/high temperature material compared to conventional aluminum ingot metallurgy material. The superior mechanical properties are a result of the tremendous reduction in grain size and the uniform distribution of the nano-scale alumina oxide in the ultra fine grained aluminum matrix. It is accordingly an aspect of the invention to use this 0.1-4.5 w/o nano particle alumina reinforced aluminum composite material as a structural material for higher strength and higher temperature in a variety of market applications. This nano size aluminum/alumina composite structure shall be produced without the use of mechanical alloying but only by the use of a aluminum or aluminum alloy powder with a particle size distribution<30 μm m resulting in a nano-scaled microstructure after hot working. It is another aspect of this invention to obtain additional strength by the addition of a ceramic particulate material to the nano aluminum composite matrix material to obtain even greater strength, higher modulus of elasticity (stiffness), lower coefficient of thermal expansion (CTE), improved wear resistance, and other important physical properties. This ceramic particulate addition may include inter alia ceramic compounds such as alumina, silicon carbide, boron carbide, titanium oxide, titanium dioxide, titanium boride, titanium diboride, silicon, silicon oxide, silicon dioxide, and other industrial refractory compositions. It is another aspect of the invention to add boron carbide particulate to this nano aluminum composite matrix for neutron absorption for the storage of spent nuclear fuel as set forth in U.S. Pat. No. 5,965,829 entitled “Radiation Absorbing Refractory Composition” issued Oct. 12, 1999 (the '829 patent) which is hereby incorporated by reference in its entirety. It is another aspect of the invention to include other aluminum alloys such as high solubility elemental compositions in order to have a dual strengthened material through precipitation of fine intermetallic compounds through rapid solidification (in situ) of super saturated alloying element melt along with the nano-scale alumina particles uniformly dispersed throughout the microstructure after the hot work operation to produce the final product. It is another aspect of this invention to have technology based on a bimodal particle size distribution which will exhibit uniform micro structural control without the use of mechanical alloying technology. Control of microstructure size and homogeneity dictates the high performance of the composite material. It is another aspect of the invention to tailor the mechanical and physical properties for various market applications by changing the alloy composition of the nano aluminum/alumina composite matrix, the type of ceramic particulate addition, and the amount of ceramic particulate addition to the nano aluminum/alumina metal matrix composite material. These aspects and others set forth below, are achieved by a process for manufacturing a nano aluminum/alumina metal matrix composite characterized by the steps of providing an aluminum powder having a natural oxide formation layer and an aluminum oxide content between about 0.1 and about 4.5 wt. % and a specific surface area of from about 0.3 and about 5.0 m.sup.2/g, hot working the aluminum powder, and forming thereby a superfine grained matrix aluminum alloy, and simultaneously forming in situ a substantially uniform distribution of nano particles of alumina throughout said alloy by redistributing said aluminum oxide, wherein said alloy has a substantially linear property/temperature profile. The aspects of the invention are also achieved by an ultra-fine aluminum powder characterized by from about 0.1 to about 4.5 wt. % oxide content with a specific surface area of from about 0.3 to about 5.0 m2/g which is hot worked at a temperature ranging from about 100° C. to about 525° C. depending on the recrystallization temperature of a particular aluminum alloy composition to refine grain size and homogenize the nano particle reinforcement phase of the metal matrix composite system. In carrying out the invention, the first step is selection of aluminum powder size. The present invention focuses on the particle size distribution (PSD) of the atomized aluminum powder which is not used for conventional powder metal technology. In fact the trend in aluminum P/M industry is to use coarser fractions of the PSD—typical in the d50 size of 50 .mu.m-400 μm range because of atomization productivity, recovery, lower cost, superior die fill or uniform pack density and the desire to have low oxide powder. Most commercial applications seek to reduce the oxide content especially in the press and sinter near-net-shape aluminum P/M parts for automotive and other high volume applications. Manufacturers of powder and end-users want the lower oxide aluminum powder since it is extremely difficult to perform liquid phase sintering and obtain a metallurgical particle to particle bond which is necessary to obtain theoretical densities and high mechanical properties with acceptable ductility values with oxide on the powder grain boundaries. The prior grain boundary oxide network results in low fracture toughness, low strength, and marginal ductility. Efforts have been made to reduce the alumina oxide but this oxide coating on the aluminum powder is extremely stable in all environments and is not soluble in any solvent. This fact leads the press and sinter near-net-shape industry and the high performance aerospace industry aluminum PM industry to purchase low oxide powder material. In total contrast to the above noted industry criteria, the present invention employs superfine aluminum powder PSD (by industrial definition a PSD<30 μm) which results in alumina oxide content in the 0.1-4.5 w/o range, which is the oppose side of the spectrum. The invention includes taking the superfine powder and hot working the material below the recrystallization temperature of the alloy which further reduces the transverse grain size by a factor of 10 to a typical grain size of e.g. about 200 nm. The effect of the starting powder particle size is illustrated in FIG. 2 which shows the effect of 1 μm, 10 μm, and <400 μm aluminum powder extruded at 350° C. The hot work operation evenly distributes nanoscale alumina oxide particles (the former 3-7 nm oxide skin of the aluminum powder) uniformly throughout the microstructure as illustrated in FIG. 3 and circled in the micrograph. This ultra fine grain size and the nanoscale alumina particles combination results in a dual strengthening mechanism. The nanoscale alumina oxide particles pin the grain boundaries and inhibit grain growth to maintain the elevated mechanical property improvement of the composite matrix material. In certain embodiments, the oxide is redistributed into uniformly dispersed nano alumina particles intermixed with inter-metallic compounds. In certain embodiments, the inter-metallic compounds have a particle size of from about 2 to about 3 μm. It has been found that increasing the alumina oxide content of one specific type of powder by 50% does not result in higher mechanical properties compared to the original powder. Increasing the oxide content by 100% or more may result in problems during consolidation process. During powder treatment to increase the alumina oxide content only the thickness of the oxide layer can be increased which results in bigger dispersoids in the matrix after hot working. To increase the strengthening mechanism of grain boundary pinning, which is the designated positioning of nano-scaled dispersoids (alumina particles, the former oxide layer of the starting powder) along the grain boundaries of the microstructure, it is desirable to bring more fine particles into the structure. This can be realized by using a finer starting powder, or a powder with a higher specific surface area. By considering the particle size distribution together with the specific surface area of the starting powders, the mechanical properties of the hot worked material can be predicted. Powders with a higher specific surface will generally result in better mechanical properties compared to powders with a lower specific surface area. As can be seen in FIG. 4 powder sample #9 has roughly the same specific surface area as powder sample #5, although the PSD of sample #9 is much coarser than the PSD of sample #5. The mechanical properties correlate with the specific surface area, not with the PSD of the powders (FIG. 5). This figure shows UTS vs particle size distribution and specific surface area (test results of mechanical properties obtained on test specimen containing 9% of boron carbide particulate). Mechanical properties (UTS) correlate with BET not with the d50. Different powders with specific surface areas in the range between 0.3-5.0 m2/g were hot worked by extrusion at 400° C. into rods with a diameter of 6 mm which had been used for the production of tests specimen for tensile tests. The results are shown in the table and chart of FIGS. 6(a) and 6(b), respectively. This demonstrates that the finer the particle distribution (the higher the surface area) the better the mechanical properties. Powders were produced via gas atomization using confined nozzle systems and classified to required PSD via air classification. Afterwards, compacts were produced, by extrusion@400° C., R 11:1. High temperature tensile tests were made after 30 min. soak time@testing temperature. An example of the aluminum particle size used for the development is illustrated in FIG. 7. This graph illustrates PSD and as can be seen, the d50 is about 1.27 μm with d90 about 2.27 μm, which is extremely fine. Attached is a Scanning Electron Microscope (SEM) photograph (FIG. 8) “Picture of ultra fine atomized Al powder D50-1.2 μm” and Transmission Electron Micrograph (TEM). See FIG. 9, “Picture of ultra fine atomized Al powder D50-1.3 .mu.m” which illustrates the spherical shape of the powder. As shown therein, the hum marker (SEM) respectively the 0.2 μm marker (TEM) is a reference to verify the particle size of the powder. Since the aluminum powder in the particle size range is considered spherical it is easier to mathematically model and predict the oxide content. When modeling the oxide thickness and comparing the actual value of the oxide by dissolving the matrix alloy, there is good correlation that documents the targeted aluminum oxide content of the invention. Another characteristic of the powder is the very high surface area of the resulting PSD and the oxygen content as an indicator of the total oxide content of the starting raw material. The purchase specification to assure superior performance shall include the alloy chemistry, particle size distribution, surface area, and oxygen content requirements. FIG. 10 illustrates the unique linear property/temperature profile of the high temperature nano composite aluminum alloy of the invention. The figure shows UTS (Rm) vs. temperature, 1.27 μm (d50) powder grade, consolidated via direct extrusion@350° C., R=11:1, 30 min. soak time at testing temperature before testing. The typical processing route to manufacture the material for this invention is to fill the elastomeric bag with the preferred particle size aluminum powder, place the elastomeric top closure in the mold bag, evacuate the elastomeric mold assembly to remove a air and seal the air tube, cold isostatic press (CIP) using between 25-60,000 psi pressure, dwell for 45 seconds minimum time at pressure, and depressurize the CIP unit back to atmospheric pressure. The elastomeric mold assembly is then removed from the “green” consolidated billet. The billet can be vacuum sintered to remove both the free water and chemically bonded water/moisture which is associated with the oxide surfaces on the atomized aluminum powder. Care must be taken not to overheat the billet or approach the liquid phase sintering temperature in order to prevent grain growth and obtain optimum mechanical properties. The last operation is to hot work the billet to obtain full density, achieve particle to particle bond, and most importantly disperse the nano alumina particles uniformly throughout the microstructure. A preferred hot work method is to use conventional extrusion technology to obtain the full density, uniformly dispersed nano particle aluminum/alumina oxide composite microstructure. Direct forging or direct powder compact rolling technology could also be used as a method to remove the oxide from the powder and uniformly disperse the alumina oxide throughout the aluminum metal matrix. It is highly preferred to keep the extrusion temperature below the re-crystallization temperature of the alloy in order to obtain the optimum structure and optimum mechanical properties. FIGS. 11(a) and 11(b) are SEM photo micrographs which illustrate the importance of the extrusion temperature in order to increase the flow stress to mechanically work the material to obtain the desired microstructure. In photo micrograph FIG. 11(a) are visible the uniformly dispersed nano-alumina oxide particles in the newly formed grains. The nano particle alumina oxide particles are visible even inside the grain and at the grain boundaries which typically is done through the mechanical alloying process methods. The second photo micrograph FIG. 11(b) shows the larger grain size and the structure does not exhibit the same degree of work or the nano particles inside the grains. To further demonstrate the significance of extrusion temperature in obtaining the desired microstructure for optimum mechanical properties, outlined below are typical mechanical properties of the nano aluminum/alumina composite material at various extrusion temperatures on tensile data at room temperature and 350° C. test temperatures. RoomTemperatureMechanicalVarious Billet Extrusion TemperaturesProperties350° C.400° C.450° C.500° C.UTS-Mpa/310 (44.95)305 (44.25)290 (42.05)280 (40.60)(KSI)Yield-Mpa/247 (35.82)238 (34.51)227 (32.91)213 (30.88)(psi)Elongation9.0%10.0%10.0%10.9%%1100124 (18.00)N/AN/AN/AAluminum/UTS 350° C. TestTemperatureMechanicalVarious Billet Extrusion TemperaturesProperties350° C.400° C.450° C.500° C.UTS-Mpa/186 (26.97)160 (23.20)169 (24.50)160 (23.20)(KSI)Yield-Mpa/156 (22.62)145 (21.00)150 (21.75)150 (21.75)(KSI)Elongation10.7%10.4%9.5%10.0% These are excellent mechanical properties for a 4.5% nano alumina particle reinforced 1100 series superfine grained aluminum material compared with conventional ingot metallurgy 1100 series aluminum technology. Further, these results demonstrate the advantages of the superfine grained microstructure in combination with the small amount of nano particle aluminum/alumina materials compared to various conventional alloys and the concept of adding other ceramic particulate or rapid solidification of super saturated alloy elements in the aluminum matrix. As mentioned above, one of aspects of this invention is to add a ceramic particulate to the nano aluminum/alumina composite matrix. One of the driving forces to the development of this new technology was the need for a high temperature matrix material to add boron carbide particle to expand the field of application of U.S. Pat. No. 5,965,829. It was a goal to develop a high temperature aluminum boron carbide metal matrix composition material suitable to receive structural credit from the US Nuclear Regulatory Commission for use as a basket design for dry storage of spent nuclear fuel applications. With elevated temperature mechanical properties of the aluminum boron carbide composite, designers can take advantage of the light weight/high thermal heat capacity of aluminum metal matrix composites compared to the industry standard stainless steel basket designs. In Europe, designers typically use boronated stainless steel but the areal density is low, the upper limit for the B10 isotope being 1.6% content, alloy density is high, and the thermal conductivity and thermal heat capacity is low compared to aluminum based composites. The aluminum-based composites of the present invention do not suffer from these shortcomings. Another driving force behind the development of an aluminum boron carbide metal matrix higher temperature composite, in addition to the market need for such a material, was the experience with extruding up to 33 wt % boron carbide composite materials in a production environment, including the techniques described in U.S. Pat. No. 6,042,779 entitled “Extrusion Fabrication Process for Discontinuous Carbide Particulate Metal Matrix Composites and Super Hypereutectic Al/Si Alloys,” issued on Mar. 28, 2000 (the '779 patent) and which is hereby incorporated by reference in its entirety. This extrusion technology could allow designers the freedom of design to extrude to net-shape a variety of hollow tube profiles in order to maximize packing density, add flux traps, and lower manufacturing cost. A particular use for the addition of ceramic particulate to the nano particle aluminum/alumina high temperature matrix alloy is the addition of nuclear grade boron carbide particulate. All of the tramp elements for the alloy matrix material such as Fe, Zn, Co, Ni, Cr, etc. are held to the same tight restrictions and the boron carbide particulate is readily available in accordance to ASTM C750 as outlined in the above described U.S. Pat. No. 5,965,829. The boron carbide particulate particle size distribution is similar to that outlined in the '829 patent. An exception to the teaching of the '829 patent is the use of high purity aluminum powder with the new particle size distribution as described above. The typical manufacturing route for the composite of the invention is first blending the aluminum powder and boron carbide particulate materials, followed by consolidation into billets using CIP plus vacuum sinter technology as outlined in the above referenced patent. In a preferred embodiment, the extrusion is carried out in accordance with the teaching of U.S. Pat. No. 6,042,779 (the '779 patent), which is hereby incorporated by reference in its entirety. Since this is an elevated temperature aluminum metal matrix composite material it was found necessary to change the temperature of the extrusion die, container temperature, and billet temperature in order to maintain the desired properties. In general it is desirable that the die face pressure be increased by about 25% over previously employed standard metal matrix composite materials. In order to overcome the higher flow stress of the nano particle aluminum/alumina composite matrix alloy, the extrusion press must be sized about 25% larger in order to extrude the material. Extrusion die technology is capable of these higher extrusion pressures without experiencing failure of collapse of the extrusion die. An example of the new high temperature nano particle aluminum/alumina plus boron carbide at a 9% boron carbide reinforcement level and the resulting typical mechanical properties and physical properties are outlined below. Property25° C.100° C.200° C.300° C.350° C.Description(70° F.)(212° F.)(392° F.)(572° F.)(662° F.)UTS-MPa/238/34.5208/30.2166/24.4126/18.3116/16KSIYield-194/28.1164/23.8150.21.7126/18.2105/15Mpa/KSIElongation11%10%9.0%8.0%8.0%%Modulus of 83/12.2 81/11.9 73/10.763/9.2  55/7.9ElasticityMPa/MPSIThermal184185184183Conductivity(W/m-K)Thermal106107106107Conductivity(BTU/ft-hr-° F.)Specific0.9931.0531.0991.121HeatJ/g-° C.Specific0.2370.2520.2690.280Heat(BTU/lb-° F.)Notes:Tensile coupons were machined and tested in accordance in ASTM E8 &ASTM E 21Thermal conductivity tested in accordance to ASTM E 1225Specific heat tested in accordance to ASTM E 1461
abstract
Structure and luminescence properties of a new Cu-Cyteamine (Cu-Cy) crystal material are provided. The crystal structure of the Cu-Cy is determined by single crystal X-ray diffraction. It is found that the compound crystallizes in the monoclinic space group C2/c and cell parameters are a=7.5510(4) Å, b=16.9848(7) Å, c=7.8364(4) Å, β=104.798(3)°. The new Cu-Cy crystal material of the invention is also useful for treatment of cancer.
description
This application claims the priority benefit of U.S. provisional application Ser. No. 62/880,664, filed on Jul. 31, 2019. The entirety of the above-mentioned patent application is hereby incorporated by reference herein and made a part of this specification. Semiconductor manufacturing includes various processes such as photolithography, etching, diffusion, and so on. Functional density has increased by decreasing a geometric size of components for integrated chips. Such scaling down process enhances production efficiency and lowers associated manufacturing costs. Removing debris and by-products from equipment, photomasks and wafers helps to improve production yield. In some approaches, a cleaning solvent is sprayed on a surface to remove particles accumulated on the surface. In some approaches, a surface is scrubbed or polished to remove particles accumulated on the surface. However, the above-mentioned approaches may result in damages to the surface. The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Embodiments will be described with respect to a specific context, namely, a tool and a method for particle removal with an optical and non-contact process. Embodiments discussed herein are to provide examples to enable making or using the subject matter of this disclosure, and a person having ordinary skill in the art will readily understand modifications that can be made while remaining within contemplated scopes of different embodiments. Like reference numbers and characters in the figures below refer to like components. Although method embodiments may be discussed as being performed in a particular order, other method embodiments may be performed in any logical order. FIG. 1 is a schematic cross-sectional view of a particle removal tool according to some embodiments of the present disclosure. It should be noted that a particle removal tool is shown in a simplified manner, and some components are omitted for ease of illustration. Referring to FIG. 1, a particle removal tool 100 is provided. In some embodiments, the particle removal tool 100 includes a workpiece holder 110 and an optical tweezer 120. The workpiece holder 110 is configured to support a workpiece W. The optical tweezer 120 is configured to emit a plurality of focused light beams FLB to the workpiece W to pick up particles P on the workpiece 110. In some embodiments, the workpiece holder 110 is positioned below the optical tweezer 120 and disposed downstream of the light propagation path of the plurality of focused light beams FLB. In some embodiments, the workpiece holder 110 has a mechanical structure or configuration that allows it to hold and/or move the workpiece W. For example, in some embodiments, the workpiece holder 110 includes a vacuum chuck (not shown) that generates vacuum pressures through vacuum ports in the chuck to hold the workpiece W thereon during a particle removal process. However, in alternative embodiments, the workpiece W is mounted by other appropriate mounting force via the workpiece holder 110. In some embodiments, the particle removal tool 100 includes a controller (not shown) that controls the workpiece holder 110 and/or a motor coupled to the workpiece holder 110 to rotate or spin according to a specific spin speed, spin duration, and/or a spin direction (e.g., clockwise or counterclockwise). In some embodiments, the controller controls the workpiece holder 110 to horizontally move (i.e., translate horizontally in two dimensions), so as to change the illumination position on the workpiece W. In some embodiments, the controller controls the workpiece holder 110 to vertically move, so as to change the distance between the optical tweezer 120 and the workpiece W. That is to say, the workpiece holder 110 may be movable, so that the workpiece W may be moved relative to the optical tweezer 120 by the workpiece holder 110. In some embodiments, the workpiece W is moved along X direction, Y direction, and/or Z direction by the workpiece holder 110. In some embodiments, the workpiece W is rotated by the workpiece holder 110. In some embodiments, the controller may be locally or remotely located from the particle removal tool 100. In some embodiments, the controller includes electronic memory and one or more electronic processors configured to execute programming instructions stored in the electronic memory. The disclosure is not intended to limit whether the functions of the controller is implemented by ways of software or hardware. In some embodiments, the workpiece W includes a semiconductor wafer such as a crystalline silicon substrate; an elementary semiconductor substrate (e.g., including germanium); a compound semiconductor substrate (e.g., including silicon carbon, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide); and/or an alloy semiconductor substrate (e.g., including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP). Other semiconductor substrates such as multi-layered or gradient substrates may also be used as a semiconductor wafer. In some embodiments, the workpiece W may include a semiconductor wafer having an integrated circuit (IC) structure formed thereon. The IC structure may include semiconductor devices, and further include a stack of dielectric and conductive layers stacked on the semiconductor devices which serve as an interconnect structure. In some embodiments, the workpiece W may include a semiconductor wafer having an unfinished integrated circuit (IC) structure formed thereon. In other words, a particle removal process may be performed on the semiconductor wafer by the particle removal tool 100 before and/or after any intermediate process step (e.g., etching process, deposition process, thermal process and so on) of the IC structure. However, the present disclosure is not limited thereto. In some alternative embodiments, the workpiece W may be other parts such as masks, reticles, robots, etc. In some embodiments, the focused light beams FLB emitted from the optical tweezer 120 are configured to take particles P away from the workpiece 110. In detail, the optical tweezer 120 may include a light source 122 over the workpiece holder 110 and an optical component 124 between the light source 122 and the workpiece holder 110. The light source 122 is configured to emit a light beam LB. The optical component 124 is disposed on a transmission path of the light beam LB, and is configured to convert the light beam LB into the plurality of focused light beams FLB. In some embodiments, the light beam LB is provided as a continuous wave (CW) laser. In some embodiments, the light beam LB is provided as a pulse laser. In some embodiments, the optical component 124 includes a plurality of optical lenses 124a, and each of the plurality of optical lenses 124a is illuminated by the light beam LB. In other words, the illumination range of the light beam LB may cover all of the optical lenses 124a. In some embodiments, the optical lenses 124a have positive refractive power, so that the light beam LB are focused and converted into the plurality of focused light beams FLB by the optical lenses 124a. In some embodiments, the focused light beams FLB are separated from each other. In some embodiments, the focused light beams FLB are respectively converged to focal points FP between the optical tweezer 120 and the workpiece 110. In other words, a distance between the optical lenses 124a of optical tweezer 120 and the workpiece W may be greater than the focal length of the optical lenses 124a. In some embodiments, a distance between the focal points FP of the focused light beams FLB and the optical component 124 may range from about 2 μm to about 3 μm. In some embodiments, a distance between the focal points FP of focused light beams FLB and a surface S1 of the workpiece W may range from about 2 μm to about 3 μm. In some embodiments, the surface S1 of the workpiece W is a front-side surface of the workpiece W. In some embodiments, the surface S1 of the workpiece W is a back-side surface of the workpiece W. In some alternative embodiments, the surface of the workpiece irradiated by the focused light beams is a side surface connected between the front-side surface and the back-side surface of the workpiece. Specifically, the optical tweezer 120 is an instrument that uses a highly focused laser beam (i.e., the focused light beams FLB) to provide an attractive or repulsive force, depending on the relative refractive index between the particles P and the surrounding medium. The optical tweezer 120 uses the forces of laser radiation pressure to physically hold and move nanometer and micron-sized particles P. The laser radiation pressure is a force per unit area on the particle P due to the change in momentum of light. The narrowest point (i.e., the focal point FP) of the focused laser beam (i.e., the focused light beam FLB) contains a strong electric field gradient, such that the particles P are attracted along the gradient to the positions of strongest electric field. Therefore, when the optical component 124 converts the light beam LB into the focused light beams FLB and the focused light beams FLB irradiate the particles P on the workpiece W, the particles P are attracted toward the focal points FP of the focused light beams FLB, and float in the space between the optical tweezer 120 and workpiece W. In this case, following the movement of the optical tweezer 120, the particles P attracted to the focal points FP are taken away, so that the particles P are removed. The force on the particle P is given by the change in momentum of light due to refraction of the light by the particles P, and thus the total force on the particle P is the difference between the momentum flux entering the particle P and the momentum flux leaving the particle P. In some embodiments, the light source 122 with high power may be used to generate higher trapping force. In some embodiments, the light beam LB may have a laser power ranging from about 10 mW to about 100 mW, and a laser energy density ranging from about 0.01 mJ/cm2 to about 140 mJ/cm2. In some embodiments, the optical lenses 124a of the optical component 124 with high numerical aperture (NA) may be used to generate higher trapping force. In some embodiments, the optical lenses 124a of the optical component 124 may have numerical aperture (NA) ranging from about 0.9 to about 1.5. In further embodiments, if the laser power is less than about 10 mW, or the laser energy density is less than about 0.01 mJ/cm2, or numerical aperture (NA) of the optical lenses 124a is less than about 0.9, then the trapping force is too small to attract the particle P away from the workpiece W. In yet further embodiments, if the laser power is greater than about 100 mW, or the laser energy density is greater than about 140 mJ/cm2, or numerical aperture (NA) of the optical lenses 124a is greater than about 1.5, then the energy of the light beam LB is too strong such that the light beam LB may damage the surface of the workpiece W. In some embodiments, the force F provided by the optical tweezer 120 may range from about 0.001 pN to about 200 pN, which may be capable of picking up the particles P with size ranging from about 0.0001 μm3 to about 20 μm3. In some embodiments, during a particle removal process, when the focused light beams FLB irradiate the workpiece W, the workpiece W is rotated 360 degrees by the workpiece holder 110. In some embodiments, when the focused light beams FLB irradiate the workpiece W, the workpiece W is moved along X direction and/or Y direction by the workpiece holder 110. Therefore, the particles P may be taken away from the workpiece W more efficiently. During the fabrication of the integrated circuit (IC) devices, some cleaning solvents used in the wet cleaning process may lead to problems in fabrication, such as defect (e.g., line broken), damage, or film loss. For instance, cleaning solvents containing hydrogen fluoride (HF) may consume silicon oxides to reduce the thickness of the layer of silicon oxides. The film loss may result in difficulty in controlling critical dimension (CD) for some key steps and/or have impact on the performance and yield of the IC devices. Due to these factors, the wet cleaning process is not suitable or cannot be used for the semiconductor wafer in some process steps. In addition, some parts (e.g., EUV reticle) are very fragile, and the wet cleaning process may lead to broken of the parts. Therefore, different from the wet etching process, the particle removal process performed by the particle removal tool 100 according to some embodiments of the present disclosure is an optical and non-contact process, and thus the aforementioned problems are avoided. FIG. 2 is a schematic top view of an optical component of FIG. 1 according to some embodiments of the present disclosure. FIG. 3 is a schematic top view of beam splitters and a sensor of FIG. 1 according to some embodiments of the present disclosure. For illustration purpose, the focused light beams FLB are also shown in FIG. 3. Referring to FIG. 1 to FIG. 3, the particle removal tool 100 may further include a plurality of beam splitters 130 and a sensor 140. In some embodiments, the beam splitters 130 are disposed on the transmission path of the focused light beams FLB, and are disposed between the optical tweezer 120 and the workpiece W. In some embodiments, the beam splitters 130 and the sensor 140 are disposed at the same level height. In some embodiments, the beam splitters 130 are configured to respectively deliver the plurality of focused light beams FLB from the optical tweezer 120 to the workpiece W, and respectively deliver the plurality of focused light beams FLB reflected by the workpiece W to the sensor 140. In some embodiments, the beam splitters 130 may partially allow the incident light to pass through and partially reflect the incident light, so that the focused light beams FLB from the optical tweezer 120 are delivered to the workpiece W by the plurality of beam splitters 130, are reflected by the workpiece W, and are delivered to the sensor 140 by the beam splitters 130 in sequence. In detail, when the focused light beams FLB from the optical tweezer 120 irradiate the beam splitters 130, the beam splitters 130 split the focused light beams FLB into first transmitted portions and first reflected portions, wherein the first transmitted portions of the focused light beams FLB are delivered to the workpiece W, and the first reflected portions of the focused light beams (not shown for ease of illustration) are reflected by the beam splitters 130. Thereafter, the first transmitted portions of the focused light beams FLB are reflected by the workpiece W and delivered to the beam splitters 130, and the beam splitters 130 split the first transmitted portions of the focused light beams FLB into second transmitted portions and second reflected portions, wherein the second transmitted portions of the focused light beams (not shown for ease of illustration) pass through the beam splitters 130, and the second reflected portions of the focused light beams FLB are reflected by the beam splitters 130 and delivered to the sensor 140. In some embodiments, the beam splitter 130 may be a cube made from two triangular prisms, and the space between the triangular prisms are adjusted such that half of the focused light beam FLB is reflected and the other half is transmitted. However, the present disclosure does not limit the kinds of the beam splitters 130. In some embodiments, the sensor 140 is configured to sense the plurality of focused light beams FLB from the workpiece W, such that positions of the particles P on the workpiece W are monitored by the sensor 140. In some embodiments, the sensor 140 includes a time delay and integration (TDI) sensor, or the like. In some embodiments, the sensing signal generated from the sensor 140 is transmitted to and processed by the aforementioned controller of the particle removal tool 100. In some embodiments, based on the monitoring results of the sensor 140, the aforementioned controller of the particle removal tool 100 may control the workpiece holder 110 to horizontally or vertically move, so as to change the illumination position on the workpiece W or the distance between the optical tweezer 120 and the workpiece W. In some embodiments, the locations of the plurality of optical lenses 124a are staggered, and the locations of plurality of beam splitters 130 are staggered. In detail, as shown in FIG. 2, the optical lenses 124a of the optical components 120 are arranged in a virtual plane defined by a first direction (e.g., X direction) and a second direction (e.g., Y direction), and the optical lenses 124a are staggered in both of the first direction (e.g., X direction) and the second direction (e.g., Y direction). As shown in FIG. 3, the beam splitters 130 are arranged in a virtual plane defined by a first direction (e.g., X direction) and a second direction (e.g., Y direction), and the beam splitters 130 are staggered in both of the first direction (e.g., X direction) and the second direction (e.g., Y direction). In addition, each of the plurality of beam splitters 130 is aligned with one of the plurality of optical lenses 124a respectively in a third direction (e.g., Z direction) perpendicular to the first direction (e.g., X direction) and the second direction (e.g., Y direction). In other words, orthogonal projections of the plurality of optical lenses 124a onto the plurality of beam splitters 130 respectively overlap the corresponding beam splitters 130. In some embodiments, the number of the optical lenses 124a is the same as the number of the beam splitters 130. Since the locations of the plurality of optical lenses 124a and the plurality of beam splitters 130 are staggered in both of the first direction (e.g., X direction) and the second direction (e.g., Y direction), the particle removal tool 100 removes the particles P in a more effective manner. Although thirteen optical lenses 124a and thirteen beam splitters 130 are illustrated in FIG. 2 and FIG. 3, the disclosure does not limit the number of the optical lenses 124a and the beam splitters 130. In some embodiments, orthogonal projections of the beam splitters 130 onto a sensor region SR of the sensor 140 are staggered. In some embodiments, the sensor region SR of the sensor 140 faces toward the plurality of beam splitters 130. In some embodiments, the sensor region SR of the sensor 140 extends along the second direction (e.g., Y direction). In some embodiments, light propagation paths of the plurality of focused light beams FLB between the plurality of beam splitters 130 and the sensor 140 are spaced apart from each other. In some embodiments, the light propagation paths of the plurality of focused light beams FLB between the plurality of beam splitters 130 and the sensor 140 are parallel to each other. As a result, the plurality of focused light beams FLB from the workpiece W are transmitted to the sensor 140 without intersecting with each other, and thus the sensing result of the sensor 140 may not be affected. However, the present disclosure is not limited thereto, as long as the orthogonal projections of the beam splitters 130 onto the sensor region SR of the sensor 140 are staggered and the light propagation paths of the plurality of focused light beams FLB between the plurality of beam splitters 130 and the sensor 140 are spaced apart from each other. For instance, in some alternative embodiments, the beam splitters 130 are staggered in the second direction (e.g., Y direction) but not staggered in the first direction (e.g., X direction). In some embodiments, the particle removal tool 100 may include a process chamber (not shown), and the light source 110, the optical tweezer 120, the beam splitter 130 and the sensor 140 are disposed in the process chamber. The process chamber may be a cleaning chamber for wafer cleaning, substrate cleaning, and/or part cleaning. However, the function of the process chamber is not limited in the present disclosure. In some alternative embodiments, other suitable process (e.g., etching process, thermal process or oxidation process) may be performed in the process chamber. FIG. 4 is a schematic cross-sectional view of a particle removal tool according to some embodiments of the present disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. It should be noted that a particle removal tool is shown in a simplified manner, and some components are omitted for ease of illustration. Referring to FIG. 4, a particle removal tool 200 is provided. In some embodiments, the particle removal tool 200 may be similar to the particle removal tool 100 in FIG. 1, except that the particle removal tool 200 further includes an airflow unit (e.g., a pump 250). In some embodiments, the pump 250 is configured to collect the particles P taken away from the workpiece W. In some embodiments, the pump 250 may include, for example, a suction nozzle 252 having an inlet pore adjacent to the positions of the focal points FP of the focused light beam FLB. When the particles P on the workpiece W are attracted to the focal points FP of the focused light beams FLB, the pump 250 may generate vacuum pressure to pull in the particles P attracted to the focal points FP through the suction nozzle 252, so that the particles P are removed. In some embodiments, the pump 250 may have a power of about 300 W. In some embodiments, the change in pressure between the inlet and outlet of the pump 250 may be about 0.7 atm (approximately 7 N/cm2). During the fabrication of the integrated circuit (IC) devices, some cleaning solvents used in the wet cleaning process may lead to problems in fabrication, such as defect (e.g., line broken), damage, or film loss. For instance, cleaning solvents containing hydrogen fluoride (HF) may consume silicon oxides to reduce the thickness of the layer of silicon oxides. The film loss may result in difficulty in controlling critical dimension (CD) for some key steps and/or have impact on the performance and yield of the IC devices. Due to these factors, the wet cleaning process is not suitable or cannot be used for the semiconductor wafer in some process steps. In addition, some parts (e.g., EUV reticle) are very fragile, and the wet cleaning process may lead to broken of the parts. Therefore, different from the wet etching process, the particle removal process performed by the particle removal tool 200 according to some embodiments of the present disclosure is an optical and non-contact process, and thus the aforementioned problems are avoided. FIG. 5 is a flow chart of a method for particle removal according to some embodiments of the present disclosure. Although the method 300 is illustrated and/or described as a series of acts or events, it will be appreciated that the method is not limited to the illustrated ordering or acts. Thus, in some embodiments, the acts may be carried out in different orders than illustrated, and/or may be carried out concurrently. Further, in some embodiments, the illustrated acts or events may be subdivided into multiple acts or events, which may be carried out at separate times or concurrently with other acts or sub-acts. In some embodiments, some illustrated acts or events may be omitted, and other un-illustrated acts or events may be included. At act 302, a workpiece is loaded. In some embodiments, loading the workpiece includes providing a workpiece holder to support the workpiece. FIG. 1 and FIG. 4 illustrate the workpiece holder 110 configured to support the workpiece W. In some embodiments, the workpiece holder 110 has the mechanical structure or configuration that allows it to hold and/or move the workpiece W. In some embodiments, the workpiece holder 110 is rotated and/or horizontally or vertically moved, so that the workpiece W is moved relative to the optical tweezer 120 by the workpiece holder 110. In some embodiments, the workpiece W may include a semiconductor wafer. In some embodiments, the workpiece W may include other parts such as masks, reticles, robots, etc. At act 304, an optical tweezer is provided. FIG. 1 and FIG. 4 illustrate the optical tweezer 120 configured to emit the plurality of focused light beams FLB to the workpiece W. The optical tweezer 120 includes the light source 122 configured to emit a light beam LB, and the optical component 124 configured to convert the light beam LB into the plurality of focused light beams FLB. In some embodiments, the optical component 124 may include the plurality of optical lenses 124a having positive refractive power, so that the light beam LB may be focused and converted into the plurality of focused light beams FLB. In some embodiments, the focused light beams FLB are separated from each other. In some embodiments, the focused light beams FLB are respectively converged to focal points FP between the optical tweezer 120 and the workpiece 110. In other words, a distance between the optical lenses 124a of optical tweezer 120 and the workpiece W is greater than the focal length of the optical lenses 124a. At act 306, positions of the particles are monitored. FIG. 1 and FIG. 4 illustrate the sensor 140 configured to sense the plurality of focused light beams FLB from the workpiece W. FIG. 1 and FIG. 4 also illustrate the plurality of beam splitters 130 configured to respectively deliver the plurality of focused light beams FLB from the optical tweezer 120 to the workpiece W, and respectively deliver the plurality of focused light beams FLB reflected by the workpiece W to the sensor 140. In some embodiments, each of the plurality of beam splitters 130 is aligned with one of the plurality of optical lenses 124a respectively in the third direction (e.g., Z direction). In some embodiments, the locations of plurality of beam splitters 130 are staggered, and the orthogonal projections of the beam splitters 130 onto the sensor region SR of the sensor 140 are staggered, so that the focused light beams FLB from the workpiece W are transmitted to the sensor 140 without intersecting with each other, and thus the sensing result of the sensor 140 may not be affected. In some embodiments, the sensor 140 includes a time delay and integration (TDI) sensor, or the like. At act 308, the particles on the workpiece are removed. FIG. 1 and FIG. 4 illustrate the particles P are attracted toward the focal points FP of the focused light beams FLB when the optical component 124 converts the light beam LB into the focused light beams FLB and the focused light beams FLB irradiate the particles P, so that the particles P are removed from the workpiece W. In some embodiments, act 308 is performed after act 306. However, the present disclosure is not limited thereto. In alternative embodiments, the sequence of act 306 and act 308 may be exchanged as needed. In other words, act 308 may be performed before act 306. In yet alternative embodiments, act 306 and act 308 may be performed simultaneously. To be more specific, before the act 308, the particles P may be monitored to know the positions thereof. During the act 308 and/or after the act 308, the particles P may be monitored to make sure whether the particles P on the workpiece W are removed or not. At act 310, the particles P are collected. In some embodiments, collecting the particles includes providing a pump. FIG. 4 illustrates the pump 250 configured to collect the particles P picked up by the workpiece W. In some embodiments, the pump 250 may include, for example, a suction nozzle 252 having an inlet pore adjacent to the positions of the focal points FP of the focused light beam FLB. When the particles P on the workpiece W are attracted to the focal points FP of the focused light beams FLB, the pump 250 may generate vacuum pressure to pull in the particles P attracted to the focal points FP through the suction nozzle 252, so that the particles P are removed. In some embodiments, act 310 may be optional. At act 312, the workpiece is unloaded, and the particle removal process is completed. In some embodiments, the particle removal process may be performed before and/or after any intermediate process step (e.g., etching process, deposition process, thermal process and so on) of the IC structures. In some embodiments, a defect inspection may be performed between the particle removal process and the fabrication process of the IC structures. In some embodiments, the particle removal process may be performed between the defect inspection and the fabrication process of the IC structures. In view of the above, with the method of the embodiments of the disclosure, the particles P are removed by the optical and non-contact process instead of the wet cleaning process. Therefore, some problems (such as, defect, damage, or film loss) induced by the wet cleaning process are avoided. Furthermore, the manufacturing yield may be improved. In accordance with some embodiments of the disclosure, a particle removal tool includes a workpiece holder and an optical tweezer. The workpiece holder is configured to support a workpiece. The optical tweezer is configured to emit a plurality of focused light beams to the workpiece, wherein the plurality of focused light beams are respectively converged to focal points between the optical tweezer and the workpiece, and are configured to take particles away from the workpiece. In accordance with some embodiments of the disclosure, a particle removal tool includes a workpiece holder, a light source and a plurality of optical lenses. The light source is configured to emit a light beam. The plurality of optical lenses are disposed on a transmission path of the light beam, and configured to convert the light beam into a plurality of focused light beams, wherein the plurality of focused light beams are respectively converged to focal points between the plurality of optical lenses and the workpiece, and are configured to take particles away from the workpiece. In accordance with some embodiments of the disclosure, a method includes at least the following steps. A workpiece is loaded. An optical tweezer emitting a plurality of focused light beams to the workpiece is provided, wherein the plurality of focused light beams are respectively converged to focal points between the optical tweezer and the workpiece. Particles on the workpiece are removed by the plurality of focused light beams, such that the particles are removed from the workpiece. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
claims
1. An apparatus for producing fibrous debris of test for a nuclear power plant comprising:a sample fibrous debris deriving unit comprising a plurality of fibrous debris strainer bags for collecting fibrous debris passed through a sump strainer, measuring the length distribution of fibrous debris collected in a plurality of the strainer bags, and deriving a sample fibrous debris by removing a distorted length distribution among the measured length distribution of fibrous debris; and furthera fibrous debris producing unit for producing fibrous debris of test having a uniform length distribution equal to the length distribution of the sample fibrous debris of the sample fibrous debris deriving unit and using the fibrous debris for the core downstream type effect test. 2. The apparatus for producing fibrous debris of test for a nuclear power plant according to claim 1, wherein the sample fibrous debris deriving unit comprises:a length distribution measuring unit for measuring the length distribution of the collected fibrous debris;a filtering unit for removing fibrous debris in a distorted length distribution region and extracting the length distribution of fibrous debris within a predetermined critical range with respect to the length distribution of the measured fibrous debris; anda sample fibrous debris generating unit for setting the length distribution of the fibrous debris passed through the filtering unit as the length distribution of the sample fibrous debris and transferring the debris to the fibrous debris generating unit. 3. The apparatus for producing fibrous debris of test for a nuclear power plant according to claim 2, wherein the fibrous debris producing unit is provided to produce fibrous debris of test by pulverizing aged fiber so as to have the length distribution of the sample fibrous debris. 4. The apparatus for producing fibrous debris of test for a nuclear power plant according to claim 2, wherein the fibrous debris producing unit comprises:a cutter for cutting aged fiber to a predetermined size;a weighing scale for selecting fiber of a predetermined weight by measuring the weight of the cut fiber of a predetermined size;a controller for setting the pre-stored applied voltage and the pulverization time according to the type of strainer; anda pulverizer for pulverizing fibers having a predetermined weight based on the voltage applied to the controller and the pulverization time for pulverizing the fibers having a predetermined weight and size by the length distribution of the sample fibrous debris. 5. The apparatus for producing fibrous debris of test for a nuclear power plant according to claim 4, wherein the controller is provided to measure the length distribution of the fibrous debris pulverized by the pulverizer and judge whether the measured fibrous debris length distribution coincides with the length distribution of the sample fibrous debris, and the applied voltage and the pulverization time of the pulverizer are stored in a predetermined memory area along with the matched type of strainer if coincidence occurs. 6. A method for producing fibrous debris of test for a nuclear power plant comprising the steps of:(A) collecting fibrous debris passed through a strainer in a plurality of strainer bags;(B) measuring the length distribution of the fibrous debris collected by the sample fibrous debris deriving unit;(C) removing fibrous debris in a distorted length distribution region with respect to the length distribution of the measured fibrous debris and setting the length distribution of the fibrous debris within a predetermined critical range to the length distribution of the sample fibrous debris; and(D) generating fibrous debris of test having a uniform length distribution equal to the length distribution of the sample fibrous debris in the fibrous debris generating apparatus. 7. The method for producing fibrous debris of test for a nuclear power plant according to claim 6, wherein the step (D) comprises the steps of:cutting given aged fiber to a predetermined size;measuring the weight of the cut fibers of a predetermined size so as to select fibers of a predetermined weight;controlling pulverization for setting a predetermined applied voltage and pulverization time according to the type of strainer; andpulverizing for producing fibrous debris of test having a uniform length distribution equal to the length distribution of the sample fibrous debris with the fibers having a predetermined weight based on the applied voltage and the pulverization time. 8. The method for producing fibrous debris of test for a nuclear power plant according to claim 7, wherein the step (D) comprises measuring the length distribution of the fibrous debris pulverized by the pulverizer, judging whether the measured fibrous debris length distribution coincides with the length distribution of the sample fibrous debris, and in case coincidence occurs, storing the applied voltage and the pulverization time of the pulverizer in a predetermined memory area with the matched type of strainer.
RE0339555
description
DETAILED DESCRIPTION OF THE INVENTION The method of solidifying the hazardous and radioactive liquid waste compositions according to the invention applies to a great variety of such materials. For example, in the radioactive waste disposal field, liquids which must be treated and disposed of include reactor plant liquids such as turbine, cutting and lubricating oils, solvent sludges which are used to degrease the reactor components such as Freon TF, cleaning solvents such as Stoddard solvents, decontamination solvents, and aqueous mixtures of the above-noted hydrocarbon materials, particularly those containing between from 5 to about 75% hydrocarbons and even up to 100% hydrocarbons. In addition, a great quantity of such wastes are aqueous liquids, containing over about 95% water contaminated with radioactive materials such as greases from reactor plant turbines. Hospital-sourced contaminated liquids contain radioactive materials used in cancer treatments. From such sources, particularly common materials include the radioactive cobalts such as cobalt 57, cobalt 58 and cobalt 60, cesium, plutonium and uranium isotopes, and the like. However, it is to be understood, according to the invention, that any radioactive materials that are to be disposed of and are defined in the aforesaid laws, regulations, and documents are intended to be included in the compositions treated according to the method of this invention, as well as any later identified and added radioactive materials, regardless of source and regardless of the specific radioactive material or radioisotope. Common hazardous waste materials include acids, bases, chlorinated hydrocarbons including PCB, dioxins, and the like. Again, these as well as the radioactive materials may be in substantially aqueous liquids, particularly those having 95% or more water, or they may be aqueous mixtures containing up to substantial amounts of hydrocarbons. Moreover, as used herein, "hydrocarbons" is intended to define any such oil, solvents and other hydrocarbons or non-aqueous liquids as generally described above which have been contaminated with radioactive materials or which themselves are considered hazardous chemicals according to governmental regulations. The material used in the method of the present invention for treating the above-described hazardous and radioactive liquid waste materials is sodium montmorillonite. As defined herein, sodium montmorillonite comprises a montmorillonite in which the major exchangeable cation is sodium and with smaller amounts of calcium and other exchangeable cations. The preferred materials has over about 50% weight milliequivalents of sodium and exhibits a number of other properties as will be discussed hereinafter. A highly useful material is the sodium montmorillonite naturally occurring in Wyoming and certain areas of South Dakota and Montana which exhibits a unique combination of characteristics which qualify it as an extremely efficient solidifying agent in treating the waste compositions according to the invention. Because of the large surface area to weight ratio and net negative surface charge, the concentration of cations, particularly sodium, are held in an exchangeable position on the montmorillonite particle which, when hydrated, act with the mineral structure to produce ordered water layers which are great distances from the particle surfaces. Because of this unique characteristic, the sodium montmorillonite, when added to an aqueous liquid results in a non-pourable flexible matrix that does not undergo phase separation except under extreme temperature and/or pressure conditions far beyond those encountered under storage and handling conditions. As previously noted, the sodium montmorillonite is that having sodium as the major exchangeable cation, preferably having over a 50% milliequivalent exchangeable cation concentration, and more preferably between about 60 and about 75 sodium meq/%. Other cations include calcium, commonly between about 20 and about 35 meq/% with other typical cations including potassium, magnesium, iron, being in the aggregate range of between about 5 and about 20 meq/%. However, such specific percentages are to be understood to be in the most preferred material, and materials outside of those specific ranges are to be included, again, so long as the major sodium concentration is present. Of course, the naturally occurring material may be obtained from any source, and synthetic materials are also to be included within the purview of the invention. In addition to the major sodium concentrations, the preferred material possesses high colloid content, liquid limits, plate water retention and cation exchange capacity. The colloid content of the sodium montmorillonite of the invention, is at least about 70%. By the term "colloid content" as used herein, it is intended to define that portion of material that is colloidal in the dispersing medium and has a particle size less than about 2 microns when it is dispersed. Preferred sodium montmorillonites will exhibit between about 70 and about 75% colloid content according to this definition. The liquid limit of the sodium montmorillonite is a minimum of about 500%. The liquid limit is determined by ANSI/ASTM D-423-66, and determines how much water (by weight) the material will hold without becoming liquid. By the term "solid" as used herein, it is intended to define the compositions which are not pourable. In addition, herein, the term "substantially solid" is intended to be so defined. Normally upper liquid limits of the sodium montmorillonite material used in the invention will be about 750%, with nominal averages being about 600%. Another important feature of the sodium montmorillonite is the amount of water adsorption determined by a plate water retention test, the material of the invention exhibiting a minimum of about 600%. This retention is determined according to ASTM standard E-946, with the upper practical limit being 1,000% and nominal figures for the most preferred material being between about 750 and about 800%. The preferred sodium montmorillonite cation exchange capacity is 70 meq/100 grms., up to even 95-100 meq/%; nominal ranges of the preferred material are between about 75 and about 80 meq/%. A desirable moisture content of the material is a maximum of about 10%, by weight, and that can be achieved by simply drying the material prior to adding it to the liquid to be treated. It will be understood that where higher amounts of moisture are present, the effectiveness of the material for solidifying the liquid compositions will simply be somewhat reduced. In treating the liquid, different particle size sodium montmorillonites have been found to be more effective depending on the relative water and hydrocarbon content of the liquid. In solidifying liquids having 95% or more water, the preferred material has at least a major portion of particle sizes between about 3/8" and 20 mesh and more preferably at least a major portion of the particle sizes between 4 and 10 mesh (-4+10). In treating liquid compositions having more than about 5% hydrocarbon, the use of a more finely divided sodium montmorillonite is preferred. For treating such liquids, at least some and preferably all of the sodium montmorillonite should pass a 200 mesh screen. The amount of sodium montmorillonite to be used is in a mineral-liquid ratio of between about 3:1 and about 1:7, by volume, respectively. The bulk density of the mineral (sodium montmorillonite) in the -4+10 mesh particle size range is about 70 to about 75 pounds per cubic foot whereas in the 200 mesh range, the density is about 60 to about 63 pounds per cubic foot so that, the weight ratio of the mineral-liquid used is also between about 3:1 and about 1:7, by weight, respectively. Within those ranges, it is found that where about 95% or more of the liquid to be treated is water, the amount of mineral used to solidify the composition is less then that required for liquids containing more hydrocarbons. For example, where about 75% or more of the liquid is a hydrocarbon, such as turbine oil, the amount of mineral used may be up to as high as about 3:1 mineral:liquid. Specifically, in liquid compositions containing between about 25% and 100% oil, mineral:liquid ratios between about 3:1 and about 1:1.6, respectively, were found to be suitable. On the other hand, where the liquid was substantially 100% aqueous, mineral:liquid ratios of between about 1:2 and about 1:5.2 were suitable. More specifically, when treating liquid waste containing at least about 95% water, it is preferred to use between about 150 and about 175 pounds of the -4+10 mesh mineral with between about 45 and about 48 gallons of liquid in a 55 gallon drum. Preferably when treating liquids having about 95% or more water, the amount of mineral:liquid ratio is between about 1:2 and about 1:7, by weight or volume, respectively. When treating liquids containing 5% or more hydrocarbon, the mineral:liquid ratio is preferably between about 1:2 and about 3:1, respectively. When adding the montmorillonite, it may be added to the highly aqueous compositions, without stirring. However, it is not to be added all at once and instead should be poured into the liquid in portions or fractions, preferably about 1/4 to 1/3 of the total mineral to be used, with at least about 10 minute and preferably 15-20 minute intervals between portion. Thus, for example, between about 40 and about 55 pounds of mineral is added to about 45 to 48 gallons of liquid in a 55 gallon drum. Alternatively, smaller fractions of mineral may be added with shorter intervals. For example, where 25 pound or 1/6 fractions are added, intervals of a few minutes up to about 10 minutes, and preferably about 5 minutes are sufficient. With larger fractions, of say 75 pounds, or one-half of the mineral to be added, longer intervals of 20 minutes and up to 30 minutes are preferred. Where more than about 5% hydrocarbon is present, again, at least a portion or all of the 200 mesh mineral is preferably used and the mixture is stirred in order to solidify the total composition. The method of the invention is preferably used in liquid compositions containing up to 75% hydrocarbon, although, as previously noted, it may be used for 100 % hydrocarbon compositions. Where the liquid contains about 5% or more hydrocarbon, the 200 mesh mineral may be added rapidly, with stirring and without adding it in fractions or between intervals. Where highly acid or caustic liquids are to be treated it is preferred to neutralize the material to a pH of between about 6.5 and about 9.0 prior to treatment with the sodium montmorillonite although the mineral will be useful for liquids in the pH range from 2-11. Highly concentrated acids require proportionately greater amounts of mineral. For solidifying the liquid compositions according to the invention, it is quite convenient to utilize a 55 gallon open-top drum before treating and storing the waste liquids. Of course, other containers may also be used, but because of the availability of these 55 gallon drums their use is very desirable. In a specific example of treating liquid according to the invention, between 45 and 48 gallons of the liquid were poured into the 55 gallon drum. Fifty pounds of sodium montmorillonite was added to the drum by pouring the mineral evenly over the liquid surface in order to cover the entire bottom of the drum with the particulate mineral as evenly as possible. The specific montmorillonite used had a sodium content of between 60 and 75 meq/%, calcium 20-35 meq/%, a colloid content of 70-75%, liquid limit of about 600%, a moisture content below 10%, a plate water retention of 750-800% and a total cation exchange capacity of 75-80 meq/100 gm. The liquid contained less than 5% hydrocarbon and the sodium montmorillonite added had a particle size of -4+10 mesh. After 15 to 20 minutes, another 50 pound portion of sodium montmorillonite was added and evenly distributed, and 15 to 20 minutes thereafter, a third bag was added. After about 30 minutes, the mixture was inspected and found to be substantially solid. The lid was placed on the drum and after 24 hours the composition was again inspected and found to be substantially solid. Drums containing compositions treated as above-described were subjected to extremely rough transportation conditions, including being transported 1,000 miles to determine if free liquid would become separated. When the transport tests were completed, the drums were cut open and the solidified mixture was cut in half vertically, and it was found that there was no free water in the samples. In treating the liquid compositions as a further precaution, if there is free standing liquid on the top of the composition after the appropriate amount of mineral has been added and allowed to stand for 24 hours an additional 1/2 to 1" of sodium montmorillonite may be added to complete the solidification process, again waiting for 24 hours for inspection. If further free standing liquid occurs, sodium montmorillonite may be used to fill the remaining volume of the drum after which the lid is secured. It has been found that the resulting substantially solid compositions achieved by following the method described herein are slightly alkaline, inert, non-corrosive, and non-biodegradable inorganic systems in which the liquid phase as well as the dissolved and suspended solids are fixed in a non-pourable flexible matrix that will not exhibit brittle fragmentation under accidental spill conditions. Unlike prior art adsorbents used heretofore, which concentrate the liquids in pore spaces, the sodium montmorillonite of the invention is believed to result in a physio-chemical bond yielding a stable homogeneous storage condition which does not undergo phase separation under normal temperature or pressure conditions as well as such conditions which are significantly more extreme than those demonstrated or anticipated in handling or storing such liquids under existing Federally approved storage conditions. Further, there is no evidence to indicate formation of gases or any secondary hazardous products as a result of the solidification process. These advantages as well as others will be evident to those skilled in the art.
claims
1. A nuclear reactor fuel assembly, comprising:a top nozzle and a bottom nozzle connected to one another with the aid of a jacket;a bundle of rod-type fuel elements arranged in the jacket with the aid of a grid and spacing elements, wherein the fuel elements comprise peripheral fuel elements in a peripheral row, and internal fuel elements,the spacing elements are spiral shaped and wrapped around cladding of each fuel element and fixed at ends of the fuel elements,wherein the spacing elements comprise peripheral spacing elements and internal spacing elements,wherein at least the peripheral fuel elements in the bundle are provided with the peripheral spacing elements formed as tubes with longitudinal through slots,wherein the peripheral spacing elements have a substantially oval cross section in regions where the peripheral spacing elements are in contact with the jacket. 2. The fuel assembly of claim 1, wherein the width of the slot in the spacing elements is between 0.1 and 0.35 of a spacing element diameter. 3. The fuel assembly of claim 1, wherein a thickness of a wall of the spacing elements is between 0.25 and 1 of the thickness of the cladding. 4. The fuel assembly of claim 1, wherein the peripheral spacing elements of the peripheral fuel elements have reduced resistance to deformation in the transverse direction compared to the internal spacing elements of the internal fuel elements in the bundle. 5. The fuel assembly of claim 4, wherein a width of the slots in the peripheral spacing elements is between 0.20 and 0.35, and a width of slots in internal spacing elements is between 0.1 and 0.30 of a spacing element diameter. 6. The fuel assembly of claim 4, wherein a thickness of the walls of peripheral spacing elements is between 0.25 and 0.6 of a thickness of the cladding, and a thickness of walls of internal spacing elements is between 0.4 and 1 of the thickness of the cladding. 7. The fuel assembly of claim 1, wherein the internal spacing elements are generally round tubes with longitudinal through slots. 8. The fuel assembly of claim 1, wherein the internal fuel elements are provided with wire spacing elements. 9. A method of producing the fuel assembly of claim 1, comprising:creating the bundle of internal and peripheral fuel elements with the internal and peripheral spacing elements;inserting the bundle in the jacket; andconnecting the jacket with the top nozzle and bottom nozzle of the fuel assembly,compressing at least the peripheral spacing elements to assume the substantially oval cross section in the regions where the peripheral spacing elements are in contact with the jacket through compression of the bundle in the transverse plane. 10. The method of claim 9, wherein the compressing is carried out while inserting the bundle into the jacket. 11. The method of claim 9, wherein the compressing comprises, prior to inserting the bundle into the jacket, compressing the bundle in the transverse plane with several hexagonal compressing rims, which are removed from the bindle one by one as the bundle is inserted in the jacket. 12. The method of claim 9, wherein compressing the bundle is carried out within the limits of elastic deformation of the spacing elements.
claims
1. A method of manufacturing a collimator mandrel for a CT imaging system, the method comprising the steps of:forming a core of base material, wherein the core includes a cylindrical rod; andaffixing a thin layer of attenuating material to the core and then machining the thin layer to have a non-uniform thickness to form the collimator mandrel. 2. The method of claim 1 wherein the base material is stainless steel. 3. The method of claim 1 wherein the attenuating material is an alloy or an epoxy. 4. A CT collimator mandrel comprising a solid cylindrical rod positioned within a layer of attenuating material, the CT collimator mandrel formed by:forming the cylindrical rod;sputtering a layer of attenuating material to the cylindrical rod; andeccentrically affixing a pivot stud to each end of the cylindrical rod to support connection of the rod to an assembly. 5. The CT collimator mandrel of claim 4 further formed by machining the layer to create a desired taper. 6. The CT collimator mandrel of claim 4 wherein the attenuating material extends circumferentially around an entire length of the cylindrical rod. 7. The CT collimator mandrel of claim 4 wherein the cylindrical rod includes stainless steel and the attenuating material includes tungsten. 8. The CT collimator mandrel of claim 4 wherein the cylindrical rod has a solid core of stainless steel. 9. The CT collimator mandrel of claim 4 incorporated into a medical scanner. 10. The CT collimator mandrel of claim 9 wherein the rod has a circular cross-section. 11. The CT collimator mandrel of claim 4 further configured to operate in tandem with another collimator mandrel to filter an x-ray beam. 12. The CT collimator mandrel of claim 4 wherein the rod is rotatable about an axis extending along a length of the rod.
claims
1. A radiographic camera, comprising: wherein the jacket is adapted to be removably secured to the camera and the camera is functional with and without the jacket. a camera having a body suitable for use in a pipeline: a jacket having a front end of the jacket and a back end opposite the front end; and a handle positioned between the front and back ends of the jacket, the handle constructed and arranged to carry the camera; 2. The camera of claim 1 wherein the jacket includes molded polyurethane. claim 1 3. The camera of claim 1 wherein the jacket defines an opening to receive the radiographic camera, that extends through the front end of the jacket to the backend of the jacket. claim 1 4. A radiographic camera, comprising: wherein the lock assembly functions independently of the radiation shield protector and guide cable fitting. a housing containing a radioactive source in a pathway surrounded by a radiation shield; a first end of the housing, having a first opening at a first endplate in communication with the pathway; a second end of the housing, having a second opening in communication with the pathway, the second opening having a lock assembly; a radiation shield protector at the first end of the housing adapted to selectively block and unblock the first opening; and a front plate adjacent the radiation shield protector, the radiation shield protector provided between the first endplate and the front plate, the front plate having a hole aligned with the first opening and adapted to receive a guide cable fitting that allows the radiation shield protector to unblock the first opening and expose the radioactive source, 5. The connector assembly of claim 4 , wherein the shield protector is a rotor rotatably attached to an interior surface of the front plate between the front plate and the first endplate, the rotor including a first rotor hole formed in the rotor locating a port shield to be aligned with the first opening, and a second rotor hole adapted to be aligned with the first opening upon rotation of the rotor. claim 4 6. The connector assembly of claim 5 further comprising a slider adjacent the rotor that prevents rotation of the rotor, wherein the front plate hole is adapted to receive the guide cable fitting to move the slider to allow the rotor to rotate and expose the first opening through the second rotor hole. claim 5 7. The connector assembly of claim 6 further comprising a knob rotatably attached to an exterior surface of the front plate and positioned to cover and uncover the front plate hole, wherein the knob is rotatable to expose the front plate hole such that the guide cable fitting is insertable within the front plate hole to move the slider, and the knob is thereby further rotatable to cause the rotor to rotate to align the second rotor hole with the first opening and the front plate hole to expose the source. claim 6 8. The connector assembly of claim 5 wherein the port shield is tungsten. claim 5 9. A radiographic camera apparatus, the apparatus comprising: wherein the rotor is locked in position with the radiation shield aligned with the second opening and is adapted to be unlockable when a fitting is engaged in the hole of the front plate and wherein the front plate does not include a removable plug for insertion in the hole when in a storage condition. a housing having an interior chamber, a first opening and a second opening formed by the housing, the first opening opposite the second opening on the housing; a lock assembly in communication with the housing at the first opening; a front plate having an interior and an exterior surface and defining a hole, the front plate in communication with the housing at the second opening to align the hole with the second opening; a conduit within the housing containing a radiation source and in communication with the lock assembly at one end and the front plate at the other end, a pathway being formed by the conduit to an exterior of the housing through the front plate; and a rotor rotatably attached to the interior surface of the front plate, the rotor defining a first rotor hole aligned with the second opening and having a radiation shield therein, and the rotor defining a second rotor hole for alignment with the second opening upon rotation of the rotor, 10. The apparatus of claim 9 further comprising a knob rotatably attached to the exterior surface of the front plate and positioned to rotatably cover and uncover the hole of the front plate, wherein the knob is rotatable to expose the hole in the front plate and the shield in the first rotor hole, and the knob is further rotatable to cause the rotor to rotate to align the second rotor hole with the second opening when the rotor is unlocked. claim 9 11. The connector assembly of claim 9 further comprising a slider adjacent the rotor that prevents rotation of the rotor, wherein when the slider is caused to move the rotor is allowed to rotate and expose the second opening through the second rotor hole. claim 9 12. The connector assembly of claim 11 wherein upon insertion of the fitting into the hole of the front plate, the fitting is rotated and causes the slider to move, thereby allowing the rotor to rotate and expose the second opening through the second rotor hole. claim 11 13. The connector assembly of claim 12 further comprising at least one ear on the fitting, wherein the ear fits within the hole and upon rotation of the fitting interacts with and moves the slider to allow rotation of the rotor to occur. claim 12 14. A connector assembly for a radiographic camera, comprising: wherein the radiation source cannot move through the radiation source opening until the radiation shield protector is moved to the unblocking position and wherein position of the radiation shield protector is independent of operation of a lock assembly. a connection element adapted to engage with a guide cable, the connection element including an opening aligned with a radiation source opening in the camera through which a radiation source can pass; a radiation shield protector provided with the connection element, the radiation shield protector movable between blocking and unblocking positions, where in the blocking position the radiation shield protector blocks the radiation source opening and in the unblocking position the radiation shield protector does not block the radiation source opening; and a lock that is adapted to lock the radiation shield protector in the blocking position and is adapted to unlock the radiation shield protector upon activation of a key located outside the camera at the connection element end of the camera to allow the radiation shield protector to move to the unblocking position, 15. The connector assembly of claim 14 , wherein: claim 14 the shield protector comprises a rotor that may rotate to block and unblock the radiation source opening, and the lock comprises a slider that is adapted to engage with the key to unlock the rotor from the blocking position. 16. The connector assembly of claim 15 , further comprising: a knob adapted to move the rotor to unblock the radiation source opening upon engagement of the slider with the key. claim 15 17. The connector assembly of claim 14 , wherein the lock is adapted to engage with a guide cable fitting that acts as the key. claim 14 18. The connector assembly of claim 17 , wherein the lock comprises a slider that unlocks the shield protector from the blocking position when the guide cable fitting is secured to the opening in the connection element. claim 17 19. A method of operating a radiation camera, comprising: wherein the steps of releasing and moving the radiation shield protector are independent of operation of a lock assembly on the camera. releasing a radiation shield protector that blocks a radiation source opening at a connector assembly in the camera by attaching a guide cable fitting to the connector assembly; moving the radiation shield protector to unblock the radiation source opening by activating a mechanism outside a housing of the camera at the connector assembly end; and moving a radiation source from within the camera through the radiation source opening, 20. The method of claim 19 , wherein the mechanism is incorporated into the guide cable fitting. claim 19 21. The method of claim 19 , wherein the step of releasing the shield protector comprises engaging the guide cable fitting with a slider. claim 19 22. The method of claim 19 , wherein the step of moving the shield protector comprises rotating a knob attached to the shield protector to align a hole in the shield protector with the radiation source opening wherein the radiation source is thereafter movable through the radiation source opening. claim 19
abstract
Disclosed herein are provided an arrangement of devices suitable to downsize a synchrotron, a synchrotron using such an arrangement, and a particle therapy system using the synchrotron. In the synchrotron, a plurality of deflection magnets and a single defocusing quadrupole magnet are arranged between a first extraction deflector and a second extraction deflector. The defocusing quadrupole magnet is arranged between deflection magnets among the plurality of deflection magnets, a focusing quadrupole magnet is arranged on the side of an inlet of the first extraction deflector, and a focusing quadrupole magnet is arranged on the side of an outlet of the second extraction deflector.
description
This application is a continuation of U.S. Ser. No. 16/543,726, filed Aug. 19, 2019, now allowed, which is a continuation of U.S. Ser. No. 15/350,556, filed Nov. 14, 2016, issued on Aug. 20, 2019 under patent Ser. No. 10/387,696, which is a continuation of U.S. Ser. No. 12/671,924, filed Feb. 3, 2010, issued on Nov. 15, 2016 under U.S. Pat. No. 9,492,690, which claims benefit of national stage filing under 35 U.S.C. 371 of PCT/US2008/067473, filed Jun. 19, 2008, which claims benefit of provisional application No. 60/999,746, filed Aug. 31, 2007, the disclosure of which is incorporated by reference in their entirety herein. Generally, this disclosure relates to methods and systems for determining the conditions of components, particularly the disclosure relates to methods and systems for determining conditions of components removably coupled to articles of personal protection equipment (PPE), by tracking their usage in a monitored working environment against a predetermined criterion, such as a change-out protocol. Maintaining the safety and health of workers is a major concern across many industries. Various rules and regulations have been developed to aid in addressing this concern, which provide sets of requirements to ensure proper administration of personnel health and safety procedures. To help in maintaining worker safety and health, some individuals may be required to don, wear, carry, or otherwise use a PPE article, if the individuals enter or remain in work environments that have hazardous or potentially hazardous conditions. Known types of PPE articles include, without limitation, respiratory protection equipment (RPE), e.g., for normal condition use or emergency response, protective eyewear, such as visors, goggles, filters or shields, protective headwear, such as hard hats, hoods or helmets, hearing protection, protective shoes, protective gloves, other protective clothing, such as coveralls and aprons, protective articles, such as sensors, safety tools, detectors, global positioning devices, mining cap lamps and any other suitable gear. For example, personnel in the nuclear industry may be required to wear radiation protective clothing and personal dosimeter devices. Law enforcement personnel are sometimes required to wear protective vests and helmets. There are numerous situations in the medical field in which healthcare workers must wear protective gowns, masks, face shields, gloves, etc. Workers in the food service industry are often required to wear hair netting, gloves, masks, etc. For example, there are also many industrial manufacturing scenarios in which personnel are required to wear protective or other specially designed articles in order to ensure a “clean” environment. For example, personnel in the micro-electronics manufacturing industry, biotech industry, laboratory/testing industry, are required to wear PPE articles not only to ensure their own safety, but to protect the equipment and devices which they assemble or perform various procedures with. There are also many industrial manufacturing scenarios in which personnel working in mines, oil refineries, metal grinding facilities, smelting facilities, industrial painting operations or pharmaceutical factories may be required to wear respiratory protection equipment (RPE). There are many different kinds of respirators (e.g., RPE) utilized to prevent or reduce inhalation of hazardous or toxic materials. These RPE articles include, without limitation, components, for example, air-purifying filters, cartridge components, or canisters that remove specific air contaminants by passing ambient air through their air-purifying element. Typical chemical respirators use replaceable filter cartridge components that are coupled. Their proper use is contingent upon the respirators including the cartridges/canisters being replaced before they fail or that the correct types of respirators are to be used. However, many traditional respirators that include replaceable cartridges/canisters, typically, do not include any mechanism of indicating when their ability to remove contaminants from the air has been reduced. Therefore, to ensure their replacement before they fail or are otherwise in need of further processing, several U.S. guidelines require use of end of service life indicators. Presently, the availability of end of service life indicators is rather limited. Alternatively, a commonly utilized change-out schedule for respirators is based upon the identity and concentration levels of compounds expected to be encountered within the workplace over a period of time. Typically, a change-out schedule is based on an initial determination of average exposure and the corresponding duration of the component to that exposure. This initial determination establishes a required time period of service life. The user or an authorized person documents the first day of usage and keeps track of the required time period for purpose of determining when the component is not usable and needs to be disposed or otherwise processed. Clearly, the making and keeping of extensive records that contain all of the above-referenced information present a substantial administrative task. Moreover, facilities in which workers wear PPE articles are often required to keep detailed records regarding the PPE articles as well as the individuals wearing the PPE articles. Some such records include information regarding use of PPE articles, maintenance, and condition of PPE articles, as well as training of the workers to use the PPE articles. In addition, records of certain mandatory regulations and compulsory audit histories must be kept. For example, in some cases, RPE articles require maintenance to be carried out by properly trained personnel at least every three months and after each use. Despite the extensive records that are required to be collected regarding PPE articles and their associated components, adherence to various predetermined criteria, including a change-out criterion, is typically the responsibility of the user. Thus, compliance with a particular criterion may become an issue in work environments involving relatively large numbers of workers and/or respirators because of the relative difficulty in tracking worker habits and diligence. Clearly, workers are at a higher risk of exposure upon breakthrough of the contaminants when schedules are not adhered to. Thus, there is a need for electronic methods and systems that could make the implementation of determining condition of components easier and more efficient, particularly in regard to tracking of components that are removably coupled to PPE articles. In one exemplary embodiment, the present disclosure is directed to a method of determining a condition of a component coupled to an article of personal protection equipment wherein the method comprises: providing at least one component removably coupled to an article of personal protection equipment; providing at least one smart tag coupled to the component or the personal protection equipment article; tracking usage of the component, wherein the tracking comprises retrieving data from the smart tag; and, determining a condition of the component based on comparing tracked usage data of the component against at least one predetermined criterion. In another exemplary embodiment, the present disclosure is directed to a system of determining a condition of a component coupled to an article of personal protection equipment. The system comprises: at least one article of personal protection equipment; at least one component removably coupled to the article of personal protection equipment; at least one smart tag coupled to the component or the personal protection equipment article; a system for retrieving data from the smart tag; a data processing system coupled to the data retrieving system; wherein the data processing system includes a mechanism for determining a condition of the component based on comparing tracked usage data of the component against at least one predetermined criterion. The present disclosure substantially reduces the drawbacks and shortcomings of the known approaches for determining the conditions of components that are removably coupled to PPE articles. The foregoing is achieved through a method and system that determines conditions of such components by using at least a smart tag coupled with the component or the PPE article removably coupled to the component so as track usage of the component. Tracking is accomplished by retrieving data from the smart tag and determining a condition of the component based on comparing the tracked usage data of the component to at least one predetermined criterion. FIG. 1 illustrates a block diagram of a component condition determining system 100, according to one exemplary embodiment of the present disclosure. The component condition determining system 100 includes an information retrieval system 102 networked to a computer system 150. The component condition determining system 100 is utilized for implementing a process for determining a condition of one or more accessories or components 110a-n (collectively, 110). The components 110 are of the type that are removably coupled to one or more articles, such as articles of personal protection equipment (PPE) 120a-n (collectively, 120). The removably coupled components 110 and the PPE articles are to be used in one or more working environments 125 (only one is illustrated). Exemplary working environments include, without limitation, paint shops, petrochemical refineries, mines, smelting facilities, pharmaceutical factories, or the like. The term “coupled” as used in the present application means that a component is physically or operatively coupled to a PPE article so that they can function together. In an illustrated exemplary embodiment, the PPE article 120 is an article of respiratory protective equipment (RPE) 120, and the removable coupled accessory or component 110 is a respirator filter cartridge 110. For example, the RPE article 120 may be a 7502 half face piece respirator that is commercially available from 3M Company of St. Paul, Minn. The respirator filter cartridge component 110 may be a 6001 Series organic vapor cartridge that is commercially available from 3M Company of St. Paul, Minn. The present disclosure is not limited by the foregoing combination of removably coupled components and PPE articles, but envisions all suitable combinations. Other known types of components 110 that may be tracked according to the present disclosure include, without limitation, a nose piece, a valve cover, a strap assembly, a face piece, a hood, a helmet, a motor, a hose, a filter of a welding helmet, a visor, power supply, a lighting mechanism, such as a mini-cap lamp, etc. The components may be removably coupled to the PPE article through any appropriate mechanical mechanism including, without limitation, snap-fit connections, such as one that prevents improper connection; hook and loop mechanisms; repositionable adhesives; clips; slots; threaded screw-in connections; bayonets; as well as other known and suitable approaches. Other known types of PPE articles 120 that may be coupled to the components 110 include, without limitation, respiratory protection equipment (RPE), e.g., for normal use or emergency response, protective eyewear, such as visors, goggles, filters or shields, protective headwear, such as hard hats, hoods or helmets, hearing protection, protective shoes, protective gloves, other protective clothing, such as coveralls and aprons, protective articles, such as sensors, safety tools, detectors, air or liquid sampling devices, global positioning devices, mining cap lamps and any other suitable gear. Accordingly, any wide number of suitable combinations may be tracked according to the present disclosure. The component condition determining system 100 essentially tracks usage of smart tags attached to either the removably coupled component used with PPE articles or the PPE article that is known to be coupled to the component of interest, or both. In one exemplary embodiment, the information retrieval system 102 includes one or more smart tags 130a-n (collectively, 130); one or more data acquiring devices 140a-n (collectively, 140) that acquire data from the smart tags; and, one or more sensors 145a-n (collectively, 145) that, as will be described, sense for variables that are related to usage of the component being tracked. Given the number of different kinds of smart tags, data acquiring devices, and sensors that can be used, there exists a large number of combinations for the system 102 that can be constructed depending on the type of components and PPE articles to be tracked. Accordingly, the exemplary information retrieval system 102 is but one of many different and suitable types. The present disclosure contemplates use of any suitable smart tag known in the art. In one exemplary embodiment, the smart tag 130 may be attached to a component. In another exemplary embodiment, the smart tag 130 may be attached to an article of PPE for use in determining the condition of the removably coupled component. Essentially, a smart tag is a data carrier that carries data accessible by suitable methods, including, but not limited to, electronic, optical, or other wireless technology. Data on a smart tag may, typically, at least, include tag identification information, such as an identification number (e.g., serial number). In addition, the smart tag 130 may contain other information relating to the article of PPE 120 or its component(s) 110, such as the type of article and/or component(s) used; historical information relating to the article and/or the component(s), information about the user (who used it, where it was used, under what condition it was used, etc.), maintenance or other type of processing, information about who wrote information onto the smart tag; any requirements relating to the article, component(s) and/or their use, whether any such requirements have been satisfied, such as any certifications obtained, and any other useful information, such as component change-out history, or the working environment. Also, information regarding the user of the article of PPE may be on the smart tag 130; such as, medical information, information relating to fit-testing, training, job responsibilities, seniority or experience, access privileges or any other information. Smart tags include passive and active types. Generally, passive tags do not include an internal power source and the data carried thereby may be encoded at manufacture. Data information may be acquired from a passive smart tag, for example, by radio frequency, microwave, infrared, or other wireless modes; or by optical readers or other appropriate electronic or optical technology. One type of passive smart tag is radio frequency identification (RFID) tag, wherein a transponder carries read-only data. Another type of passive smart tags may be rewritable. RFID technology is known and understood by those skilled in the art and, hence, only a brief description is included herein for facilitating understanding of the present disclosure. Passive RFID type smart tags are typically provided in the form of small labels or the like that include a coiled, etched or stamped antenna, a capacitor, and a substrate on which the components are mounted or embedded. For some metallic smart tags, the metallic portion itself may serve as the antenna. The RFID type smart tag may be embedded in or attached to the components 110 and/or PPE articles 120 by any suitable approach. For example, the smart tags may be joinable as by being adhered, fastened, sewn, friction fitted, mechanically clipped, welded (e.g., ultrasonically) or molded, etc. onto or into the components, included as an integral component of the article or securely attached by any suitable means. Besides passive RFID smart tags, other passive smart tags may include, without limitation, optical kinds including barcode and optical character recognition systems; electromagnetic systems; and acoustomagnetic systems. On the other hand, active smart tags tend to carry their own internal power source as well as data, and an appropriate antenna for allowing exchanging of their data. The internal power supply may include a micro-battery, a thin film battery, or the like. Active smart tags may be reprogrammable and may include, besides an antenna, a microchip to receive and store additional information beyond the information contained in its fixed code. Active smart tags may exchange their data information with data acquiring and/or transmitting devices, such as including, without limitation, readers and/or writers, scanners, and/or data receivers, such as wireless receivers. The exchange may be initiated by the active smart tag itself once it finds a suitable or designated, reader, scanner, or receiver. The active smart tags may transmit their data in response to triggering or interrogating signals, they may actively transmit their data independent of such signals. For instance, the active smart tags may continuously or periodically transmit data to appropriate readers and/or writers, scanners, or receivers. As noted, some active smart tags include the capability to receive and store additional information beyond that contained by its encoded data. Other kinds of active smart tags may be configured to be rewritable. For instance, an active RFID smart tag may be rewritable, as by an RFID reader/writer. Other kinds of active smart tags include a real time location system (RTLS) smart tag. An RTLS active smart tag is an active tag having a transmitter and a receiver and it communicates with a network according to a particular protocol. RTLS systems can work to determine the position of the smart tag in a 2-dimensional or 3-dimensional space. For example, a RTLS smart tag generally uses one or both of the following wireless location-based methods for determining the position of a smart tag or the object the tag is attached to. The first is a Time Difference of Arrival (TDOA) method. In one implementation of this method, the smart tag will broadcast a signal to multiple wireless receivers 140 at known locations. The time at which the signal is received by each receiver is measured, and a set of equations can be used to determine the position of the smart tag. Examples of systems using this method are a global positioning system (GPS) or a system using low frequency radio transmitters that use the time interval between radio signals (LORAN). Another example is an active smart tag used in a WiFi system that determines how long a signal takes to reach a receiver. Other companies that use this principle for RTLS systems are AeroScout Inc., Redwood City, Calif.; NanoTron Technologies, GmbH, Berlin, Germany; WhereNet, Santa Clara, Calif.; and, Multi Spectral Solutions, Inc., Germantown, Md. A RTLS may also use a Received Signal Strength Indicator (RSSI) method. This latter method requires tags or fixed transceivers to measure the received power (signal strength) of the incoming signals. Then, using either known variations of signal strength vs. distance from transmitters, or by measuring the signal strengths at various locations and matching these measured strengths to the measured strengths, position can be determined. Other companies that provide commercially available products using the RTLS system include Wavetrend, Fairfax Va., and PanGo Networks, Framingham, Mass. One example of an active smart tag suitable for use in an RTLS system is an Ekahau™ smart tag, which communicates with wireless receivers in a wireless local area network (WLAN) through IEEE 802.11b and 802.11g standards. The Ekahau™ smart tag is commercially available from Ekahau, Inc., Reston Va. and may be used in the present exemplary embodiment. Other examples of suitable smart tags may be provided, and include those, such as described, in U.S. Pat. No. 6,853,303, which is incorporated herein. As noted, the data from the smart tag may be acquired by data acquiring devices 140, such as readers 140, readers/writers 140, scanners 140, or receivers, such as wireless receivers 140, as well as other suitable devices. A reader or scanner may include an antenna for transmitting a trigger signal to a smart tag and receiving a return signal from the tag containing information. The data acquiring devices 140 may be placed in any one or more of the critical spots of the process including but not limited to the area where the components 110 and/or PPE articles 120 are handed out to the individual. In some exemplary embodiments, one or more data acquiring devices 140, such as readers or scanners 140 are hand-held. For example, a receiver 140 may be a wireless node of a wireless local area network (WLAN) that may provide internet access point. The readers 140 may be linked to a remote programmable electronic system 150 through the network 160. The programmable electronic system 150 includes functionalities that enable tracking usage of the components against at least a predetermined criterion, such as a in the exemplary embodiment a change-out criterion. These predetermined criteria may include, but are not limited, to circumstances regarding the components in terms of their servicing, repairing, cleaning, maintaining, decontaminating, or other processing. For example, change-out may occur if: the time weighted exposure level of the component in the working environment exceeds a threshold value(s); the concentration level(s) of particular contaminants exceed threshold value(s); the presence of unexpected contaminants in the working environment; persons with particular profiles should not be exposed to various contaminants; particular kinds of PPE articles should not be used when certain contaminants are present, or concentration and exposure thresholds exceeded. As illustrated in FIG. 1, the reader 140a may be stationed at the entrance of the work environment 125 and acquires relevant data of the wearer; component 110, and the PPE article 120, such as at the start of the workday or shift and at the end of the work day or shift. The readers may be in several other locations, such as where the components are removably coupled to the PPE article. This information is sent to a database of the computer system 150 for the purpose which will be described. Alternatively or additionally, one or more readers 140 may be located within the actual work environment 125 so as to provide opportunities for wearers obtaining readings in the work environment 125. Alternatively or additionally, a portable reader 140 may be utilized (see FIG. 5), such as when the PPE 120 and the component 110 are issued prior to entering the work environment. A typical portable reader 140 may have a display 132 and keypad 134 for data input and are wirelessly connected to the network 160. The portable reader 140 may be used when the tagged components or PPE article are in the work environment 125 or uncoupled to the PPE article 120 at the end of a work shift. The present disclosure does not place limitations on the locations or timing of reading of the tagged components or PPE article. Exemplary suitable sensors 145 of some exemplary embodiment may include, without limitation, measurement of the following analytes/parameters: electromagnetic radiation (such as thermal and visible), ionizing radiation, nuclear radiation, chemicals (such as liquids, solids, vapors, gases and mists/aerosols), biological analytes, particulates, noise, heat stress, motion, as well as others. The transducers may be of the electrical or optoelectronic type. The sensors 145 may be mobile or stationary in the work environment and connected, as for example, by wireless to the network. In a mobile mode, the sensors 145 may be disposed on the PPE or on the component. The sensed information data is generally related to the usage of the component being tracked as will be explained. The data, as noted, concentration levels, types of contaminants, presence or absence of contaminants, insufficient or no current to run a circuit of the component, inadequate pressure for a SCBA, insufficient or no battery power, breakthrough of a chemical through a filter, or inoperable safety mechanisms. The present disclosure is not limited by these examples since what is sensed encompasses all known factors that may relate to the condition of a component that is to be coupled to PPE articles. The network 160 may include, without limitation, a local-area network (LAN), wide area network (WAN), the internet, or a wireless network, such as a wireless local area network (WLAN). The programmable electronic system 150 may represent any type of computer system, programmable logic devices, or the like. The computer system 150 may include server computers, client computers, PC-based servers, minicomputers, midrange computers, mainframe computers; or other suitable devices. In some exemplary embodiments, the computer system 150 may include portable computer systems including laptops, handheld computer systems. In addition, the system 100 may include one or more local computer systems 170 located in the work environment 125. As such, workers may be able to obtain pertinent data, for example, a real-time assessment of the condition of the component while in the work environment 125. The local computer system 170 typically includes portable computer systems including laptops, handheld computer systems. The local computer system 170 may also include other computer systems, such as, client computers, PC-based servers, minicomputers, midrange computers, mainframe computers; or other suitable devices. With continued reference to FIG. 2, there is depicted a server computer system 150. It is depicted as comprising at least one system interconnect bus 180 to which various components are coupled and communicate with each other. Coupled to the system interconnect bus 180 is at least a single processor unit 182, storage device 184, memory such as random access memory (RAM) 186, read only memory (ROM) 188, a relational database management system (DBMS) 189, and input/output (I/O) ports 191. The relational database is a computer database management system 189 controlling the storing, updating, and retrieving of data to database files for use in tracking usage of components against one or more predetermined criteria. The database files contain all relevant information pertaining to the operational parameters of the readers. Furthermore, one or more output devices 192 such as a display, as well as one or more user interface input devices 194, such as a keyboard and/or pointing device is respectively coupled to the I/O ports 191. In known fashion, the output and input devices 192 and 194; respectively permit wearer interaction with the computer system 150. The I/O port 191 typically includes various controllers (not shown) for each input device 194, such as a keyboard, mouse, joystick, and the like, as well as the output device 192, such as an Ethernet network adapter, infrared device and display (not shown). The processor 182 controls the input device 194 which provides a user interface for allowing a wearer to access information, such as usage history of components being tracked. The processor unit 182 may be any suitable processor and sends and receives instructions and data to and from each of the computer system's components that are coupled to the system interconnect bus 180 to perform system operations based upon the requirements of the computer system's operating system (OS) 196, and other specialized application programs 198a-198n (collectively 198). The ROM 188 typically controls basic hardware operations. The storage device 184 may be a permanent storage medium, such as a hard disk, CD-ROM, tape, or the like, which stores the operating system 196 and the specialized applications programs 198. The RAM 186 is volatile memory. The contents of the RAM 186 may be retrieved from the storage device 184 as required. Illustratively, the RAM 186 is shown with the operating system 196 and application programs 198 concurrently stored therein. The program code of the operating system 196 and/or application programs 198 is sent to the RAM 186 for temporary storage and subsequent execution by the processor 182. Additionally, the RAM 186 is capable of storing files from the operating system 196, as well as files from one or more application programs. An information retrieval system application program(s) 198a is one typically utilized for controlling operations of the information retrieval system 102 including the functionalities described herein with respect to the smart tags 130, data acquiring devices 140, and sensors 145. Provision is made for a suitable database management system application 198b to run the database 189 in a manner consistent with the present disclosure. Also, provision is made for an establish predetermined criteria application 198c. This may, in some cases, be a software application provided by a manufacturer of the components or PPE article that are to be tracked. In some exemplary embodiments, this software application may be used to establish conditions for proper usage of the component or PPE article as determined by the rules and regulations established by the government, insurance company or other entity interested in the results. The establish condition determining application 198c is updatable to establish a new or current criteria related to actual conditions of the component in the working environment, as for example, by using the data acquired. A report generating application 198d is provided that may generate reports containing a variety of data in different reporting formats tailored for purposes including those described below. These reports may be generated to allow workers, supervisors, health professionals to access the history and status of components and/or articles; their medical information, information relating to fit-testing, training, job responsibilities, seniority or experience, access privileges or any other information, history of component servicing, maintenance, change-out, as well as other information. The determining component condition application 198n of the present disclosure enables determining the conditions of the tagged components following retrieval of tag information against predetermined criteria established by the establish predetermined criteria application 198c. Reference is made to FIG. 6 for illustrating one exemplary embodiment of a tracking process 600 that may be implemented by the component condition determining system 100. The tracking process 600 enables the condition of a component 110 that is tagged with a smart tag 130 to be determined based on comparing its tracked usage against at least a predetermined criterion which in the exemplary embodiment is a change-out condition of a filter cartridge 110 relative to a respirator (RPE) 120. Alternatively, the present disclosure also envisions that the PPE article 120, that is to be coupled to the component 110, may be tagged with the smart tag towards the end of determining the condition of the component. Such circumstances may arise if the component is not easily tagged or cannot be tagged. The term “condition” as utilized in the present application means the particular state of one or more factors that affect the operational life or usefulness of one or more component(s) utilized as accessories for PPE articles. In a Sense Initial Condition block 610 of the tracking process 600, sensing is performed by one or more of the sensors 145. In this embodiment, the type of component being tracked determines which variables in the working environment should be sensed and, therefore, which sensors to be used. Since filter cartridges are being tracked in this exemplary embodiment, the sensor 145 is of the type that collects data bearing upon the component's condition. In particular, concentration levels of particular hazardous materials over a period of time may be sensed. As will be explained, the concentration levels assist in establishing a predetermined criterion regarding the condition of the tagged component. The initial data collected may reflect low, average, and peak concentration levels of the particular hazardous material(s). While hazardous materials are being monitored in the exemplary embodiment, the present disclosure envisions that there are no limits on the variables that may be sensed and the relationship these variables have in determining the condition of the component. For example, variable factors relating to other aspects of usage of a component may include: charge of a battery, amps in a circuit, circulating air pressure of a filter and/or respirator. The tracking process allows this data to be forwarded to the database. The tracking process 600 then proceeds to Retrieve Criteria block 620, whereat the establish a predetermined criteria application 198c retrieves the appropriate criteria for the component being tracked. If the exemplary component being monitored is a filter cartridge, the pertinent criterion (or criteria) that is relevant to the condition of the filter cartridge is selected. The set of criteria is stored in memory. The set of criteria may be obtained from many different sources that provide guidance on the proper usage of the component. The set of criteria may be downloaded, for example, from the internet. Typically, the manufacturer of the component may provide the set of criteria relevant to the condition of the component. The set of criteria may be developed by government, industry, the company operating the system 100, an insurance company, a standards body, and persons of interest, such as a safety officer, industrial hygienist, or the like. In one exemplary embodiment, the set of criteria may relate to minimum or maximum exposure times that a filter cartridge or respirator may safely operate. Another example of a set of criteria relates to proper battery charge of a component relative to acceptable limits of performance of the component. Still another example of a set of criteria governs use of when a filter cartridge component should be serviced, repaired, or otherwise treated is based on inadequate pressure exists in a self-contained breathing apparatus (SCBA). Following the Retrieve Criteria, block 620, the tracking 600 proceeds to an Establish Predetermined Criteria block 630. In the block 630, the initial data that may be sensed in the block 610 is processed in the database by the establish predetermined criterion application 198c. As a result, a predetermined criterion for the component 110 may be established in the actual working environment. In such exemplary embodiments, the predetermined criteria application(s) 198c analyzes the collected monitored data in terms of the set of criteria the rules retrieved in the block 620 to determine the predetermined criterion that will determine the condition of the component during actual operation in the working environment is satisfied. For example, based on the initial concentration levels in work environment, then a maximum exposure time for the filter cartridge may be determined. The predetermined criterion takes into account what the exposure time should be for the filter cartridge in the work environment. The tracking 600 may further include a Reporting block 640 that follows the Establish Predetermined Criteria block 630 under the control of the reporting application 198b. The Reporting block 640 is capable for generating a report relevant to a wide variety of subjects including, but not limited to, the condition of the component, the worker, the PPE article, the initial sensed data, the work environment, and other pertinent information. Typically, the Reporting block 640 generates a report in a format acceptable by an entity requesting the report, for example, the business entity using the system 100, or a governmental agency, such as OSHA. While the Report block 640 follows Establish Predetermined Criteria block 630, reports may be generated at any one or more other points in the process. The reports may be generated by the workers or other persons of interest or even in response to requests by the government. The reports generated may be transmitted across the internet as well. There is no time limit to generating the reports. The tracking process 600 proceeds to a Retrieve Tag Information block 650. In this embodiment, the system 102 retrieves or acquires the data, as noted above, from the smart tags 130 by the data acquiring devices 140, such as a receiver 140, as well as the sensors 145. The smart tag 130 of this embodiment may be an Ekahau™ type to provide location information as well as the data of the smart tag. Other smart tags can be provided. The receiver 140 may be located in any number of places, such as the entrance to a work environment 125. In particular, retrieving information from the smart tag 130 may provide data as to when and where the wearer enters the working environment, exits the working environment, or passes another location. Optionally, in order to identify the wearer, the latter may present his/her badge to an appropriate data acquiring device 140. The smart tag 130 or the badge may also include other data regarding the wearer, such as medical, fit test, job description, seniority, training, and other qualifications. The retrieved data is forwarded to the database 189 of the computer system 150, and, if operational, the local computer system 170. The data may include the identification of an article, date, and or timestamp, as well as the location of the data acquiring device. The present disclosure envisions that the retrieving of tag information may occur more than once and at any suitable number of different points in the tracking process. The tracking process 600 then may proceed to the Sense In Work Environment block 660. In the Sense In Work Environment block 660, the sensor 145 is operable for providing current sensed data, for example, regarding current concentration levels of benzene vapor, in the work environment 125. This data is forwarded to the database. The tracking process 600 then may proceed to the Update Criterion block 670. In the Update Criterion block 670, the data from the database from the sensor 145 is acted upon by the establish predetermined criteria application 198c, where a new analysis is conducted to determine whether an update predetermined criterion is to be used. Such updating enhances the overall advantages provided by the present disclosure. While the Sense In Work Environment block 660 and the Update Criterion block 670 are illustrated, they need not be present used in the tracking process 600. In such a case, the process 600 may proceed to the Determine Condition of Component block 680. The tracking process 600 then may proceed to the Determine Condition of Component block 680. In the Determine Condition of Component block 680, the condition determining application 198n determines if the condition of the component satisfies the initial or updated criterion. In particular, in an exemplary embodiment, a determination is made as to whether a filter cartridge has an exposure time that exceeds the recommended exposure time of the component in the working environment as determined in the Establish Predetermined Criterion block 630. In the exemplary embodiment, in the Determine Condition of Component block 680, the filter cartridge has satisfied the change-out condition (i.e., Yes) if its actual exposure time does exceed the recommended exposure time, when compared to the recommended exposure time, indicated in the Establish Predetermined Criteria block 630 or the Updated Criterion block 670. Conversely, the change-out condition is not satisfied (i.e., No) if the actual exposure time does not exceed recommended exposure time as determined in the Establish Predetermined Criteria block 630 or the Updated Criterion block 670. The determining may further include determining the extent-of-service life remaining for the component in the one or more working environments. The tracking process 600 may also include a Communicate block 685, whereat compliance or non-compliance is communicated, using any known communication methodology, to appropriate persons, or reporting entities. Such a communication may be transmitted to the user, the database, the user's supervisor, industrial hygienist or other appropriate personnel. The process of this block may be occurring at other times. In one exemplary embodiment, such determinations may be made as a message to display screen of the computer or to a personal digital assistant (PDA). It will be appreciated that other suitable software applications may be used to provide such communication. In one exemplary embodiment, such communications may be made as a message to display screen of the computer or to a personal digital assistant (PDA). It will be appreciated other suitable software applications may be used to provide such communication. In some exemplary embodiments, such communications may include an alarm or audible signal to appropriate persons including the user and/or supervisor. The tracking process 600 also includes a Process Article block 690 that may follow the Communicate block 685. A wide variety of processes may be performed to handle the article or component, such as cleaning, refurbishing, disposal, maintenance or the like of the article or component. A wide variety of disposal methods are contemplated, for example, being displaced in a bin, this will ensure that the component will not be used until some other steps are undertaken. The tracking process 600 may then proceed to Verify Processing block 695. In the Verify Processing block 695, a data acquiring device 140 may be stationed adjacent to the processing area, such as a disposal bin, for acquiring relevant identification data from its smart tag 130 that the processing of article or component has been verified. The verification data is transferred to the server's database for storage in the internal memory and subsequent use. As a consequence, processing is duly recorded in the database. The following examples are prophetic examples using the principles of the present disclosure. In this example, the system includes a respirator cartridge component tagged with a passive smart tag, such as an RFID tag, a tag reader at a (portal) stationed at the entry of a work area (e.g. paint booth). The database stores information when the smart tags are read at the tag reader. Safety personnel/workers may access or use the information by a computer system in the work environment that is configured to allow safety personnel/workers to obtain a change-out determination or obtain other data while in the work environment. Within an automotive paint shop, methyl ethyl ketone is identified as a principal organic vapor hazard. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. Based on air sampling data, a time weighted average (TWA) concentration of 300 ppm MEK is sensed. Based on change-out software calculations, an 8 hr. shift change-out schedule is put in place. A worker dons a respirator RPE with new filter cartridge components at the beginning of the working day. The filter cartridge components may be labeled with passive Smart tags (as shown in FIG. 3). At the time of issue of the filter cartridge components, the smart tags may be encoded with the identity of the wearer. On the way into the paint booth, the worker passes through a tag reader as illustrated in FIG. 4. The smart tags are read and a time point is entered in an associated database to mark the beginning of use. Throughout the working day, additional time points may be entered for the specific tags when the individual passes through the portal. At the end of the working day, the respirator is stored outside the paint booth. The following day, the worker dons the same respirator and proceeds through the portal into the booth. The smart tags may be read, and the time data within the database are to be used to determine that exposure time for the component has been exceeded and a change-out condition is present. Any suitable user warning device, such as an audible beep, notifies the wearer that the filter cartridge components have been used beyond baseline conditions. Such information is recorded and stored in the database, enabling review by the safety coordinator. In this example, the system comprises the following: respirator cartridge components tagged with passive RFID smart tags; a portable tag reader utilized within a central respirator storage location; a database which stores information when tags may be read; and a software interface which allows safety personnel/workers to access the use or tracking information and history. Within a petrochemical refinery, benzene vapor is identified before use of the smart tags as a contaminant. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. The presence of benzene makes change-out after an 8-hr. work shift a requirement. Respirators may be kept within a common area of the facility, and the supply person uses a portable tag reader (FIG. 5), with which he reads the smart tags before a worker takes the respirator to begin work. At the time of issue of the cartridge components, the smart tags may be read and linked to the identity of the wearer, and an initial time point is entered in an associated database to mark the beginning of use. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. If the cartridge components have not been disposed of, an audible sound will cue the supply person and worker the following day when they are added to the respirator and read again prior to reissue. A system, as in Example 1, is utilized to track filter cartridge component change-out. In this instance, however, re-writable RFID smart tags on the component may be employed so that the time data may be logged on the smart tag rather than in a database, each time the individual passes through the portal. A time interval greater than 8 hours after the initial tag reading triggers an alert to the wearer that cartridge components must be changed by the change-out conditions determining mechanism. A system, such as in Example 2, is utilized to track cartridge component change-out. The cartridge components may be again read by a supply person prior to issue to the worker. In this instance, however, an additional reader is placed on a common waste barrel where cartridge components are disposed of. Each cartridge is read as it is placed into waste so that disposal within a single work shift is ensured. Cartridge components that remain in use beyond a single shift trigger an electronic alert notice to the supply person, worker, safety personnel, and/or the industrial hygienist. In this example, the system comprises the following: respirator face pieces tagged with re-writable RFID smart tags; a portable tag reader utilized within a central respirator storage location; disposable 60921 P100/OV cartridge components for protection against organic vapors. In this embodiment, the cartridge is tagged although it need not be. Within a petrochemical refinery, benzene vapor is identified as a contaminant. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. The presence of benzene makes change-out after an 8-hr. work shift a requirement. Respirators may be kept within a common area of the facility, and the supply person uses a portable tag reader (FIG. 5), with which he/she programs the re-writable RFID tag on the respirator face piece before the worker takes the respirator to begin work. The smart tag is programmed with the identity of the wearer, and an initial time point/date to mark the beginning of use of fresh respirator cartridge components. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. When the utilized cartridge components are disposed of and replaced with fresh ones, the smart tag is re-programmed to log the change-out and the new start time point. If the cartridge components are not disposed of (and the smart tag reprogrammed), a beep/visual from the reader will cue the supply person and worker the following day when the smart tagged face piece is read again prior to reissue. In this example, the information retrieval system 100 comprises the following: respirator cartridge components tagged with passive RFID smart tags; a portable tag reader utilized within a central respirator storage location; a database which stores information when tags are read; a fixed wireless chemical sensors (PID sensors) that stream data to the database; a software interface which allows safety personnel/workers to access the use information and history. Within an automotive paint shop, methyl ethyl ketone (MEK) is identified as a principal organic vapor hazard. For respiratory protection, workers use 6000 series half face piece respirators equipped with 60921 P100/OV cartridge components. A worker dons a respirator with new cartridge components at the beginning of the working day. Both cartridge components may be labeled with passive RFID smart tags (as shown in FIG. 3). At the time of issue of the cartridge components, the smart tags may be read, and the time of issue and identity of the wearer may be stored within the database. During the workday, wireless chemical sensors placed throughout the shop record and stream concentration data on the MEK vapor to the same central database that maintains the smart tag information. The chemical concentration data may be utilized to update the change-out conditions by calculating remaining service life and timing for change-out of the respirator cartridge components utilized by employees within the facility. At the end of the workday, the respirator is checked back in to the common storage/maintenance area. The smart tags on the cartridge components are read, and if the duration of issue exceeds the calculated service life, a visual cue in the software interface will indicate the need to change the cartridge components. The system of Example 6 is utilized to track cartridge components and to monitor the environment so as to calculate cartridge service life. In this instance, however, the wireless chemical sensors are worn on the individual workers, so that the chemical concentration data for a particular individual may be utilized to allow the establish change-out conditions application to calculate that person's unique remaining cartridge service life. The passive RFID smart tags are employed as described in Example 6 for tracking appropriate disposal and issue of fresh cartridge components relative to the calculated individual change-out schedule. It will be appreciated that based on the above description, aspects of the disclosure include methods, systems, and computer program products for determining change-out conditions for component joinable to articles, such as articles of personal protection equipment (PPE), by tracking their usage in monitored working environments against predetermined change-out criteria. Further aspects of the disclosure include methods, systems, and computer program products utilized for ensuring worker safety, and providing for appropriate change-out of components. Still further aspects of the disclosure include methods, systems, and computer program products utilized for achieving the foregoing economically and expeditiously. It will be appreciated that numerous and varied other arrangements may be readily devised in accordance with these principles by those skilled in the art without departing from the spirit and scope of the invention as claimed. Although the methods and system of the present disclosure have been described with referent to specific exemplary embodiments, those of ordinary skill in the art will readily appreciate that changes and modifications may be made thereto without departing from the spirit and scope of the present invention.
050874101
abstract
A method of avoiding localized hydrogen build-ups in the atmospheres of safety tanks of reactors, especially light water reactors, is provided. After a break down that is accompanied by loss of coolant has occurred, the cooling water temperature in the sump of the safety tank is adjusted in the phase of the long term cooling to a temperature that is high than the air temperature in the dome of the safety tank, with this temperature adjustment being effected in such a way that an adequate intermixing of the atmosphere in said safety tank is effective in a convective manner.
summary
abstract
A method of determining the void rate in a biphase gas/liquid medium, corresponding to the volume fraction of gas corresponding to the presence of bubbles in the liquid medium in a total volume of gas and liquid, comprises: deployment of a bulk elastic wave resonator in contact and coupled acoustically with the biphase medium; measurement by nonlinear resonant ultrasound spectroscopy of the biphase medium comprising the scanning in terms of frequencies and amplitudes in a given range of frequencies and in a given range of amplitudes, of bulk elastic waves emitted and detected at said resonator placed in said medium and leading a set of resonance curves exhibiting maxima; determination of a straight line defined by the set of maxima of said curves and of the slope of said straight line; determination of the void rate on the basis of said slope. The method may be applied to a nuclear reactor.
049869558
summary
FIELD OF THE INVENTION The invention relates to a device and a method for removing peripheral rods from a fuel assembly of a nuclear reactor and, in particular, fuel rods which have at least one zone of breakage and comprise several pieces along their length. BACKGROUND OF THE INVENTION The fuel assemblies of water-cooled nuclear reactors, such as pressurized-water nuclear reactors, comprise a framework in which fuel rods of great length are disposed in order to form a bundle. The framework comprises spacer grids which are spaced relative to one another along the length of the assembly and connected together by guide tubes. End joining pieces are connected to the end of the guide tubes, which are longer than the fuel rods. Each of the spacer grids comprises an assembly of cells each intended to receive a fuel pencil and disposed in a regular network, generally a squared mesh. At the level of each of the cells intended to receive a fuel rod, the spacer grids comprise means for gripping the rod, while also ensuring both transverse retention and longitudinal retention of such rod. These gripping means generally consist of bosses projecting inwards relative to the walls of the cell of the grid and of springs consisting of resilient elements formed in the metal of certain walls of the cells of the grid or, alternatively, connected to these walls. After a greater or lesser residence time in the tank of a light-water nuclear reactor, the rods of the fuel assemblies may become brittle due to oxidation phenomena or due to transformations suffered by their sheaths under irradiation. The sheaths of certain rods may be perforated or torn by a peripheral guide fin of a spacer grid which has accidentally been folded inwards or by a foreign body conveyed by the fluid for cooling the reactor circulating in contact with the fuel rods. The fins or the foreign bodies may be encrusted in the sheath which is then deformed or perforated in the corresponding zone. It is necessary to perform repairs on fuel assemblies comprising rods whose sheath is perforated or torn, by removing these rods and replacing them with new ones. Removal is performed by pulling the rod via its upper end plug after having removed the upper joining piece of the assembly. Rods may also be removed and replaced by removing the lower joining piece. To this end, fuel assemblies of recent design comprise joining pieces whose elements for fixing on guide tubes may be removed without difficulty. All the operations for removing and replacing rods in the spent assemblies of a nuclear reactor are performed under a certain depth of water which is greater than three meters, inside a storage pool in which the fuel assemblies are placed in a vertical position. The operators carrying out the repair operations from the edge of the pool are thus protected against radiation from the spent assemblies. The fuel rods whose sheath is perforated or torn are liable to break during their removal, the gripping elements of the spacer grids exerting a certain axial retention force on the rods which it is necessary to overcome in order to perform the removal. There then remain pieces of rod inside the fuel assembly which can no longer be removed by the usual means. The peripheral rods of the assembly, i.e., the rods placed in the four outer rows which are adjacent to the frame of the spacer grids, are the most exposed to impacts with foreign bodies and to the effects of penetration by the guide fins of the spacer grids. These rods are thus the most exposed to breakage during the removal operations. To date, there was no known device or method making it possible to remove the peripheral rods of a fuel assembly comprising several successive pieces in the direction of their length, the assembly being placed under water in a storage pool. The spent and damaged assembly must be replaced by a new assembly and dismantled or repaired by using complicated equipment which is available only in nuclear fuel reprocessing plants. This results in increased operating costs for the nuclear reactor. SUMMARY OF THE INVENTION The invention thus aims to propose a device for removing peripheral rods from a fuel assembly of a nuclear reactor comprising a framework consisting of a plurality of spacer grids retaining the fuel rods in a uniform network in the transverse directions and in the axial direction of the rods, by gripping means associated with the cells of the grids in which the rods are inserted as well as of guide tubes replacing certain rods in the network and of end joining pieces fixed on the ends of the guide tubes, removal being performed remotely and under a certain depth of water in a pool for storing fuel assemblies after removal of an end joining piece of the assembly, by the device which comprises a rod of great length on which is mounted a means for the support and displacement of a work tool which is movable in an axial direction of the rod and in two directions perpendicular to this axial direction, this device making it possible to remove rods which have suffered breakage and comprising several pieces along their length. To this end, the work tool comprises: tongs consisting of two arms articulated together about a shaft fixed on the means for support and displacement in a direction parallel to the axis of the rod and comprising end jaws which are capable of gripping a fuel rods; PA1 the means for remotely controlling the tongs comprising an element which is movable in translation and an element for guiding the movable element, each connected to an arm of the tongs in the vicinity of the end of the corresponding arm opposite to the end jaw; and PA1 at least one video camera carried by the device for support and displacement in order to supply an image of the zone in which the rod is gripped, removal being performed by displacing the means for support and displacement in the vertical direction after positioning and gripping of the jaws of the tongs on a part of the pencil.
046735470
claims
1. In an arrangement for the separation of hydrogen and/or deuterium and tritium from an inert gas flow which is contaminated with hydrogen and/or deuterium and tritium in the cooling gas circuit of a gas cooled nuclear reactor, including a gas purifying installation having means for the separation of water and which is connected through a bypass conduit with a through-flow regulator to the cooling gas circuit, and a return conduit being connected at the outlet of the gas purifying installation and leading to the cooling gas circuit; the improvement comprising: including at least one exchange arrangement in the cooling gas circuit having at least one exchange wall for hydrogen isotopes, a first flow chamber traversed by the cooling gas of the cooling gas circuit on the primary side of the exchange wall, and a second flow chamber on the secondary side in which, as viewed in the flow direction of the cooling gas said bypass conduit is connected downstream of the exchange arrangement with an inlet conduit for an agent which chemically reacts with the hydrogen isotopes permeating through the exchange wall and bonds the hydrogen isotopes which are to separated from the purified inert gas flow or the hydrogen isotopes which are to separated into a reaction product transportable in a gas flow which is not permeable through the exchange wall, said bypass conduit being conducted from the outlet of the secondary side flow chamber to the inlet of the gas purifying installation. 2. Arrangement as claimed in claim 1, wherein the inlet conduit is connected to a water or water vapor conduit. 3. Arrangement as claimed in claim 1, wherein the inlet conduit is connected to a oxygen supply conduit. 4. Arrangement as claimed in claim 1, wherein metal oxide is present in said second flow chamber on the secondary side. 5. Arrangement as claimed in claim 1, comprising a catalyst being located in said bypass conduit for reducing the hydrogen concentration. 6. Arrangement as claimed in claim 1, comprising a metal oxide being located in said bypass conduit. 7. Arrangement as claimed in claim 1, comprising at least two of said exchange arrangements adapted to be sequentially passed through by the cooling gas in the cooling gas circuit, an agent in the flow chamber on the secondary side of one exchange arrangement for the isotope exchange; and an agent in the flow chamber on the secondary side of the other exchange arrangement for oxidizing the hydrogen isotopes. 8. Arrangement as claimed in claim 7, including a first exchange arrangement in the cooling gas circuit, as viewed in the flow direction of the cooling gas, said exchange arrangement having a flow chamber on the secondary side thereof for the introduction of oxygen through an oxygen supply conduit; and a second exchange arrangement connected to the first installation having a flow chamber on the secondary side thereof connected to a supply conduit-for water or water vapor. 9. Arrangement as claimed in claim 7, including a first exchange arrangement in the cooling gas circuit as viewed in the flow direction of the cooling gas, said exchange arrangement having a flow chamber on the secondary side thereof connected with a water or water vapor supply conduit, and a second exchange arrangement connected to the outlet of said first exchange arrangement and having a metal oxide contained in a flow chamber on the secondary side thereof.
claims
1. A floating nuclear reactor, comprising:a tank having water therein which includes;(a) a bottom wall having a first end, a second end, a first side and a second side;(b) a first end wall, having a first side, a second side, a lower end and an upper end, extending upwardly from said first end of said bottom wall;(c) a second end wall, having a first side, a second side, a lower end and an upper end, extending upwardly from said second end of said bottom wall;(d) a first side wall, having a first end, a second end, a lower end and an upper end, extending between said first ends of said first and second end walls;(e) a second side wall, having a first end, a second end, a lower end and an upper end, extending between said second ends of said first and second end walls;each of said first end wall, said second end wall, said first side wall and said second side wall of said tank having inner and outer sides;said tank being buried in the ground whereby said upper ends of said first end wall, said second end wall, said first side wall and said second side wall of said tank are positioned at ground level, above ground level or below ground level;a barge, having a first end, a second end, a first side and a second side, floatably positioned in said tank;said barge including:(a) an upstanding first end wall having an upper end, a lower end, a first side, a second side, an inner side and an outer side;(b) an upstanding first side wall having an upper end, a lower end, a first end, a second end, an inner side and an outer side;(c) said first end of first side wall of said barge being joined to said second end of said first end wall of said barge and extending therefrom;(d) an upstanding second side wall having an upper end, a lower end, a first end, a second end, an inner side and an outer side;(e) said first end of said second side wall of said barge being joined to said first end of said first end wall of said barge and extending therefrom;(f) a bottom wall having a first end, a second end, a first side and a second side;(g) said bottom wall extending between said lower ends of said first end wall, said first side wall and said second side wall of said barge;(h) said barge having an open end at said second ends of said first side wall, said second side wall and said second end of said bottom wall;an upstanding nuclear reactor positioned on said barge at said second end of said barge;said nuclear reactor including an upstanding first containment member having a central section, an upper section, a lower section, and an interior compartment;said first containment member being secured to said second ends of said first and second side walls of said barge and to said second end of said bottom wall of said barge to close said open end of said barge;said first containment member having an outer portion thereof which is in contact with the water in said tank;an upstanding nuclear reactor vessel, having an upper section and a lower section, positioned within said interior compartment of said first containment member;a heat exchanger positioned in said barge adjacent said first containment member;said heat exchanger having a second containment member extending therearound;said heat exchanger including a heat exchanger vessel positioned in said second containment member;said heat exchanger vessel having a fluid therein;a first device in said barge having a fluid inlet side and a fluid discharge side;a discharge tube extending from said heat exchanger vessel to said fluid inlet side of said first device;said first device connected to a second device;a condenser positioned in said barge;said condenser having a plurality of inlets and a plurality of outlets;said fluid discharge side of said first device being in fluid communication with one of said plurality of inlets of said condenser;a first water pipe having an inlet end and an outlet end;said first water pipe extending into said tank so that said outlet end of said first water pipe is in fluid communication with the water in said tank;said inlet end of said first water pipe being in communication with a source of water;said first water pipe having a valve imposed therein outwardly of said tank;a second water pipe having an inlet end and an outlet end;said second water pipe extending into said tank;said outlet end of said second water pipe being in fluid communication with said interior compartment of said first containment member;said second water pipe having a valve imposed therein outwardly of said tank;said inlet end of said second water pipe being in fluid communication with a source of water;a third water pipe having an inlet end and an outlet end;said outlet end of said third water pipe being in fluid communication with one of said plurality of said inlets of said condenser;said third water pipe extending outwardly from said condenser through said barge and through said tank;said inlet end of said third water pipe being in fluid communication with a source of water;said third water pipe having a valve imposed therein outwardly of said tank;a fourth water pipe having an inlet end and an outlet end;said inlet end of said fourth water pipe being in fluid communication with said one of said plurality of outlets of said condenser;said fourth water pipe extending outwardly through said barge and said tank;said outlet end of said fourth water pipe being positioned outwardly of said tank;said fourth water pipe having a first valve imposed therein outwardly of said tank;a fifth water pipe having an inlet end and an outlet end;said inlet end of said fifth water pipe being in communication with the water in said tank;said fifth water pipe extending outwardly through said tank;said outlet end of said fifth water pipe being positioned outwardly of said tank;said fifth water pipe having a first valve imposed therein outwardly of said tank;a sixth water pipe having an inlet end and an outlet end;said outlet end of said sixth water pipe being in fluid communication with the water in said heat exchanger; andsaid inlet end of said sixth water pipe being in fluid communication with one of said plurality of outlets of said condenser. 2. The floating nuclear reactor of claim 1 wherein some of said water pipes have pumps imposed therein. 3. The floating nuclear reactor of claim 1 wherein some of said water pipes have flexible and slack tubular sections positioned between said tank and said barge. 4. The floating nuclear reactor of claim 1 further including a seventh water pipe having an inlet end and an outlet end with said seventh water pipe extending into said tank so that said inlet end of said seventh water pipe is in fluid communication with a source of water and so that said outlet end of said seventh water pipe is in fluid communication with said interior compartment of said first containment member. 5. The floating nuclear reactor of claim 4 wherein said seventh water pipe has a valve imposed therein outwardly of said tank. 6. The floating nuclear reactor of claim 4 wherein said seventh water pipe has a pump associated therewith outwardly of said tank. 7. The floating nuclear reactor of claim 1 wherein a seventh water pipe, having an inlet end and an outlet end, has said inlet end thereof in fluid communication with the water in said tank and has said outlet end thereof in fluid communication with one of said plurality of inlets of said condenser and wherein said seventh water pipe has a valve imposed therein. 8. The floating nuclear reactor of claim 1 further including a gate, having an inlet end and an outlet end and which has said inlet end thereof in fluid communication with said interior compartment of said first containment member and which has said outlet end thereof in fluid communication with said water in said tank. 9. A floating nuclear reactor, comprising:a tank having water therein;a barge floatably positioned in said tank;an upstanding nuclear reactor positioned on said barge;said nuclear reactor including an upstanding first containment member having an interior compartment;an upstanding nuclear reactor vessel positioned within said interior compartment of said first containment member;a heat exchanger positioned on said barge adjacent said first containment member;said heat exchanger having a second containment member extending therearound;said heat exchanger including a heat exchanger vessel positioned in said second containment member;said heat exchanger vessel having a fluid therein;a first device in said barge having a fluid inlet side and a fluid discharge side;a discharge tube extending from said heat exchanger vessel to said fluid inlet side of said first device;a condenser positioned in said barge;said condenser having a plurality of inlets formed therein and a plurality of outlets formed therein;said fluid discharge side of said first device being in fluid communication with one of said inlets of said condenser;a first water pipe having an inlet end and an outlet end;said first water pipe extending into said tank so that said outlet end of said first water pipe is in fluid communication with the water in said tank;said inlet end of said first water pipe being in communication with a source of water;said first water pipe having a valve imposed therein outwardly of said tank;a second water pipe having an inlet end and an outlet end;said second water pipe extending into said tank;said outlet end of said second water pipe being in fluid communication with said interior compartment of said first containment member;said second water pipe having a valve imposed therein outwardly of said tank;said inlet end of said second water pipe being in fluid communication with a source of water;a third water pipe having an inlet end and an outlet end;said outlet end of said third water pipe being in fluid communication with one of said inlets of said condenser,said third water pipe extending outwardly from said condenser through said barge and through said tank;said inlet end of said third water pipe being in fluid communication with a source of water;said third water pipe having a valve imposed therein outwardly of said tank;a fourth water pipe having an inlet end and an outlet end;said inlet end of said fourth water pipe being in fluid communication with said first outlet of said condenser;said fourth water pipe extending outwardly through said barge and said tank;said outlet end of said fourth water pipe being positioned outwardly of said tank;said fourth water pipe having a first valve imposed therein outwardly of said tank;a fifth water pipe having an inlet end and an outlet end;said inlet end of said fifth water pipe being in communication with the water in said tank;said fifth water pipe extending outwardly through said tank;said outlet end of said fifth water pipe being positioned outwardly of said tank;said fifth water pipe having a valve imposed therein outwardly of said tank;a sixth water pipe having an inlet end and an outlet end;said outlet end of said sixth water pipe being in fluid communication with the water in said heat exchanger; andsaid inlet end of said sixth water pipe being in fluid communication with one of said outlets of said condenser. 10. The floating nuclear reactor of claim 9 wherein some of said water pipes have pumps imposed therein. 11. The floating nuclear reactor of claim 9 wherein some of said water pipes have flexible and slack tubular sections positioned between said tank and said barge. 12. A floating nuclear reactor, comprising:a tank having water therein;a barge floatably positioned in said tank;an upstanding nuclear reactor positioned on said barge;said nuclear reactor including an upstanding first containment member having an interior compartment;an upstanding nuclear reactor vessel positioned within said interior compartment of said first containment member;a first water pipe having an inlet end and an outlet end;said first water pipe extending into said tank so that said outlet end of said first water pipe is in fluid communication with the water in said tank;said inlet end of said first water pipe being in communication with a source of water;said first water pipe having a valve imposed therein outwardly of said tank;a second water pipe having an inlet end and an outlet end;said second water pipe extending into said tank;said outlet end of said second water pipe being in fluid communication with said interior compartment of said first containment member;said second water pipe having a valve imposed therein outwardly of said tank;said inlet end of said second water pipe being in communication with a source of water;a third water pipe having an inlet end and an outlet end;said inlet end of said third water pipe being in fluid communication with the water in said tank;said third water pipe extending outwardly through said tank;said outlet end of said third water pipe being positioned outwardly of said tank; andsaid third water pipe having a valve imposed therein outwardly of said tank. 13. The floating nuclear reactor of claim 12 wherein each of said first water pipe and said second water pipe has a pump imposed therein. 14. The floating nuclear reactor of claim 12 wherein a gate is positioned between said interior compartment of said first containment member and the water in said tank. 15. The floating nuclear reactor of claim 14 wherein said gate has a valve imposed therein. 16. The floating nuclear reactor of claim 14 wherein said gate is positioned adjacent the upper end of said interior compartment of said first containment member. 17. The floating nuclear reactor of claim 14 including a fourth water pipe, having an inlet end and an outlet end, extending into said tank and wherein said outlet end of said fourth water pipe is in communication with said interior compartment of said first containment member and wherein said inlet end of said fourth pipe is in communication with a source of water outwardly of said tank and wherein a valve is imposed in said fourth pipe outwardly of said tank. 18. The floating nuclear reactor of claim 17 wherein said fourth water pipe has a pump associated therewith outwardly of said tank.
summary
044902883
summary
BACKGROUND OF THE INVENTION 1. Field to which the invention relates: The present invention relates to methods and systems for removing tritium from a gas, as air. 2. The prior art: In many nuclear- and plasma-physical experiments and work, gaseous tritium (T.sub.2) is produced. Since this is known to be radioactive and, thus, a health hazard, generally it must be removed from the atmosphere in the relevant work-area or the like. It is known to withdraw tritium-containing air out of work areas, glove-boxes, or the like by means of a blower, and to convert the tritium, with oxygen, into tritium-containing water in a catalytic furnace which may contain CuO, Pd or Pt as a catalyst. The resulting water is then absorbed in a molecular sieve. Conventional modern tritium-separating systems contain, in addition to the catalytic furnace and the molecular-sieve, which are the main components, also heating devices, cooling devices, heat-exchangers and the like. It is possible in this way to achieve a final concentration of some 10.sup.-5 Ci per cubic metre of air. In practice, however, one must often be content with some 10.sup.-4 Ci/m.sup.3. The factors governing the minimal obtainable tritium concentration in the purified air are still largely uncertain. The partial water-vapour pressure in the molecular sieve, and the yield from catalytic oxidation, are assumed to be important. Even with a 1% loading of a molecular sieve, the partial water-vapour pressure at 20.degree. C. for optimum performance amounts to about 10.sup.-7 torrs which corresponds in relation to THO to a tritium activity of 2.10.sup.-4 Ci/m.sup.3 of air. This immediately indicates a serious disadvantage of modern purification technology: since the humidity in the ambient air is absorbed from the molecular sieves simultaneously with the tritium-containing water the loading limit of the molecular sieves (about 1%) is soon reached. The molecular-sieve columns must therefore either be made correspondingly large or must be frequently regenerated, and this leads to large quantities of contaminated water. With incomplete catalytic oxidation of the tritium into water, gaseous tritium remains. This passes unimpeded through the molecular sieves and is thus present as an inadmissible output-air activity. Attempts have been made to eliminate the disadvantages of the above-mentioned methods by cooling the molecular sieves with liquid nitrogen and with novel noble-metal catalysts, but the results have not been satisfactory. SHORT SUMMARY OF THE INVENTION An object of the present invention is to provide a method and an apparatus by means of which tritium may be more completely removed from a gas mixture than has hitherto been possible. According to the invention, this purpose is achieved in that the tritium is subjected to a hydrogenation-reaction. According to the invention, therefore, the known oxidizing process, whereby tritium is oxidized to water, is replaced or extended by a reducing or hydrogenating process which delivers an easily separable, liquid or solid reaction-product. In this connection, conversion of the tritium with oxygen to water is not included in the terms reducing or hydrogenating process. Hydrogen and therefore also tritium, especially in the atomic form, reacts more or less easily with other atoms or molecules, especially with unsaturated hydrocarbon compounds. Suitable and proven hydrogenation reactions are the hydrogenation of carbon and petroleum, fat hardening (hydrogenating oily fats to solid fats), the addition of hydrogen on double or triple bonds (e.g. the conversion of benzene into cyclohexane, or of naphthaline into decaline and tetraline), the reduction of aldehydes and ketones to alcohols, and of nitriles and nitrocompounds to amines. Heavy petroleum fractions may be converted by so-called "hydrocracking" into products with low boiling ranges. The process is carried out at moderate temperatures and pressures in the presence of noble metal catalysts. The use of 100 parts by weight of heavy vacuum gas oil and 3 parts by weight of hydrogen produces, for example, after one passage: 3.2 parts by weight NH.sub.3 +H.sub.2 S, PA0 2.5 parts by weight C.sub.1 --to C.sub.3 --fractions, PA0 3.6 parts by weight C.sub.4 --fraction, PA0 8.7 parts by weight C.sub.5 --and C.sub.6 --fractions, PA0 14.8 parts by weight C.sub.7 --fraction, and PA0 70.3 parts by weight of a high-boiling fraction (according to: READ, D, C. H. Watkins and J. G. Eckhouse; Oil Gas J. 63, 86 (24.5.1965). It is thus possible, in principle, to control hydrogenation in such a manner that that longer-chain hydrocarbons are converted into shorter-chain hydrocarbons. As will be explained hereinafter in more detail, this is a particular advantage of the method according to the invention. It is highly advantageous to remove tritium from a gas-mixture by hydrogenation of unsaturated organic compounds, more particulary unsaturated carboxylic acids. It is particularly advantageous to use unsaturated monocarboxylic acids, in which case the hydrogenation is preferably carried out catalytically. It is preferable to use unsaturated fatty acids, especially those containing between 5 and 20 C atoms. For example, linolenic acid (C.sub.17 H.sub.29 --COOH) has three double bonds: EQU CH.sub.3 --CH.sub.2 --CH.dbd.CH--CH.sub.2 --CH.dbd.CH--CH.sub.2 --CH.dbd.CH--(CH.sub.2).sub.7. COOH and linoleic acid C.sub.17 H.sub.31 --COOH has two: EQU CH.sub.3 --(CH.sub.2).sub.4 --CH.dbd.CH--CH.sub.2 --CH.dbd.CH--(CH.sub.2).sub.7 --COOH Upon hydrogenation, both are converted to stearic acid (CH.sub.3 --(CH.sub.2).sub.16 --COOH, If the unsaturated monocarboxylic acids are hydrogenated with tritium, the tritium is firmly bonded in the stearic acid, i.e. one or more of the CH.sub.2 groups contains T instead of H. The hydrogenation process may be controlled in such a manner that the tritiated stearic acid is split up, by incorporation of the tritium, into fractions having shorter chain-lengths, and physical propertics other than the long-chain C.sub.17 fatty acids. This has the major advantage that the tritium-containing reaction-product, because of differences in solubility, density, melting point and boiling point, can be separated continuously or intermittently from the compounds not reacted with tritium and may be removed from the hydrogenation product. A fresh reaction partner is therefore always available for hydrogenation and only relatively small amounts of tritium-containing, radioactive reaction-products are produced. The hydrogenating device, or column, may be in the form of a fixed bed, a fluidized bed, a liquid column, or an emulsion column. The method and apparatus according to the invention are well suitable for cleaning the exhaust air from workshops and for circulatory cleaning of closed systems such as inert-gas glove-boxes. In the case of inertgas glove-boxes there is the advantage that autoxidation of the preferably used unsaturated fatty acids cannot take place because of the absence of any atmospheric oxygen, and the efficiency cannot therefore be reduced (no high "idle consumption" of unsaturated fatty-acids, no resinification, etc.). If an apparatus, operating according to the method of the invention, is used as an emergency or safety-system, all conceivable disadvantages (autoxidation, decomposition of compounds) will be minimized, since the comparatively low costs of the chemicals used are immaterial. The invention provides the following advantages: Conventional systems will always depend upon the efficiency of the oxidizing reaction and unconverted T.sub.2 gas leaves known installations unimpeded. Particularly in areas of high atmospheric humidity, the maximal permissible loading of the molecular sieves will rapidly be exceeded. Residual-gas activity then increases rapidly. These disadvantages are essentially avoided by the method according to the invention. Especially if the conventional oxidizing process is combined with the reducing or hydrogenating process according to the invention, both tritium-containing water and T.sub.2 are very largely eliminated from purified gas-mixtures. In case of an emergency system, the method according to the invention provides the particular advantage that "breakthrough concentrations" (&gt;1% concentration of water-vapour) at the molecular sieve, and therefore activities above 10.sup.-5 Ci/m.sup.3, cannot arise. With the method acording to the invention, continuous replacement of the consumed reaction-partners (hydrogenated fatty acids), and thus continuous operation, is possible, no regeneration pauses are necessary, and the activity cannot therefore rise. In inert-gas containments also very low concentrations of the T can be eliminates continuously.
claims
1. A shutter arrangement, comprising:an opening in an housing for allowing X-rays to pass through the opening from an inner face to an outer face of the X-ray housing, the X-ray housing being substantially opaque to X-rays; anda shutter movable between a blocking position adjacent to the opening where it blocks the opening and an open position where it allows X-rays to pass through the opening;wherein the shutter is of tantalum, niobium or zirconium, or an alloy containing at least 80% of one of these elements and a further metal/element; andwherein the shutter is a block having a through hole through the block, the hole being aligned with the opening when the shutter is in the open position to allow X-rays to pass through the hole and the shutter blocking the opening when the shutter is in the blocking position. 2. A shutter arrangement according to claim 1 wherein the through hole through the block is sized to completely cover edges of the opening when the shutter is in the open position. 3. A shutter arrangement according to claim 1 wherein the shutter is arranged to slide laterally across the opening in the plane of the opening between the blocking position and the open position. 4. A shutter arrangement according to claim 1 wherein the X-ray housing contains an X-ray tube, the X-ray tube having a window for allowing X-rays to pass out of the tube,wherein the shutter in the blocking position is at a distance of not more than 20 mm from the window in the X-ray tube. 5. A shutter arrangement according to claim 4, wherein the shutter in the blocking position is at a distance of not more than 10 mm from the window in the X-ray tube. 6. A shutter arrangement according to claim 1 wherein the housing is of brass. 7. A shutter arrangement according to claim 1 wherein the shutter consists essentially of tantalum. 8. A shutter arrangement according to claim 1 wherein the shutter consists of an alloy of at least 80% tantalum, niobium or zirconium and no more than 20% of at least one other metal/element having an atomic number of over 26. 9. A shutter arrangement according to claim 1 further comprising an X-ray transparent window sealing the opening. 10. A shutter arrangement, comprisingan opening in an housing for allowing X-rays to pass through the opening from an inner face to an outer face of the X-ray housing, the X-ray housing being substantially opaque to X-rays; anda shutter movable on the inner face of the X-ray housing between a blocking position adjacent to the opening where it blocks the opening and an open position where it allows X-rays to pass through the opening;wherein the shutter is of tantalum, niobium or zirconium, or an alloy containing at least 80% of one of these elements and a further metal/element. 11. A shutter arrangement according to claim 10, wherein the shutter is a block having a hole through the block, the hole being aligned with the opening when the shutter is in the open position to allow X-rays to pass through the hole and the shutter blocking the opening when the shutter is in the blocking position. 12. A shutter arrangement according to claim 11 wherein the hole through the block is sized so that all edges of the opening are completely covered when the shutter is in the open position. 13. A shutter arrangement according to claim 10 wherein the shutter is arranged to slide laterally across the opening in the plane of the opening between the blocking position and the open position. 14. A shutter arrangement according to claim 10 wherein the X-ray housing contains an X-ray tube, the X-ray tube having a window for allowing X-rays to pass out of the tube,wherein the shutter in the blocking position is at a distance of not more than 20 mm from the window in the X-ray tube. 15. A shutter arrangement according to claim 10 wherein the housing is of brass. 16. A shutter arrangement according to claim 10 wherein the shutter consists essentially of tantalum. 17. A shutter arrangement according to claim 10 wherein the shutter is of an alloy containing tantalum, niobium or zirconium and another metal/element of atomic number above 26. 18. A shutter arrangement according to claim 10 further comprising an X-ray transparent window sealing the opening. 19. X-ray diffraction apparatus comprising:an X-ray tube having a window;a housing around the X-ray tube, the housing having an opening in the housing for allowing X-rays to pass through the opening from an inner face to an outer face of the X-ray housing, the X-ray housing being substantially opaque to X-rays; anda shutter movable between a blocking position adjacent to the opening where it blocks the opening and an open position where it allows X-rays to pass through the opening;a sample area for mounting a sample; andan X-ray detector for detecting X-rays emitted from the sample in the sample area;wherein the shutter is of tantalum, niobium or zirconium, or an alloy containing at least 80% of one of these elements and a further metal/element; andwherein the shutter is a block having a hole through the block, the hole being aligned with the opening when the shutter is in the open position to allow X-rays to pass through the opening and the shutter blocking the opening when the shutter is in the blocking position. 20. X-ray diffraction apparatus according to claim 19 wherein the hole through the block is sized to completely cover edges of the opening when the shutter is in the open position.
abstract
Systems and methods of monitoring position information of a control rod in a nuclear reactor, including detecting an output signal induced by movement of a control rod with respect to at least one detection coil of the control rod, the output signal having identifiable characteristics representative of a plurality of positions of the control rod within the at least one detection coil, and processing the identifiable characteristics to derive the positions of the control rod within the at least one detection coil.
060552964
claims
1. A radiographic grid comprising: a grid housing; and a plurality of x-ray radiation absorbing lamellae maintained within a grid housing and defining slots therebetween, wherein each of the plurality of lamellae has a thickness of about 0.075 mm to about 0.25 mm and a height of about 3 mm to about 20 mm and has a first side wall and a second side wall, wherein a ratio of height of the lamellae to distance between adjacent lamellae is at least about 5:1, wherein no lamella contacts any other lamella, and further wherein a metal foil is not attached to an upper portion of the first and second side walls, wherein a metal foil is attached to a lower portion of the first and second side walls, and wherein a metal foil is attached to a bottom surface adjacent the lower portion of one of the plurality of lamellae for reducing lamella emitted line artifacts. metal foil on lower portions and not on upper portions of the plurality of lamellae, the lower portions being furthest from an x-ray source, for reducing line density artifacts, the metal foil covering a bottom surface of the lower portions. 2. The radiographic grid of claim 1 wherein the metal foil is tin. 3. The radiographic grid of claim 1 wherein the metal foil is electrochemically coated on to the lower portions of the lamella. 4. An improved radiographic grid comprising a grid housing having a first and a second side wall, and a plurality of x-ray radiation absorbing lamellae disposed between the first and second side walls of the grid housing and defining slots between adjacent lamellae, wherein no lamella contacts any other lamella wherein each of the lamellae has a thickness of about 0.075 mm to about 0.25 mm and a height of about 3 mm to about 20 mm, and wherein a ratio of height of the lamellae to distance between adjacent lamellae is at least about 5:1, the improvement comprising:
060118253
abstract
Radionuclides are produced according to the present invention at commercially significant yields and at specific activities which are suitable for use in radiodiagnostic agents such as PET imaging agents and radiotherapeutic agents and/or compositions. In the method and system of the present invention, a solid target having an isotopically enriched target layer electroplated on an inert substrate is positioned in a specially designed target holder and irradiated with a charged-particle beam. The beam is preferably generated using an accelerator such as a biomedical cyclotron at energies ranging from about 5 MeV to about 25 MeV. The target is preferably directly irradiated, without an intervening attenuating foil, and with the charged particle beam impinging an area which substantially matches the target area. The irradiated target is remotely and automatically transferred from the target holder, preferably without transferring any target holder subassemblies, to a conveyance system which is preferably a pneumatic or hydraulic conveyance system, and then further transferred to an automated separation system. The system is effective for processing a single target or a plurality of targets. After separation, the unreacted target material can be recycled for preparation of other targets. In a preferred application of the invention, a biomedical cyclotron has been used to produce over 500 mCi of .sup.64 Cu having a specific activity of over 300 mCi/.mu.g Cu according to the reaction .sup.64 Ni(p,n).sup.64 Cu. These results indicate that accelerator-produced .sup.64 Cu is suitable for radiopharmaceutical diagnostic and therapeutic applications.
summary
description
This application is a Divisional of U.S. patent Ser. No. 10/883,877, filed on Jul. 2, 2004. The present invention concerns, in a general manner, the field of controlling the exterior aspect of fuel rods for nuclear reactors and, more specifically, the field of devices and methods for controlling the exterior aspect of fuel rods at the end of the production cycle. Typically, fuel rods for nuclear reactors are zirconium alloy claddings in which are placed fissile materials. This type of cladding, generally having a length between 3 and 5 meters and a diameter between 8 and 15 mm, have a first sealed end and a second open end, said second end being sealed by means of a cap welded onto the cladding, after the introduction of the fissile materials into the interior of said cladding. At the end of the production of a fuel rod, it is normally necessary for its exterior surface, overall cylindrical and of circular section, to have a satisfactory surface condition, for example of the “polished mirror” type. Thus, in order to control the exterior aspect of a rod when it leaves production, a detection is carried out for several types of defects over the whole of the exterior surface of the rod including, notably, the exterior surface of the end cap. Among the defects searched for, in first place are geometric defects, which may be assimilated to three dimensional defects present on the exterior surface of the rod. By way of illustrative examples, the geometric defects may take the form of longitudinal or circumferential grooves on the exterior surface of the rod, whereby said grooves may be considered as defects when they attain a depth greater than 25μ. Moreover, the defects may also take the form of impacts, caulking or even stripping off of material, still on the said exterior surface of the rod. The control of the exterior aspect of a fuel rod further consists in detecting cleanliness defects, said defects generally being in the form of traces of oil or foreign bodies on the exterior surface, or even in the form of black or coloured marks with a surface area greater than a determined value. Finally, a third category of defect to be detected concerns apparent defects in the end cap weld. Said type of defect, which may be present on the weld bead of said end cap, may be in the form of pitting, blisters, cracking, overflows, shortages, sags or even colouring defects in the weld bead. A solution is known from the prior art that aims to control the exterior aspect of fuel rods at the end of production, through the intermediary of a qualified operator working with the naked eye and without any measurement tool at his disposal. Indeed, the fuel rods at the end of production are typically arranged horizontally on a stand, in bundles of thirty two elements. Once installed, they are then capable of being rotated around their own axes longitudinally by means of a friction drive mechanism, so that the operator can control all of the exterior surfaces of said rods. In this respect, it is noted that tangential lighting, which may be intensity adjusted, facilitates the detection of defects by the qualified operator. However, during exterior aspect control operations, a lead glass screen must be provided between the rods and the operator, with the obvious aim of protecting said operator against the irradiation emitted by the fuel rods. Accordingly, a major disadvantage relating to the presence of the screen is that it has a thickness of around 100 mm and that, consequently, it is not completely translucent. Moreover, an increase in the extent of scratching on said screen over time considerably restricts the operator's view. Under these conditions, the evaluation of certain defects such as the surface area of stains, the depth of grooves or even the evaluation of the colouring of weld beads becomes relatively difficult to perform. Thus, if the operator has any doubts, the rod in question is put aside in order to be recontrolled by another operator, which results directly in a significant waste of time and a not insignificant increase in the cost of producing the rods. It is also pointed out that the implementation of this exterior aspect control technique has disadvantages directly linked to the presence of the operator not equipped with measurements means. In effect, in the case of defects for which the size, depth or colouring needs to be evaluated, the resulting verdict is, to a great extent, determined by the experience and tiredness of the operator, his tiredness nevertheless being increased by the wearing of protective lead apron and the extreme and permanent attention that this type of control station requires. Consequently, particularly when a geometric defect has been detected by the operator but his assessment of the depth is mistaken, said operator may be induced to make a false reject or, quite the reverse, not take into consideration a defect that is, however, not acceptable. Finally, it is pointed out that production objectives generally require a large number of operators qualified in the field of controlling the exterior aspect of fuel rods, which naturally leads to high production costs. The aim of the invention is therefore to propose a device and a method for controlling the exterior aspect of fuel rods for nuclear reactors, which at least partially overcomes the above mentioned disadvantages in respect of the prior art. More specifically, the aim of the invention is to present a device and a method for controlling the exterior aspect of fuel rods using an appropriate tooling that makes it possible to produce a reliable, exact and repetitive verdict over time for at least part of the above mentioned defects, contrary to the solution proposed by the prior art in which the human verdict is subject to interpretation and risks leading to false rejects and/or absences of detection of defects that really degrade the exterior aspect of the rod. In order to achieve this, a first object of the invention is a device for controlling the exterior aspect of fuel rods for nuclear reactors, comprising optical means having at least one camera and linked to an image acquisition and processing system capable of detecting geometric defects present on each rod to be controlled, and further comprising a roughness tester controlled in such a way as to measure the depth of each geometric defect detected by the image acquisition and processing system. Advantageously, with the control device according to the invention, the detection of geometric defects such as those described previously is no longer carried out by means of the human eye, but automatically, through the intermediary of optical means such as cameras, coupled to an image acquisition and processing system capable of detecting said type of defects. When a geometric defect has been detected by the image acquisition and processing system, the roughness tester is then controlled in such a way that it can measure the depth of said defect, for example with the aim of comparing it to a pre-established value in order to determine if the defect is acceptable or not. Thus, the problems encountered in the prior art, linked to the fatigue and assessment of the operator, are totally removed, not just in the work of detecting geometric defects present on the rods but also in the operation of evaluating the depth of said defects. Consequently, the risks of false rejects of fuel rods are practically reduced to zero, which is directly reflected by savings in terms of production costs and control times. Advantageously, it is pointed out that the optical means are capable of scanning the exterior surface of a rod without there being any protective lead glass screen located between the two entities. In this way, the device according to the invention is capable of detecting geometric defects of very small size, even those that are difficult to see with the naked eye. Furthermore, the conventional processing electronics required for the proper operation of the device can easily be moved from the sensitive zone, in such a way that it is consequently not subjected to the irradiations emitted by the fuel rods. Moreover, the control device according to the invention, preferably intended to control the exterior aspect of rods at the end of the production cycle, is capable of operating continuously, without requiring qualified operators. Again advantageously, the presence of the optical means and the roughness tester near to the fuel rods only takes up very little space. In this respect, it is pointed out that the measurement precision capable of being procured by a conventional roughness tester, for example an optical roughness tester, is completely adapted to that required for the present needs. Moreover, said measurement devices advantageously do not require contact with the rod to carry out the measurements of the depths of the geometric defects, nor even the presence of hydrogenated material between said measurement device and the rod, said configuration being in any case totally excluded for obvious reasons of safety/criticity. Furthermore, it is pointed out that the device according to the invention may advantageously employ the optical means and the image acquisition and processing system in order to detect other types of defects than geometric defects. Indeed, the image acquisition and processing system is of the two dimension processing software type, and is therefore perfectly capable of detecting all cleanliness defects such as the presence of traces of oil and foreign bodies on the exterior surface of a rod, or even the presence on said same surface of black or coloured marks of surface areas greater than a determined value. In the same way, the system is also capable of detecting all of the aspect defects of the end cap weld, such as pitting, blisters, cracking, overflows, shortages, sags or even colouring defects in the weld bead. Preferentially, the control device comprises: a displacement stand on which may be placed a platform equipped with a plurality of fuel rods arranged substantially parallel alongside each other, said platform being arranged on the stand in such a way that the rods are laid out parallel to a longitudinal direction of said stand, a trolley capable of being displaced parallel to the longitudinal direction of said displacement stand, an inspection and measurement head support mounted on the trolley and capable of being displaced in relation to said trolley parallel to a transversal direction of said displacement stand, an inspection and measurement head comprising at least the optical means and the roughness tester, means of rotating the fuel rods, capable of rotating each of the rods along their own longitudinal axes, an electronic and computer assembly comprising notably said image acquisition and processing system, and a coding ruler provided on the displacement stand and capable of delivering, to the electronic and computer assembly, the position of the trolley in relation to said stand. Advantageously, this specific arrangement makes it possible to control a plurality of rods placed, for example, in bundles and horizontally and for this to be done automatically by means of the electronic and computer assembly that is preferentially provided so as to be able to control all of the displacements and the actions of the various elements making up the device. In this respect, it is notably pointed out that the presence of the coding ruler on the displacement stand makes it possible to perfectly locate the detected defects, this then allowing precise displacements and positioning of the roughness tester, so that said roughness tester can measure the depths of the different geometric defects detected. Preferably, for each rod, the optical means are capable of carrying out a scan of the exterior surface of the rod by a plurality of displacements of the trolley along the length of the rod concerned, each displacement being carried out for a given angular position of the rod. Consequently, by judiciously adjusting the various angular positions of the rod concerned, it is easily possible to scan the whole of the exterior surface of said rod by carrying out several backward and forward movements with the trolley, each backward and forward movement then being intended for the inspection of a specific angular section of said exterior surface. Moreover, one can provide that, during a scan of the exterior surface of a rod, the optical means are capable of delivering a plurality of images to the image acquisition and processing system, each image delivered from the rod being associated with an address indicating the angular position of said rod and the position of the trolley in relation to the displacement stand. Preferably, as mentioned previously, when at least one geometric defect has been detected on a rod by the image acquisition and processing system, the electronic and computer assembly is capable of provoking, thanks to the addresses associated with the images delivered by the optical means, the displacement of the roughness tester in such a way that it can measure the depth of each geometric defect detected. The optical means preferably comprise a plurality of primary cameras and a plurality of secondary cameras, said primary and secondary cameras being charge coupled devices (CCD cameras) and each being capable of simultaneously scanning at least two adjacent fuel rods. Again in a preferred manner, the primary cameras and the secondary cameras are mounted on a plate assembled on the inspection and measurement head support, and the secondary cameras are arranged in such a way as to be able to scan a truncated surface of an end cap of each of the fuel rods to be controlled, when said rods are rotated. Moreover, the roughness tester is preferentially mounted on a lifting plate assembled on the inspection and measurement head support in such a way that the roughness tester can be brought closer to each rod to carry out the measurement of the depth of each geometric defect detected. In a preferred embodiment of the present invention, the inspection and measurement head further comprises diode detectors and lighting ramps that make it possible to detect cleanliness defects, such as traces of oil, present on each fuel rod to be controlled. Consequently, said diode detectors can, if necessary, be used to detect traces of oil that are difficult to detect with the aid of the previously described optical means and coupled to the image acquisition and processing system. Naturally, the association between the diode detectors and the lighting ramps could also be used to assure the detection of any other element likely to substantially modify the light reflection produced by the rods concerned. Finally, one can provide that the electronic and computer assembly comprises information means capable of delivering and/or memory storing, for each rod controlled, a result file of the control carried out. By way of indicative examples, this result file may, for example, indicate “pass”, “fail” or “to be recontrolled”, as well as the address and/or image of the defect(s) detected in the two latter cases. A further object of the invention is a method for controlling the exterior aspect of fuel rods for nuclear reactors, comprising the following steps: detection of geometric defects present on each rod to be controlled, with the aid of optical means having at least one camera and linked to an image acquisition and processing system, and measurement of the depth of each geometric defect detected during the detection of geometric defects step, with the aid of a roughness tester. Preferentially, for each rod, the geometric defect detection step comprises a scanning operation of the exterior surface of the rod with the aid of the optical means, the scanning operation being carried out by a plurality of displacements of the optical means along the length of the rod concerned, each displacement being carried out for a given angular position of each rod. During the scanning operation of the exterior surface of a rod, the optical means preferably deliver a plurality of images to the image acquisition and processing system, each image delivered from the rod being associated with an address indicating the angular position of said rod and the position of a trolley on which are mounted the optical means, in relation to a displacement stand. Preferably, when at least one geometric defect has been detected on a rod by the image acquisition and processing system, a displacement of the roughness tester is carried out, thanks to the addresses associated with the images delivered by the optical means, in such a way that it can measure the depth of each geometric defect detected. Preferably, the measurement of the depth of each geometric defect detected is carried out by bringing closer the roughness tester to the rod concerned. Furthermore, one can provide that the scanning operation of the exterior surface of the rods is carried out by means of a plurality of primary cameras and a plurality of secondary cameras, said primary and secondary cameras being cameras with a charge coupled device, and each simultaneously scanning at least two adjacent fuel rods. In a preferred embodiment of the present invention, the control method further comprises an operation of detecting cleanliness defects present on each fuel rod to be controlled, such as traces of oil, the operation being carried out by means of diode detectors and lighting ramps. Finally, the method preferably comprises a delivery step, for each rod controlled, of a result file of the control carried out. Other advantages and characteristics of the invention will become clearer on reading the non-limitative description that follows. In reference both to FIGS. 1 and 2, a device 1 for controlling the exterior aspect of fuel rods 2 for nuclear reactors (not shown) according to a preferred embodiment of the present invention is represented. It is pointed out that said device 1 is intended to allow the carrying out of an aspect control of the exterior surface 2a of the rods 2, at the end of the production cycle of said rods 2. Thus, the device 1 is designed in such a way as to verify the surface condition of the exterior surface 2a of the rods 2 and is therefore capable of detecting the presence of any unacceptable defects in respect of the necessary quality requirements, the searched for defects being the same types as those detailed above in the state of the prior art section. In this respect, it is pointed out that the expression “exterior surface 2a” of a rod 2 is understood to comprise the exterior surface of a principal cladding 3 of the rod 2, a weld bead 4 linking the principal cladding 3 to an end cap 6, and the exterior surface of said end cap 6, as is, notably, represented in FIG. 3a. It is pointed out that a truncated surface 68 of the end cap 6, normally called the end surface of the cap 6, forms an integral part of the exterior surface 2a of a rod 2. In FIGS. 1 and 2, one can see that the device 1 comprises a displacement stand 8, said stand being essentially constituted of a frame 10 mounted on feet 12 fastened to the ground 14. The frame 10, of a substantially rectangular shape, preferentially lies parallel to the ground 14 and extends longitudinally along a longitudinal direction of the stand 8, represented by the double arrow 16 in FIG. 1, and transversally along a transversal direction of the stand 8, represented by the double arrow 18 in said figure. Thus, the frame 10 of the displacement stand 8 defines a flat surface 20, substantially horizontal and parallel to the ground 14, on which may be placed a plate 22 equipped with a plurality of rods 2, said rods being arranged substantially parallel alongside each other. Moreover, the plate 22 on which the fuel rods 2 are lying at the end of their production cycle, for example in such a way as to form a bundle of thirty two elements, is brought to the stand 8 in such a way that said rods 2 are arranged parallel to the longitudinal direction 16 of the stand 8, and thus substantially parallel to the flat surface 20 of the frame 10. It is also pointed out that a rectangular position 23, specifically dimensioned to receive the plate 22, is provided at the level of the flat surface 20 of the frame 10. In this way, the plate 22 is capable of occupying a precise position in relation to the stand 8, said precise position being a key aspect in the proper unwinding of the operations of controlling the exterior aspect of the rods 2. Furthermore, the displacement stand 8 of the device 1 comprises two beams 24 extending substantially parallel to the longitudinal direction 16 of the stand 8, and being located on either side of the rectangular position 23. On each of said beams 24 is placed a running rail 26 that enables the displacement of a trolley 28 parallel to the longitudinal direction 16 of the stand 8. As can be seen in FIG. 1, the running rails 26 have a longer length than that of the plate 22, in order to allow the freeing of the trolley 28 and, as a result, to assure a good control of the truncated surface 68 of the end caps 6 of the fuel rods 2, as will be described hereafter. The trolley 28 of the control device 1 is therefore capable of being displaced along the running rails 26 of the stand 8, preferentially by means of a step motor and a toothed belt (not shown), said motor being controlled by control means 32 forming an integral part of an electronic and computer assembly 30, the principal function of which lies in the total automation of the control device 1. Obviously, the assembly 30 may comprise conventional elements such as computers, multiplexers or even supply modules, which consequently will not be further described due to their commonplace nature for those skilled in the art. On the other hand, the elements of the assembly 30 specific to the present invention will naturally be described hereafter. The displacement stand 8 is equipped with a coding ruler (not shown) that makes it possible to deliver, to the electronic and computer assembly 30, preferably continuously, the position of the trolley 28 in relation to said displacement stand 8. A support 34 for an inspection and measurement head 36 is mounted on the trolley 28 of the device 1, as is clearly illustrated in FIGS. 1 and 2. In said figures, one can indeed see that the support 34 is lying on the running rails 38 extending substantially parallel to the transversal direction 18 of the stand 8, in such a way that said support 34 is then capable of being displaced parallel to this same direction, in relation to the trolley 28. With this specific arrangement and by carrying out judicious displacements of the support 34 in relation to the trolley 28 and of said trolley 28 in relation to the displacement stand 8, it is therefore obvious that the inspection and measurement head 36 is capable of covering the totality of the upper surface formed by the rods 2 lying in bundles of thirty two elements on the plate 22. Here again, it is pointed out that the displacement of the support 34 on the trolley 28 is preferentially carried out by means of a step motor and a toothed belt (not shown), said motor preferably being controlled by the control means 32 of the electronic and computer assembly 30. The inspection and measurement head 36, integral with the support 34, comprises optical means 40 that preferentially take the form of a plurality of charge coupled device cameras 42, 42′. In the preferred embodiment described and represented in FIGS. 1 and 2, the optical means 40 comprise four primary cameras 42 and four secondary cameras 42′. Moreover, it is pointed out that said secondary cameras 42′ are intended to scan the truncated surface 68 of the end caps 6 of the rods 2, whereas the primary cameras 42 are intended to scan the whole of the exterior surface 2a of said rods 2, apart from said truncated surface 68 of the end caps 6. In this respect, it is pointed out that the surface inspected by the primary cameras 42 is substantially cylindrical and of circular section. Preferably, the group of primary cameras 42 and the group of secondary cameras 42′ are each in the form of a row of cameras parallel to the transversal direction 18, and are each intended to scan eight adjacent rods 2 at the same time. Moreover, each of said cameras 42, 42′ is effectively adjusted to have two adjacent rods 2 in its field of view. Obviously, the number of cameras 42, 42′ and the number of rods 2 that they are capable of scanning at any single time may be adapted as a function of the needs encountered, without going beyond the scope of the invention. The four primary cameras 42, of the progressive scan type, are preferably mounted on a plate 44 assembled on the support 34, in such a way that each optical axis 46 of a camera 42 is located substantially perpendicular to the two rods 2 that it has in its field of view, and substantially perpendicular to the flat surface 20 of the frame 10, as is clearly shown in FIG. 2. Moreover, the four secondary cameras 42′ are preferably also mounted on said plate 44, but in such a way as to be capable of correctly viewing the truncated surface 68 of the end caps 6. Thus, as is clearly shown in FIG. 3a, the primary cameras 42 are mounted substantially vertically, whereas the secondary cameras 42′ are mounted at an angle. The cameras 42, 42′ are capable of delivering images of the rods 2 to an image acquisition and processing system 48 to which they are linked, the two dimension processing software type system 48 forming an integral part of the electronic and computer assembly 30. Consequently, the system 48 is capable of detecting defects present on the fuel rods 2, such as geometric defects similar to those indicated above in the state of the prior art section, from images delivered by the cameras 42, 42′ and following a conventional processing of said images. Naturally, the image acquisition and processing system 48 is also capable of detecting cleanliness defects such as the presence of traces of oil and foreign bodies on the exterior surface 2a of a rod 2, or even the presence on said surface 2a of black or coloured marks of surface area greater than a determined value. In addition, the system 48 is further capable of detecting all of the weld aspect defects of the end cap 6, such as pitting, blisters, cracking, overflows, shortages, sags or even colouring defects in the weld bead 4. The inspection and measurement head 36 also comprises a roughness tester 50, mounted on a lifting plate 52 assembled on the support 34, in such a way that said roughness tester 50 is located substantially at the level of the support 34 when the lifting plate 52 occupies a retracted position, and in such a way that said roughness tester is located near to the rods 2 when the plate 52 is in a projecting position. It should be noted that this latter position is that adopted to carry out a measurement of the depth of a geometric defect detected by the system 48, and that this same projecting position is obtained by a displacement towards the floor 14 of the lifting plate 52, in a substantially perpendicular direction to the flat surface 20 of the frame 10. In this respect, the displacements of the lifting plate 52 are preferentially assured by the control means 32 of the assembly 30. Typically, the roughness tester 50 that assures the measurement of the depth of geometric defects detected is a PERTHOMETER (registered trade name) or CONFOCAL (registered trade name) type optical sensor roughness tester, in which the range of measurement is plus or minus 300μ. In addition, it is pointed out that the data collected by the roughness tester 50 is transmitted to the acquisition and processing means 51 forming an integral part of the assembly 30, said means 51 then being capable of processing the data received in order to determine the depth of the defects detected, then transferring the depth measurements to a central memory 55 of said assembly 30. More specifically in reference to FIG. 1, the head 36 comprises lighting ramps 54, preferably assuring a continuous and stable lighting. By way of illustrative example, two ramps 54 may be placed parallel to the transversal direction 18 of the stand 8, respectively on either side of the optical means 40. Apart from the faculty that the ramps 54 offer to the cameras 42, 42′ to take good quality images, said ramps 54 may also be placed in association with diode detectors 56, integral with the support 34. This association allows the detection of cleanliness defects present on the exterior surface 2a of the rods 2, such as traces of oil, as will be described in more detail hereafter. Thus, said diode detectors 56 may, if necessary, be used to detect traces of oil that are difficult to detect with the aid of the optical means 40 described above and coupled to the image acquisition and processing system 48. Preferably, each diode detector 56, arranged in such a way as to be able to be positioned plumb with a fuel rod 2 on the support 34, is equipped with a lens focusing its measurement field on the rod 2 concerned, and is capable of receiving the light emitted by the lighting ramps 54 and reflected on the exterior surface 2a of said rod 2. In addition, the detectors 56 are linked to a management module 57 forming an integral part of the assembly 30, and enabling in particular the acquisition of the “ALL” or “NOTHING” results delivered by the detectors 56. By way of indicative example, in the preferred embodiment of the present invention, the detectors 56 are placed alongside each other in such a way as to form a row extending substantially parallel to the lighting ramps 54, and thus substantially parallel to the transversal direction of the stand 8. More specifically in reference to FIG. 2, it can be seen that the control device 1 further comprises means of rotating 58 the rods 2, said means 58 preferably being assembled on the feet 12 of the device 1. The means 58 are vertically telescopic, in other words they can be displaced in relation to the stand 8 along a vertical direction represented by the double arrow 60 in FIG. 2, said vertical direction thus being perpendicular to the flat surface 20 of the frame 10. In this way, by bringing into action a step motor (not shown), preferentially controlled by the control means 32, it is possible to establish or to break the contact between the drive belts 62 of the means 58 and the means (not shown) themselves located in permanent contact with the lower part of the exterior surface 2a of the rods 2. It is pointed out that in FIG. 2, a single drive belt 62 is visible, due to the fact that the specific plan of this FIG. 2 implies that the other belts are hidden by the one shown. However, the drive belts 62, preferably identical, are spaced from each other along the longitudinal direction 16, for example around every 400 mm. Furthermore, the drive belts 62 are capable of being brought into movement by means of a step motor (not shown), again preferentially controlled by the control means 32, so that the upper part of said belts 62 can be displaced in a direction substantially parallel to the transversal direction 18 of the stand 8. In this respect, it is pointed out that in a manner known to those skilled in the art but not represented, the rods 2 may be displaced by means 58 in such a way that they lie on landings on which are integrated rollers, said rollers being capable of being driven by the drive belts 62. In addition, the plate 22 is pierced in order to be able to be crossed by said drive belts 62, and thus to allow the contact between these and the rollers supporting the rods 2. Thus, when the drive belts 62 are actually brought into movement and are in contact with the rollers supporting the rods 2, they then provoke the rotation of all of said rods 2 along their own longitudinal axes. In this way, it is possible to control all of the exterior surface 2a of each rod 2 by means of the inspection and measurement head 36, by carrying out a plurality of backward and forward movements with the trolley 28, each backward and forward movement of the trolley 28 being carried out along the whole length of the rods 2 to be controlled, for a given angular portion of said rods 2. It is pointed out that during the delivery of an image by the optical means 40 to the image acquisition and processing system 48, the electronic and computer assembly 30 is capable of associating with said image an address indicating the angular position of the rod 2 concerned, and the position of the trolley 28 in relation to the displacement stand 8, said position being delivered by the coding ruler as mentioned above. In addition, the images processed by the system 48, for which one or several defects have been detected, are capable of being transferred into the central memory 55 of the assembly 30, while being associated with their respective addresses, the content of which is detailed above. Finally, the assembly 30 of the device 1 comprises information means 66 capable of delivering and/or memory storing, for each rod 2 controlled, a result file of the control carried out. As will be more fully explained hereafter, said result file may indicate “pass”, “fail” or “to be recontrolled”, as well as the address and/or the image of the defect(s) detected in the two latter cases. The device 1 for controlling the exterior aspect that has just been described is capable of operating in the preferred manner described below, referring in particular to FIGS. 3a to 3c and to FIG. 4. In the first instance, in referring to FIGS. 3a to 3c, the operation of the device 1 will be described, during the exterior aspect control operations aiming to detect geometric defects, such as defects that could take the form of longitudinal or circumferential grooves on the exterior surface 2a of the rods 2, it being possible to consider said grooves as defects when they attain a depth greater than 25μ. In addition, they may also involve impacts, caulking or even stripping off of material, still at the level of said exterior surface 2a of the rods 2. A plate 22 of thirty two rods 2 is first transported in the direction of the displacement stand 8, for example in an automatic manner, in order to be brought to the rectangular position 23 provided for this purpose on the frame 10. In a known manner, the means of rotating 58 are then brought into action by the control means 32, in order to free the rods from their arrival position, in such a way that they then lie on their associated rollers. At this moment, the trolley 28 occupies a start position in which it is rested against the stand 8 and situated completely beyond the rods 2 in the longitudinal direction 16, as shown by a dotted line in FIG. 1. In addition, the support 34 for the inspection and measurement head 36 is positioned on the trolley 28 in such a way that said head 36 can inspect the first eight rods 2, located at the end of the bundle of thirty two elements. As shown in FIG. 3a, when the trolley 28 occupies its start position, the optical axes 46′ of the inclined secondary cameras 42′ are substantially perpendicular to the truncated surface 68 of the end cap 6, said truncated surface 68 normally being called the end surface of the cap 6 and forming an integral part of the exterior surface of said cap 6. In addition, the truncated surface 68 has a principal axis identical to a longitudinal axis 74 of the rod 2. By way of illustrative example, the optical axes 46′ of the secondary cameras 42′ may be inclined 450 in relation to a horizontal plane parallel to the flat surface 20 of the frame 10. In other words, the optical axes 46′ form an angle A′ of around 45° with the longitudinal axis 74 of the rods 2, in a plane perpendicular to the ground 14. An image is then taken by each of the four secondary cameras 42′, then transmitted to the image acquisition and processing system 48, which, as soon as the images are received, begins to carry out the processing. It should be noted that in the preferred embodiment described, each image taken by a secondary camera 42′ contains the representation of a part of two truncated end surfaces 68 belonging respectively to two adjacent rods 2. In parallel, the control means 32 displace the trolley 28 facing the end cap 6 in order to begin the scanning of the remainder of the exterior surface of said end cap 6, and that of the cladding 3 and the weld bead 4 of the rods 2. In this respect, it is pointed out that the part of the exterior surface of the end cap 6 remaining to be inspected is substantially cylindrical and of circular section, and constitutes an extension of the exterior surface of the cladding 3. To do this, the trolley 28 is displaced on the running rails 26, along the whole length of the rods 2, as shown schematically in FIG. 3b. Images are then taken regularly by the primary cameras 42, for precise positions of the trolley 28 in relation to the stand 8, so that the upper part of the exterior surfaces 2a of the rods 2, visible by said same primary cameras 42, are completely scanned. In this respect, it is pointed out that the precision of the positions of the trolley 28 is easily assured by the coding ruler equipping the displacement stand 8. After each image is taken, the images are directly delivered to the system 48, then analysed by said system 48 while the trolley 28 is displaced in order to return to the position in which the primary cameras have to take the following images. In the event where one or several geometric defects are detected by the system 48, the corresponding images are transferred into the central memory 55, while being associated with their respective addresses indicating the angular position of the rod 2 concerned, and the position of the trolley 28 in relation to the displacement stand 8. On the other hand, the images that have not been the subject of any detection of geometric defect are preferably not conserved in the memory. Nevertheless, it could be provided that they are stored for a given time, for example of the order of several days, by storing them in a compressed manner on a recording support such as a CD-ROM. Thus, the trolley 28 is displaced at constant speed by the control means 32 up to its final position in which it rests against the stand 8, and located facing the ends of the rods 2 opposite the caps 6, as also shown by a dotted line in FIG. 1. Once this final position has been attained, the control means 32 provoke the bringing into action of the means of rotating 58 the rods 2, so that the eight rods 2 inspected are pivoted along their own longitudinal axes. The fuel rods 2 concerned are consequently positioned in a different angular position from the previous one, with the aim of controlling another angular section of the exterior surface 2a of said rods 2. When the rotation has taken place, the scanning of the exterior surfaces of the claddings 3 and the caps 6 and the scanning of the weld beads 4 is carried out again, during the displacement of the trolley 28 from the final position to the start position. In the same way as previously, the images taken by the primary 42 and secondary 42′ cameras having been the subject of a detection of at least one geometric defect are stored in the central memory 55. By way of illustrative example, the means of rotating 58 are programmed so that the exterior surface 2a of the rods 2 is entirely scanned following twelve rotations. In such a case, the trolley 28 is controlled by the control means 32 in such a way as to carry out six backward and forward movements above said adjacent eight rods 2, each backward and forward movement corresponding to a given angular position of said rods 2. Once all of the backward and forward movements have been carried out by the trolley 28 and the images of the geometric defects have been transferred to the central memory 55 of the assembly 30, the control means 32 generate displacements of the roughness tester 50 with the aim of measuring the depth of each of the geometric defects detected. Thus, for each geometric defect detected, the trolley 28, the support 34 and the means of rotating 58 and controlled by the control means 32, so that the roughness tester 50 is placed facing the geometric defect concerned. Obviously, said displacements are carried out as a function of the address of the stored image containing the defect, and as a function of the positioning of said defect on the image. Then, the control means 32 provoke the displacement of the lifting plate 52, in such a way that the roughness tester 50 is placed near to the defect 70 detected, as shown in FIG. 3c. By way of indicative example, the plate 52 is displaced vertically downwards in such a way that the roughness tester 50 is placed 10 mm from the defect 70 detected. Measurements are then carried out by said roughness tester 50, which directly transmits the data collected to the acquisition and processing means 51 so that they can determine the depth of the defect 70. This operation is therefore repeated as many times as necessary to measure the depth of all of the geometric defects, the depth values then being associated with the images in the central memory 55 of the assembly 30. The control of the first eight rods 2 with regard to geometric defects now being completed, the trolley 28 is then displaced in its start position such as described here above, then the support 34 is also displaced in relation to the trolley 28 in such a way that the head 36 can inspect the next eight rods 2, as is shown in fine lines in FIG. 2. All of the operations described below are carried out in the same way for said eight new rods 2, as well as for the two other remaining sets of eight adjacent rods 2. Again by way of illustrative example, another solution could consist in providing that the trolley 28 is controlled by the control means 32 in such a way that, following the inspection of the first angular section of the first set of eight adjacent rods 2, said trolley 28 does not inspect the second angular section of the first set, but said first angular section of the second set of eight adjacent rods 2. Consequently, contrary to the example described previously, the trolley 28 carries out a succession of backward and forward movements in order to inspect a same angular section of each of the thirty two rods 2 in the bundle. Once said angular section has been fully inspected, the control means 32 provoke the bringing into action of the means of rotating 58 the rods 2, which then leads to a pivoting of all of said rods 2 in such a way that their next angular section can in turn be controlled by the trolley 28. In addition, it could be provided that, during the backward and forward movements carried out by the trolley 28 and as soon as a geometric defect has been detected, the control means 32 immediately generate a displacement of the roughness tester 50, with the aim of measuring the depth of said detected geometric defect. Whatever the solutions retained among those detailed above, when the bundle of rods 2 is inspected, the trolley 28 is equipped with a reader (not shown) integral with the support 34, which is capable of reading a bar code (not shown) present on each of said rods 2. Thus, when the reader reads a bar code, all of the information known on the rod 2 concerned is transferred to the information means 66 capable of delivering and/or memory storing a result file of the control carried out. Said result file, presenting the bar code of the rod 2, could firstly indicate “pass” when no geometric defect has been detected by the image acquisition and processing system 48. Moreover, in the event where at least one geometric defect has been detected by the system 48, the result file then preferably indicates “fail”. In this case, said file may also advantageously comprise the images of the detected defects, associated with the respective addresses and the associated depth values. It is pointed out that in the event where no geometric defect detected exceeds a pre-established depth value, for example 25μ, the result file could then indicate “to be recontrolled”, in order to determine if the presence of the defects adversely affects or not, in a significant manner, the quality of the surface condition of the rod 2. The detection operations of certain cleanliness defects such as the presence of traces of oil and foreign bodies on the exterior surface 2a of the rods 2, or even the presence on said surface 2a of black or coloured marks with a surface area greater than a determined value, may be carried out in a similar manner to that detailed for the geometric defect detection operations, and simultaneously with these latter operations, as with operations for detecting aspect defects in the weld bead 4 of the end cap 6, said defects can be present in the form of pitting, blisters, cracking, overflows, shortages, sags or even colouring defects in the weld bead. Indeed, when the images are delivered by the primary 42 and secondary 42′ cameras to the image acquisition and processing system 48, it is capable of differentiating geometric defects from cleanliness or weld bead aspect defects. Thus, when a cleanliness or weld bead 4 aspect defect is detected, the image associated with its address is directly transferred to the central memory 55, but the measurement operation with the aid of the roughness tester 50 will obviously not be ordered. In this way, following the reading of the bar code of a rod 2, the information known on said rod 2 and transferred to the means of information 66 may then include data concerning cleanliness or weld bead 4 aspect defects of the type described above, in such a way that in such a case, the result file of the control must indicate “fail”. In the event where the association between the cameras 42, 42′ and the image acquisition and processing system 48 do not prove sufficiently satisfactory for the detection of cleanliness defects of the type of oil traces present on the exterior surface 2a of the rods 2, it is then possible to carry out a detection of this type of defect by means of the lighting ramps 54 coupled to the diode detectors 56. Obviously, the detection by means of said lighting ramps 54 is carried out in parallel to the abovementioned operations, using the cameras 42, 42′. Thus, as shown in FIG. 4, during the displacement of the trolley 28 described above, the detectors 56 receive the light reflected on the rods 2 and emitted by the ramps 54. To do this, the optical axes 72 of the detectors 56 preferentially form an angle A of around 60° with the longitudinal axis 74 of the rods 2, in a plane perpendicular to the ground 14. Preferably, at the same time as the images are taken by the primary 42 and secondary 42′ cameras, the management module 57 acquires the results “ALL” or “NOTHING” delivered by said detectors 56. Consequently, when a detector 56 has in its field of view a trace of oil adhering to the exterior surface 2a of a rod 2, the reflection is then more intense and the signal delivered by the detector 56 goes from “NOTHING” to “ALL”. In this way, during the following acquisition carried out by the management module 57, said module 57 is informed of the presence of a trace of oil at a given address, and may therefore transfer said information to the central memory 55. Naturally, after each acquisition of the management module 57, it provokes the return to zero of the signals generated by the diode detectors 56. Thus, once again, following the reading of the bar code of a rod 2, the information known on said rod 2 and transferred to the information means 66 may then include data concerning cleanliness defects of the trace of oil type, in such a way that in this case, the result file of the control must indicate “fail”. Moreover, it is pointed out that with the presence of the cameras 42, 42′ and the image acquisition and processing system 48 and, more specifically, with that of the primary cameras 42, it is possible to verify that each rod 2 has indeed made a complete rotation during the defect detection operations. Indeed, each rod 2 has an identification number inscribed several times on the exterior surface of the cladding 3, for example four times. Said four identical identification numbers are thus inscribed on a same longitudinal level of the rod 2 concerned, for example of the exterior surface of the cladding 3 near to the end cap 6, parallel to their longitudinal axis 74, and every 90° around said axis. In this way, during the first forward movement of the trolley 28, when it comes to be positioned at the specific level of the rods 2 where said identification numbers are inscribed, the primary camera 42 concerned takes an image placed in the memory of the central memory 55. On the image obtained, the specific position of the visible identification number then defines an angular start position of the rods 2. Thus, the twelve angular control positions of the rod 2 being organised to overlap, the comparison between the first image and the twelfth image, theoretically identical, make it possible to determine if the rod 2 has undergone or not a complete rotation. If this is not the case, one or several additional increments may be ordered in order to inspect all of the exterior surface 2a of the rod 2. Naturally, said comparison of the first and the final images taken by the primary camera 42 is carried out by means of the image acquisition and processing system 48. The invention also concerns a method for controlling the exterior aspect of fuel rods 2 for nuclear reactors, said method being capable of being implemented with the aid of the control device 1 that has just been described, and comprising the principal steps consisting in detecting the geometric defects present on each rod 2 to be controlled, with the aid of optical means 40 having at least one camera 42, 42′ and linked to the image acquisition and processing system 48, then measuring the depth of each geometric defect detected during the geometric defect detection step, with the aid of a roughness tester 50. Obviously, various modifications may be made by those skilled in the art to the device 1 and the exterior aspect control method that have been described here above, uniquely by way of example and in a non-limitative manner.
summary
description
The present application is a U.S. National Phase application of PCT/US2005/021552, filed Jun. 17, 2005. This application also claims the benefit of U.S. Provisional Patent Application No. 60/581,508, filed Jun. 21, 2004. The disclosures of both applications are incorporated herein by reference in their entireties. Atom probes are analytical instruments that analyze the atomic-level composition of materials by field evaporation of atoms and small molecules from a specimen, and measuring their time of flight (TOF) from the specimen to a detector some distance away. See, for example, U.S. Pat. Nos. 5,061,850, 5,440,124 and 6,576,900 to Kelly et al.; International Publications WO 99/14793 and WO2004/111604; and Kelly et al., Ultramicroscopy 62:29-42 (1996). In a typical atom probe, the specimen is in the form of a sharp tip (often having a tip radius of ˜50 nm), and is held at a semi-static standing voltage that is below that necessary to cause field evaporation of the atoms at the tip of the specimen. A counter electrode, which usually has an aperture therein, is spaced about or at a slight distance from the specimen tip, with the specimen tip pointing through the aperture. A pulsed (usually negative) voltage is applied to the counter electrode, and/or a pulsed (usually positive) voltage is applied to the specimen, with sufficient magnitude to ionize the specimen tip, preferably a single atom at a time. Ionization usually does not occur with every pulse, and rather occurs once per several pulses (often with one ionization event for every 10-100 pulses). The amplitude of this pulse, called the “ionization pulse,” is typically 10% to 25% of the standing voltage. During the initial stages of analysis the specimen tip rapidly adopts a nominally hemispherical end form, since any atom that is more “exposed” to the ionizing field will be preferentially evaporated. The hemispherical end form of the tip creates an electric field that is nearly radial, and consequently when a specimen atom is ionized, it flies radially away from the specimen, through the aperture of any counter electrode, and toward a 2-dimensional (2D) particle detector (generally located 10-100 mm away from the specimen tip). The position at which the ion impacts the detector is measured, and this impact position is uniquely correlated with the ion's original position on the specimen surface. In this manner the specimen tip (of for example 50 nm size) is effectively projected onto the detector (of for example 40-100 mm size), yielding roughly a million-fold factor of magnification. Apart from monitoring the ion impact position, time of flight (TOF) mass spectroscopy is performed on the evaporated ions by measuring the time between the application of the ionization pulse (which roughly indicates the time of ion departure from the specimen) and the subsequent ion impact at the detector. The TOF measurement can be directly correlated to the mass to charge ratio (MTC) of the ion, which in turn can allow identification of the ionized atomic (or molecular) species. Thus, by utilizing the magnified “image” of the specimen and the elemental identification provided by the TOF mass spectroscopy, a 3-dimensional atom map of the specimen can be created. One of the inherent limitations of atom probes is that for a given MTC ratio (i.e., for a particular ionized species), a range of TOF values can be measured. This inherent spread in the TOF measurement limits the ability of atom probe techniques to distinguish between atomic (or molecular) species of nearly the same MTC ratio. In other words, the peaks in the TOF histogram of two different species may overlap, making it difficult to assign a specific MTC ratio to each species, and thereby making it difficult to identify the ions that are recorded in the overlapped region. Thus, there is a limit to the mass resolution (ionic species identification) capability of an atom probe. A second order effect of the finite mass resolution is decreased sensitivity to low concentration species. All atom probes record spurious events—for example, ionization events that occur independent of ionization pulses, “rogue” species in the atom probe which impact the detector, etc.—that contribute to a finite noise floor. In order for a given species to be definitively identified, it must be present in quantities that are statistically significant compared with the noise floor. The smaller the range in measured TOF, the more quickly a valid signal will emerge from the noise. One factor reducing the mass resolution in all atom probes that utilize an ionization pulse to initiate field evaporation of specimen ions is the (relatively small) uncertainty in the time of ion departure upon application of the ionization pulse, and the corresponding energy (velocity) that is imparted to the departing ion. This phenomenon is illustrated in FIG. 1, which schematically illustrates an exemplary plot (depicted as voltage versus time) of an ionization pulse at 100. (While the ionization pulse 100 is typically negative and delivered to a counter electrode, it is shown positive in FIG. 1 for clarity.) The rate at which ions field evaporate from a surface has been shown experimentally (in accordance with theory) to be exponentially dependent upon field strength, which is in turn linearly related to the applied voltage. As a result of the exponential nature of field evaporation, nearly all specimen ion evaporation events occur very near the peak voltage of the ionization pulse 100, with the range Δt in FIG. 1 illustrating the time interval over which most ionization occurs. The exact time at which any given atom or molecule is ionized during the ionization pulse 100 is described by the probabilistic distribution shown schematically at 102. The exact width of the distribution 102 varies with many experimental parameters, such as specimen material and temperature. Nevertheless, in all cases, the result is an uncertainty Δt in the exact ionization time of any given atom or molecule relative to the time to corresponding to the peak voltage of the ionization pulse 100. After being ionized, the atoms or molecules are accelerated by the electric field caused by the combination of the standing voltage and the ionization pulse voltage until the ions enter a relatively field-free region just inside the aperture of the counter electrode (if one is present). An atom or molecule that is ionized before the peak of the ionization pulse experiences an increasing field as it is accelerating away from the specimen and will therefore acquire more energy (i.e. velocity) as compared an atom or molecule that is ionized at the same voltage, but after the peak. Thus, there is a range of ion departure velocities, with most ions having velocities varying in the range Δv shown in FIG. 1 (which schematically illustrates the velocity distribution of ions at 104 in accordance with their time of ionization). Therefore, any given atom or molecule that is ionized in an atom probe will have an uncertainty Δt in the exact instant of ionization, and in the exact velocity (Δv) it acquires during and after the ionization process. As a given ion type traverses the distance from the specimen to the detector, the combination of Δt and Δv gives rise to a spread in the measured time of flight. This variation limits the ability to resolve species that have nearly identical MTC ratios. By varying the design of the atom probe, the exact form of FIG. 1 can be altered significantly—for example, the ions leaving early during the ionization pulse may be the slowest—but for a given design the variation in velocity versus the exact instant of ionization will be systematic, and therefore (at least theoretically) correctable. In practice, it is the velocity distribution Δv that creates the majority of the uncertainty in measured TOF, and consequently limits mass resolution in conventional atom probes. Traditionally, the atom probe and mass spectrometry communities refer to the velocity distribution Δv inherent in atom probes as the “energy deficit,” and the process of reducing the spread in the velocity distribution is called “energy compensation”. (Additionally, it should be understood that “velocity distribution” usually refers to the distribution of velocities for a particular species of ions evaporated from a specimen, not to the far wider distribution of velocities across all species.) An atom probe without any form of energy compensation will typically possess a mass resolution of 1 part in 80-200 as measured by the full-width at half-maximum (FWHM) of a given mass peak in the spectrum. A variety of energy compensation schemes have been employed, including: (1) Reflectrons. A reflectron is essentially an electrostatic mirror. Ions from the specimen are directed into the reflectron, where they stopped by a uniform decelerating electrostatic field. The same field then accelerates the ion back out of the reflectron at a small angle to the incident beam. Faster ions penetrate more deeply into the reflectron than slower ions, and therefore spend more time in the reflectron. If the distances between the specimen, reflectron, and detector are carefully chosen, the spread in measured TOP times can be reduced. Mass resolutions of 1 part in 800 (FWHM) have been reported for atom probes with reflectrons. The main disadvantage of reflectrons is that only a small range in the incident angle of incoming ions is properly reflected, limiting the use of the reflectron to 1-D atom probes, and to 3-D atom probes that have a relatively small angle of view. (2) Post Acceleration. In post acceleration, after the initial ionization event, all of the ions are accelerated to a significantly higher velocity by a constant voltage, known as a post-accelerating voltage, for the remainder of the flight distance to the detector. By increasing the velocity of the ions by a constant voltage, the fraction of the velocity due to the ionization pulse voltage—which is the source of the velocity variation—is minimized, and mass resolution is increased. The main disadvantages to this approach are that the amount of mass resolution improvement is asymptotically limited to a modest amount for reasonable instrument geometries and post acceleration voltages. Experimental results employing this technique suggest that mass resolutions of 1:400 to 1:600 (FWHM) are possible. (3) 163° Poschenrieder Energy Compensating lens. This technique employs a semicircular ion flight path of 163° created by electrostatic fields to compensate for the differences in ion velocities. A faster ion traverses the semicircular flight path with a slightly larger radius than that of a slower ion, and as a result, it has a longer flight length. If the proper dimensions are calculated—the 163° angle is the result of analytical calculations—the different flight paths/lengths of the ions result in the ions having the same flight times to the detector. Mass resolutions of 1:5000 (FWHM) have been achieved with this technique. The main limitation of this technique is that it destroys information related to ion position, and is therefore limited to 1D atom probes where knowledge of the original positions of the ions on the specimen is not needed. (4) Ion Deceleration Via a Counter Electrode. This technique is schematically depicted in FIG. 2A, wherein a specimen 200 is shown in an atom probe chamber 202 spaced from a detector 204, and with the specimen 200 being connected to a source 206 of standing voltage. Departing ions (illustrated by flight cone 208) pass in turn through a first counter electrode 210 connected to an ionization pulser 212, and then through a second counter electrode 214 which is well connected to ground 216 (or to some other constant potential equal to the non-pulsed potential of the first counter electrode 210, as depicted in FIG. 2C). When the first counter electrode 210 is pulsed by the pulser 212 to ionize atoms on the specimen 200, the ions traveling to the second counter electrode 214 are all slowed to approximately the same velocity (one corresponding to the non-pulsed potential of the electrodes 210 and 214). This results in a reduction in the spread of the velocity distribution caused by the duration and magnitude of the ionization pulse. Mass resolutions of approximately 1:350 (FWHM) have been reported with this technique. The main limitation of this technique is the modest increase in mass resolution. FIG. 2B illustrates the analogous circuit for FIG. 2A, wherein the inherent capacitances 218 and 220 between the first counter electrode 210 and the specimen 200, and between the second counter electrode 214 and both of the first counter electrode 210 and the specimen 200, are depicted; these capacitances will be relevant to later discussion. It would therefore be useful to have available some means for attaining better mass resolution in atom probes while reducing or eliminating the difficulties involved with the prior mass resolution enhancement techniques. The invention, which is defined by the claims set forth at the end of this document, is directed to devices and methods which at least partially alleviate the aforementioned problems. A basic understanding of some of the preferred features of the invention can be attained from a review of the following brief summary of the invention, with more details being provided elsewhere in this document. In an atom probe (or some other mass spectrometer) wherein a specimen is subjected to ionizing pulses which induce field evaporation of ions from the specimen, energy compensation is performed by subjecting the evaporated ions to corrective pulses which are synchronized with the ionizing pulses. These corrective pulses have a timing and magnitude such that they reduce the velocity distribution of the evaporated ions, i.e., evaporated ions of a given mass-to-charge ratio (and thus of a given species) will not have as wide of a range of velocities as they depart the specimen. A preferred arrangement is to provide each corrective pulse from a counter electrode in response to a corresponding one of the ionizing pulses. An exemplary version of this arrangement is depicted in FIG. 3A, wherein the specimen 300 is subjected to an ionizing pulse from a first counter electrode 310, and the corrective pulse is then delivered by a second counter electrode 314. Other arrangements are possible, e.g., the first counter electrode 310 may be eliminated and the ionizing pulses may be delivered by other means (such as by subjecting the specimen 300 itself to ionizing voltage and/or laser pulses), with the counter electrode 314 then supplying the corresponding corrective pulses. FIG. 3C then depicts a plot of an exemplary ionizing pulse (in solid lines) and a corresponding corrective pulse (in dashed lines), showing the corrective pulse lagging the ionizing pulse in such a manner that any late-departing ions in FIG. 1 have their velocities increased, thereby reducing Δv and effectively flattening the top of the velocity curve 104 in FIG. 1. The amplitudes of the corrective pulses must be sufficient to have an appreciable effect on the velocities of the ions, and thus it is preferred that the corrective pulses have amplitudes which are at least 10% of, and more preferably at least 50% of, their corresponding ionization pulses. The corrective pulse may be generated on the counter electrode by a passive component, i.e., one or more resistors, capacitors, inductors, diodes, and/or other components which do not require an independent power supply. Such an arrangement is shown in FIG. 3A, wherein a passive component 322 is placed between the second counter electrode 314 and ground 316 (or between the second counter electrode 314 and some other source of constant voltage). In this case, the corrective pulse may be passively generated on the second counter electrode 314 by the ionizing pulse on the first counter electrode 310 owing to the capacitive coupling between the first and second electrodes 310 and 314. The passive component 322 preferably has adjustable value so that the form of the corrective pulse can be varied to some extent, thereby allowing corrective pulses of different shapes and amplitudes to be used under different conditions. Alternatively (or additionally), the corrective pulse may be generated on the counter electrode by an active component, i.e., some component such as a pulser, an amplifier, and/or a biased diode which requires an independent power supply to generate the corrective pulse in response to an ionizing pulse. In this arrangement, exemplified in FIG. 4A, the active component (shown at 422) receives a control signal from the first counter electrode 410 (or from any other source of ionizing pulses) when the ionizing pulse is delivered, and it generates a corresponding corrective pulse on the counter electrode 414. As with the passive component 322 discussed above, the active component 422 is preferably tunable/programmable so that the form of the corrective pulse may be altered to attain desired effects on the velocity distribution. Further advantages, features, and objects of the invention will be apparent from the following detailed description of the invention in conjunction with the associated drawings. The invention provides an energy compensation arrangement for increasing the mass resolution in atom probes and other mass spectrometers which employ a pulsed ionization mechanism. Looking to the exemplary version of the invention depicted in FIG. 3A, a specimen 300 is shown in an atom probe chamber 302 spaced from a detector 304, with the specimen 300 being connected to a source 306 of standing voltage. A first counter electrode 310 is connected to an ionization pulser 312, and a second counter electrode 314 is situated adjacently to the first between the specimen 300 and detector 304, similar to the counter electrode ion deceleration arrangement discussed above and shown in FIGS. 2A-2C. However, the second counter electrode 314 also has a pulsed voltage applied to it, with the pulse being tailored to accelerate and/or decelerate the ions 308 passing it so as to reduce the variation in time of flight discussed previously with reference to FIG. 1. For example, in the preceding discussion it was noted that for many atom probe configurations, ions leaving earlier during the ionization pulse would (usually) have a higher velocity than those leaving later. In this instance, the corrective pulse delivered to the second counter electrode 314 could be formed (e.g., synchronized with respect to the ionization pulse on the first counter electrode, and provided with some desired pulse shape and amplitude) to decelerate the early ions 308 by a greater amount than ions 308 arriving later, thereby reducing the effective spread in the ion departure times. The amplitude and form of the corrective pulse delivered to the second counter electrode 314 can be designed to reduce the effect of the systematic variation in Δt and Δv on the measured TOF. In practice, the desired form (timing, shape and/or amplitude) of the corrective pulse can be determined by either directly measuring the TOF spread without any corrective pulsing and then forming the corrective pulse to reduce the spread during subsequent ionization pulses on the first counter electrode 310, or by using computer modeling to determine a predicted TOF spread (without corrective pulsing) and devising an appropriate form for the corrective pulse. A combination of both approaches could also be used, e.g., by using computer modeling to devise an initial corrective pulse form, and then refining it empirically after specimen ionization begins and experimental TOF data is available. Since the shape of the corrective pulse (in particular, its skewness about its peak) will depend on many variables including the spacing between the first and second counter electrodes, operational voltages, ion flight distance, and other machine/material parameters, it is preferred that the pulse shape be at least partially based on empirical data so as to achieve better improvement in mass resolution. In practice, a simple way to generate the corrective pulse on the second counter electrode 314 is to electrically couple the ionization pulse to the second counter electrode 314. By using an appropriate combination of electronic devices (e.g. passive devices such as resistors, inductors, capacitors, diodes, etc. or combinations of these devices, or active devices such as pursers, amplifiers, biased diodes, etc. or combinations of these devices), the corrective pulse can be tailored to an appropriate form for providing reduction in TOF spread. There are limits to the possible pulse shapes that can be generated from the ionization pulse, particularly where passive coupling is used, so the optimal corrective pulse shape may not always be obtained. Nevertheless, in experimental versions of the invention, even imperfect corrective pulse shapes generated by use of passive coupling have resulted in significant increases in mass resolution (as will be discussed below). A particularly elegant implementation of this technique is to exploit the fact that two closely spaced counter electrodes 310 and 314 are inherently capacitively coupled (as discussed above with reference to FIG. 2), and this coupling need merely be modified to generate a corrective pulse of sufficient magnitude (and having appropriate timing, shape, etc.). FIGS. 3A and 3B illustrate an arrangement wherein the second counter electrode 314 is connected to a source 316 at ground potential (or to some other constant potential) via some passive pulse shaping element(s) 322, i.e., some resistor, inductor, capacitor, diode, or combination/network of such elements. When the ionization pulse is delivered to the first counter electrode 310, the second counter electrode 314 will experience a voltage pulse because it is capacitively coupled to the first. The values of the coupled capacitance can be changed by varying parameters such as the spacing of the two apertures, changing any material situated between the electrodes, changing the relative dimensions/coupling cross sections of the electrodes 310 and 314, etc., and the formation of the corrective pulse can be further enhanced by the installation of appropriate passive pulse shaping elements 322 (one or more of resistors, capacitors, inductors, and/or diodes), with these components preferably being situated between the second electrode 314 and ground 316 (or whatever other potential). With the choice of appropriate elements 322, the corrective pulse can (partially or wholly) adopt the desired form. The use of passive elements 322 between the second electrode 314 and ground 316 has the advantage that very little modification to the electrodes 310 and 314 (and the atom probe in general) is required, and no active components (i.e., components with power supplies and/or requiring control signals for operation) are needed. This approach was experimentally implemented in a LEAP atom probe (Imago Scientific Instruments, Madison, Wis., USA), wherein a 1 kohm resistor placed between the second counter electrode 314 and ground 316 increased the mass resolution by about 20%. See FIG. 5, which shows the difference in results between a standard/unpulsed second counter electrode 314 and a corrective/pulsed second electrode 314. Mass resolution was significantly improved at both the full width half max (FWHM and full width tenth max (FWTM). No active corrective pulse was applied to the second counter electrode 314, i.e., it was passively correctively pulsed via its capacitive coupling to the first counter electrode 310, and the ionization pulse and resulting corrective pulse are illustrated in FIG. 6. In another experiment, a resistor of 1 kohm and a capacitor of 10 pF were placed in series between the second counter electrode and ground, and a similar improvement in mass resolution was obtained. It should be understood that in the foregoing experiments, the inherent capacitance—which is depicted in FIG. 3B at 318 and 320, and which arises from the mount connecting the electrodes 310/314 to the ground 316 and other components—was approximately 1-3 pF, so the foregoing resistors/capacitor were effectively provided in combination with this inherent capacitance. In a conventional arrangement (e.g., one as depicted in FIGS. 2A-2C), the inherent capacitance does not provide any corrective pulse effect (as depicted in FIG. 2C), but when the passive element 322 is situated between the second electrode 314 and ground 316, the second electrode 314 is effectively buffered such that it may fluctuate with respect to ground 316 to generate a quantitatively significant corrective pulse. When a resistance is included in the passive element 322, it is believed that the resulting arrangement effectively acts as a passive differentiator (an RC differentiator), wherein the amplitude of the corrective pulse is roughly proportional to the rate of change of the ionization pulse (and to the magnitude of the resistance and/or capacitance values used). Preferred resistance values are 500 ohms or greater, and preferred capacitance values are 5 pF or greater, though other values may be used depending on the configuration and characteristics of the atom probe being used, and on the parameters under which it is operating. Where passive pulse shaping elements 322 are used to generate the desired corrective pulse on the second counter electrode 314, it is particularly preferred that the passive shaping elements 322 be tunable (i.e., that variable resistors, capacitors, etc. be used). This is because a variety of other parameters in the atom probe will affect mass resolution—e.g., electrode 310/314 configuration and placement, distance to the detector 304, the form of the ionization pulse, etc.—and these parameters may be changed not only between different operating sessions of the atom probe, but possibly during the course of a single session. For example, it is common to adapt the form of the ionization pulse during an operating session; in particular, its voltage is generally increased as more of the specimen 300 is ionized. As another example, it is also common to adjust the distance between the electrodes 310/314 and the detector 304 between operating sessions to obtain some desired magnification, field of view, and/or nominal mass resolution (with a discussion of such adjustment being provided in WO2004/111604). Thus, the ability to adapt resistance, capacitance, diode voltage bias, etc. values between or during operating sessions can allow the corrective pulse to be appropriately modified to obtain mass resolution enhancement for whatever operating parameters (detector distance, etc.) are presently in place. Additionally, since the amount of mass resolution enhancement will also depend to some degree on the MTC ratio of the ion species being evaporated, tunable components allow a corrective pulse to be optimized for the range of MTC ratios of greatest interest. As noted above and as depicted in FIG. 4, it is alternatively (or also) possible to connect the second counter electrode 414 to a dedicated pulser, amplifier, biased diode (e.g., a TRAPATT diode, see Baker, R. J., “Time Domain Operation of the TRAPATT Diode for Picosecond-Kilovolt Pulse Generation,” Rev. Sci. Instrum. 65 (10) (October 1994)), or other active pulse shaping device 422 which creates an appropriate corrective pulse. In practice, the ionization pulser 412 on the first counter electrode 410 would provide a trigger signal to the corrective pulser 422 on the second counter electrode 414, with a trigger communication line being depicted in FIG. 4A at 424, so that the corrective pulse is delivered at the desired time, and with the desired shape and amplitude. While this approach will generally be more expensive than the use of solely passive components, it has the benefit of being more flexible since a pulser or other active pulse shaping components 422 can usually be controlled to create a wider range of corrective pulse forms than the range that can be delivered by use of passive components alone (even where such components are tunable). For example, whereas the corrective pulse delivered by a passive component such as a capacitor or RC network will generally have a limited amplitude—one dependent on the amplitude of the ionizing pulse—the corrective pulse delivered by an amplitude-controllable pulser can be varied to virtually any desired level (limited only by the power output of the pulser). Further, the corrective pulse forms are more “controlled” in that passive components 322 may create corrective pulses with undesirable tails (or tail shapes), trailing oscillations, or other unwanted characteristics, whereas active components 422 need not do so, as can be seen from a comparison of FIGS. 3C and 4C. Additionally, as noted above with respect to the use of tunable passive components, the corrective pulse can be modified by active pulse shaping components 422 during operation of the atom probe to maintain optimal mass resolution over a wide range of operating parameters. It should be understood that the various preferred versions of the invention described above are provided to illustrate different possible features of the invention and the varying ways in which these features may be combined. Apart from combining the different features of the foregoing versions in varying ways, other modifications are also considered to be within the scope of the invention. Following is an exemplary list of such modifications. First, it should be understood that the correctively pulsed counter electrode may take a wide variety of forms, such as an apertured plate, a funnel-like member (as depicted in FIGS. 2A, 3A, and 4A), a bowl-like member, a tube or other passage (which might converge or diverge over a portion of its length), or other forms. Other forms such as branched/furcated members (or other members which are not symmetric about the ion flight cone), or meshed members, may also be possible, though symmetric members are generally preferred because they generate more uniform and predictable electric fields. In short, the correctively pulsed counter electrode may adopt virtually any form so long as it generates a useful corrective pulse. Second, it is also possible to provide additional counter electrodes—a third, fourth, and so on—which can also provide corrective pulses when desired, with the corrective pulses between the different electrodes cooperating to provide the desired mass resolution enhancement. Third, recall from the prior discussion that some prior atom probes provided ionization pulses not to a counter electrode, but to the specimen itself (via the specimen mount). The corrective pulses of the invention could be generated from any source of ionizing pulses, whether the ionizing pulses are provided on a first counter electrode, on the specimen, or on both the specimen and the counter electrode. To illustrate, the invention could be utilized in a system such as that described in International Application PCT/US2004027062, wherein ionization pulses are delivered via a laser. In this case, only a single counter electrode is needed (though more could be present), and it could bear a corrective pulse which is synchronized with respect to the laser pulse delivery. Fourth, the invention may utilize corrective pulses which have timing dependent on ionization pulses, but which otherwise have shapes and amplitudes which are independent of the ionization pulses. As an example, the pulse shaping device 422 could always emit a corrective pulse having the same size and shape, with the corrective pulse simply being synchronized with respect to the ionization pulse to adjust the velocities of ions having late evaporation. While such corrective pulses may be less than optimal, they should nonetheless provide some improvement in mass resolution. Fifth, as discussed above, the corrective pulses may be generated by use of a passive component (including resistors, capacitors, inductors, diodes, etc. or some combination of these components), an active component (including pulsers, amplifiers, biased diodes, etc. or some combination of these components), or a combination of active and passive components. It should be understood that the location of these components may vary, i.e., they may be in the vacuum chamber of the atom probe, or remote from the counter electrode with their corrective pulses provided by some feedthrough connection (preferably one which is tailored to provide beneficial impedance). The invention is not intended to be limited to the preferred versions of the invention described above, but rather is intended to be limited only by the claims set out below. Thus, the invention encompasses all different versions that fall literally or equivalently within the scope of these claims.
summary
summary
claims
1. A space based heat pipe cooled reactor, comprising:a reactor core;a heat pipe disposed in a vacuum, the heat pipe in thermal communication with the reactor core;a power converter havinga plurality of heat collector surfaces arranged about the heat pipe to form a radiant heat receiving cavity, wherein the vacuum is between the heat pipe and the plurality of heat collector surfaces,n- and p-legs formed of n- and p-type thermoelectric materials, respectively, which are each disposed in thermal communication with the heat collector surface,parallel electric busses electrically coupled to the n- and p-legs anda housing, which is electrically decoupled from the busses, configured to support the heat collector surfaces at a predefined distance from a heat pipe.
description
1. Technical Field The present invention pertains to beam control within electron microscopes. In particular, the present invention pertains to determining optimal beam parameters for a scanning electron microscope and automatically applying the optimal beam parameters to adjust the microscope beam for enhanced operation. 2. Discussion of Related Art A scanning electron microscope (SEM) may be utilized to measure a dimension of a pattern in a sample, such as a semi-conductor device. Generally, the microscope directs electrons in the form of a beam to converge at a point on a microscope stage containing the sample or semi-conductor device. The electron beam is scanned across the stage, where electronic control mechanisms are typically housed within a column of the microscope and utilized to steer and focus the beam onto the sample. These mechanisms include various lenses and a cylindrical coil with additional exterior coils to produce electric fields to steer the beam along one or more axes toward the sample. The parameters of these mechanisms are critical to proper microscope operation for an application. When the sample on the stage is irradiated, a physio-electrical reaction occurs and electrons are reflected and/or discharged from the sample surface. The microscope collects and detects the electrons from the sample surface to form an electronic intensity profile of that surface. Since various portions of the semi-conductor device produce different electron spectra (e.g., different material layers, different topography (e.g., flat areas, edges, etc.), etc.), the profile basically provides a view of the sample surface. The scanning of the beam across a desired sample portion and subsequent collection of the reflected and/or discharged electrons enables measurement of the sample portion size. This feature is commonly known as a critical dimension (CD) and may be of any feature or characteristic of the sample. Electron microscopes performing these types of measurements are generally referred to as critical dimension scanning electron microscopes (CDSEM). Since uniformity and accuracy with respect to critical dimension measurement is crucial for device yield in semi-conductor processing, the scanning electron microscope is employed to provide an accurate critical dimension measurement. However, the quality of the beam image may degrade due to various causes (e.g., astigmatism of the beam system, reduced resolution attributed to defocusing, etc.), thereby rendering measurements unsatisfactory. Thus, set-up and maintenance of the electronic and physical hardware of the microscope for beam delivery and subsequent electron collection are crucial. Further, chamber conditions and substrate materials are considered since these have a significant effect on the optimization of the beam delivery parameters for each substrate layer. Accordingly, frequent changes are required for the set points and alignment of the microscope beam. This process is generally performed manually. Specifically, a vendor typically provides an artifact on the microscope stage. The microscope scans a particular feature of the artifact (e.g., prior to processing of the desired semi-conductor device) and a technician subsequently adjusts set points of beam focusing and stigmation parameters (e.g., via adjustment of potentiometers). This is typically accomplished by altering a control current of an objective lens and control current of the above-described coils while observing the beam image. The technician is basically aligning the beam with respect to a plurality of axes to optimize the visual acuity of the sample on the stage. The visual acuity generally refers to the condition providing an optimal beam conditioning (e.g., the best beam focus, the least effect of stigmation, etc.) for the particular sample. The related art suffers from several disadvantages. In particular, the set points and parameters are determined based on a subjective viewing of image quality by the technician. This tends to provide varying criteria for image quality and produce variations in the determined set points and parameters, thereby producing varying results for feature measurements. Further, microscope control settings determined from poor microscope images may result in unpredictable actions. Moreover, the microscope is typically monitored and/or calibrated periodically. Thus, the microscope may be utilized with marginal or inadequate settings for a particular sample and provide inaccurate measurement results. In addition, the manual process typically requires several iterations to attain desired settings and may be repeated several times in a short time interval, thereby significantly increasing the time for tasks and semi-conductor processing. According to the present invention, a method and apparatus quantifiably define optimal conditions for a scanning electron microscope (SEM), preferably a critical dimension scanning electron microscope (CDSEM). The present invention basically provides an image quality monitor for the microscope and utilizes image processing and optimization to monitor and maintain image quality at a desired level. Images from a stage sample are automatically collected, while microscope operational parameters are determined based on image processing to enable continuous monitoring of microscope operation. The present invention technique may be performed manually or automatically within or external of the microscope controller and generates set points for beam conditioning elements to produce or maintain ideal beam conditions to enhance image quality. The present invention generates data indicating optimized values for each beam alignment parameter. The optimized values are applied to the internal microscope values to optimize the beam. The results may be provided to the technician, a data storage system or directly to the microscope control mechanisms. In particular, data is collected across a range of beam optimization tuning parameter values (e.g., focus, stigmation or other beam parameters may be varied with analysis of reflected and/or discharged electron density images). A data characteristic is determined for output variation based on input variation. For example, pixel image intensity counts have separable responses for beam focus control set points, where the histogram of the pixel image intensities have predictable separation in histogram regions associated with image edges for a current sample. The output characteristic of unique data values are modeled to produce a model describing the beam conditioning and optimal set points for each control function. The models are utilized to determine optimal beam parameters that are applied to the microscope. The present invention provides several advantages. For example, the present invention automatically aligns the microscope beam and employs a self-alignment control. Quantifiable beam parameter set points are determined for ideal focus, stigmation and a stigmation control. The present invention enhances product performance and yield due to improved in-line and end-of-line critical dimension measurement and control, and improves feedback and feed-forward data control via high integrity data collection. In addition, the present invention provides rapid and inexpensive beam alignments without need for human intervention. The above and still further features and advantages of the present invention will become apparent upon consideration of the following detailed description of specific embodiments thereof, particularly when taken in conjunction with the accompanying drawings wherein like reference numerals in the various figures are utilized to designate like components. The present invention is directed toward automatic monitoring of electron scanning microscopes (SEM), preferably critical dimension scanning electron microscopes (CDSEM), and automatic adjustment of microscope beam parameters to optimal values based on quantifiable criteria to enhance image quality. An exemplary arrangement for a scanning electron microscope according to the present invention within a semi-conductor processing facility is illustrated in FIG. 1A. Specifically, a scanning electron microscope 10 is coupled to a machine manager 52. The machine manager is preferably implemented as a server computer system coupled to a network 8 and may be implemented by any conventional or other processing system (e.g., personal computer, etc.) with appropriate software. The machine manager processes requests for and monitors operation of microscope 10, and may accommodate any quantity of microscopes within the facility. The network is preferably implemented as a local area network (LAN), but may be any type of network (e.g., WAN, Internet, etc.) or other communications medium. The microscope produces various images that are stored in an image store 134 in communication with the microscope via network 8. A computer system 46, preferably a personal computer, is in communication with machine manager 52, microscope 10 and image store 134, via network 8, to monitor, analyze and adjust microscope beam parameters according to the present invention as described below. The computer system may be implemented by any conventional personal or other suitable computer system or workstation preferably equipped with a display or monitor, a base (e.g., including the processor, memories and/or internal or external communications devices (e.g., modem, network cards, etc.)), a keyboard and a mouse or other input device. The computer system includes software (e.g., operating system, microscope monitoring software, etc.) and appropriate components (e.g., processor, disk storage or hard drive, etc.) including sufficient processing and storage capabilities to effectively execute that software. The computer system may include any suitable platform (e.g., Unix, Windows, Macintosh, etc.) The facility may include similar arrangements for any quantity of other microscopes within the facility, where the arrangement may include any quantity of components (e.g., microscopes, machine managers, computer systems, networks, image stores, etc.). The various components (e.g., microscope, machine manager, computer system, image store, etc.) may be in communication with or coupled to each other in any suitable fashion (e.g., wired, wireless, over a network (e.g., WAN, LAN, Internet, etc.), directly or indirectly coupled, local or remote from each other, etc.) via any communications medium and may utilize any suitable communication protocol or standard. An exemplary scanning electron microscope or tool employing the present invention is illustrated in FIG. 1B. Specifically, electron microscope 10 includes an electron gun 12, a dispersion device 32, a magnetic lens 14, stigmator coils 16, an optional condenser lens 25, a reflected electron detector 34, a secondary electron detector 36 and a sample stage 22. The microscope further includes a beam controller 30 to control the various microscope components and collection of information from a beam scan for processing as described below. A beam 18 is emitted from electron gun 12 and is dispersed or widened by dispersion device 32 (e.g., an anode). The beam is accelerated by magnetic lens 14 toward stigmator coils 16 to control astigmatism or symmetry of the electron beam. Optional condenser lens 25 may be utilized to focus the beam onto sample stage 22. The various beam controlling components are controlled by beam controller 30. The beam controller accesses a file or other storage device 54 containing various beam control parameters and settings to control the beam. The beam controller may be implemented by any conventional or other controller, microprocessor or circuitry. The sample stage is typically movable in a plurality of axes (e.g., X, Y and Z axes), while the electron beam may be scanned in two-dimensions across the sample stage. The sample stage typically includes a product specimen 28 (e.g., semi-conductor device) and a sample 20 utilized for beam parameter adjustment as described below. The sample maybe in any desired form and may include any features optimal for a particular input control parameter. Scanning of sample 20 with beam 18 generates return particles 24, 26 (e.g., particles characteristic of sample 20) from the sample surface. Particles 24 represent electrons reflected from the sample surface, while particles 26 represent electrons discharged from the sample surface due to collisions with electron beam 18. The reflected and discharged particles are respectively detected by reflected and secondary electron detectors 34, 36. The detected signals are processed to form an image by image formation unit 38, where the image is stored in image store 134. The microscope components described above (e.g., electron gun, lenses, dispersion device, stigmator coils, stage, etc.) are preferably implemented by conventional components and may be arranged in any suitable fashion. A microscope controller 48 processes and applies beam parameters to control microscope operation and enables the microscope to operate and provide various beam conditions. The microscope controller may further enable communications with external devices (e.g., image store, machine manager, computer system, etc.) or networks. The microscope controller may be implemented by any conventional or other microprocessor, controller or processing system. Basically, the microscope controller in combination with the beam controller control microscope operation based on various beam or other parameters. The parameters may include: a focal length of the lenses (e.g., control of focusing by adjusting currents flowing through lens coils), astigmatism of the electron beam (e.g., astigmatism correction by adjusting currents flowing through the stigmator coils), a deviation of the axis of the electron beam from a reference axis, brightness and contrast of the image (e.g., by adjusting bias and gain of detector units), acceleration voltages, scan rates (e.g., by adjusting currents flowing in lens and/or stigmator coils), an amount of movement of a field of view of the sample (e.g., selecting the field of view by adjusting currents flowing through the lens and/or stigmator coils or moving the sample stage), an emission current, starting/stopping of scanning, starting to create an image, magnification and corresponding voltage and measuring of a length between arbitrary positions on the image. In order to ensure proper operation, frequent changes are required for the set points and alignment of the microscope beam as described above. This process is generally performed manually, where the microscope scans a particular feature of a sample and a technician subsequently adjusts set points of beam focusing and stigmation parameters (e.g., via adjustment of potentiometers) while observing the beam image as described above. However, the set points and parameters are determined based on a subjective viewing of image quality by the technician. This tends to provide varying criteria for image quality and produce variations in the determined set points and parameters, thereby producing varying results for imaging and critical dimension measurements. Accordingly, the present invention utilizes quantifiable criteria to evaluate image quality and produces optimal beam parameters for the scanning electron microscope based on image processing to enhance imaging. The present invention basically serves as a monitoring system for the microscope to evaluate images and adjust beam parameters to optimal settings, and is preferably implemented as a software module (e.g., monitor module or unit 42) residing on computer system 46 that calculates and communicates the determined beam parameters to microscope 10. Alternatively, the monitor module may reside within microscope controller 48. The present invention may be implemented by any quantity and/or combination of software and/or hardware modules or units. The microscope monitoring software is preferably implemented in a MATLAB computing language (e.g., a matrix libraries software package with image processing capabilities), available from The MathWorks, Inc. of Natick, Mass. The software may be compiled with a MATLAB compiler and utilized as an executable module on various processing systems (e.g., even without the originating software application present). However, the microscope monitoring software may be implemented in any desired computing language suitable for the microscope controller or computer system. The computer system or microscope controller, under software control, basically implements the present invention for monitoring and determining optimal microscope beam parameters as described below. The computer system may operate as a stand-alone tool to provide optimal beam parameters to a technician for manual application to the microscope or automatically transmit the optimal beam parameters to the microscope for automatic adjustment. Further, the software of the present invention may be available on a recordable medium (e.g., magnetic, optical, floppy, DVD, CD, etc.) or in the form of a carrier wave or signal for downloading from a source via a communication medium (e.g., bulletin board, network, WAN, LAN, Intranet, Internet, etc.). The manner in which optimal microscope beam parameters are determined according to the present invention is illustrated in FIG. 2A. Initially, the microscope controller and/or the computer system may include the monitoring module to monitor and determine optimal beam parameters according to the present invention as described below. Specifically, the microscope acquires images of sample 20 (FIG. 1B) with varying focus parameter settings at step 108. The beam delivery control functions (e.g., focus) are driven through a desired range to acquire the images. For example, a plurality of images of the sample may be acquired each with a different focus actuator setting (e.g., focus is varied and the focus actuator parameter for each analysis point is recorded as viewed in FIG. 3). The focus actuator parameter basically controls the configuration of the microscope lenses (e.g., magnetic lens 14, condenser lens 25, etc.) and the position of stage 22. Each captured image is stored in a folder or file 130 and transferred to historical image store 134 for storage. The microscope further acquires images of sample 20 with varying settings for other beam delivery control functions (e.g., stigmation parameter settings) at step 110. For example, a plurality of images of the sample may be acquired each with a different stigmation parameter setting (e.g., parameters providing proper and improper beam alignment, improper Stigmation X and Y parameters and parameters providing underfocus and overfocus as viewed in FIG. 8). The stigmation settings basically control stigmator coils 16. Each captured image is stored in a folder or file 132 and transferred to historical image store 134 for storage. The acquired images are analyzed for determination of optimal microscope beam parameters by constructing a process model of the optimized settings (e.g., focus and stigmation) at step 112. This is further described with reference to FIG. 2B. In particular, once the images are captured, image analysis may be initiated for determining the optimal beam parameters at step 140. For example, machine manager 52 (FIG. 1B) may monitor operation of electron microscope 10 and invoke or launch the monitor module of microscope controller 48 and/or computer system 46 to commence determination of the optimal beam parameters. The images are subsequently retrieved from image store 134 and sorted based on the focus, stigmation or other settings at step 142. An image quality value is subsequently determined as a function of the microscope focus setting at step 144. In particular, this is accomplished by identifying differentiating elements of the sample for analysis. For example, edge acuity of the sample may be in a particular region of interest for determination of an optimal beam parameter for focus. An exemplary sample pattern or image acquired by an electron microscope is illustrated in FIG. 4. In this example, the regions of interest include light regions 190 indicating edge acuity, while dark regions 180 are outside the region of interest. The retrieved images associated with the varying focus parameters are analyzed to identify a differentiating characteristic. In particular, the intensity of each image pixel is determined, where exemplary resulting data are graphically illustrated in the form of a histogram as illustrated in FIG. 5. The histogram includes a Y-axis representing a quantity of image pixels and an X-axis representing pixel intensity. The histogram basically includes a plot corresponding to each image produced from an associated focus parameter setting. The plots each indicate the amount of pixels at each intensity for an image or, in other words, the distribution of the pixel intensity across the image. The plots associated with the various focus parameter settings are substantially similar, except for a certain region 160 (e.g., an intensity in the range of 130-210 as viewed in FIG. 5), where the intensity histograms separate and the slope deviates for each of the plots. Thus, the slope is identified as the differentiating characteristic. Since the region of separation includes an intensity range corresponding to a light region 190 (FIG. 4), the region of separation excludes dark regions 180 (e.g., since the dark regions are associated with minimal intensity values) and is within the region of interest described above (e.g., light region 190) for FIG. 4. The plots may indicate one or more regions of separation, where each region may be processed in the manner described below to determine an optimal parameter setting. Once the differentiating characteristic is determined, a characteristic of the plots is identified in the region of separation that may be modeled to predict an optimal state for the parameter. Since the histogram is virtually linear for the exemplary focus parameter settings in a certain region of interest within the separation region (e.g., intensities in the range of 160-190) as illustrated in FIG. 6, conventional linear regression techniques (e.g., least squares, etc.) are utilized within this region of interest for the various focus setting plots to determine their slopes. Basically, the linear regression techniques produce a line equation (e.g., in the form of y =mx +b, where y is a resulting Y-axis coordinate, x is an X-axis coordinate, m is the slope and b is the Y-axis intercept or coordinate with respect to a zero X-axis coordinate) for each of the various plots in the region of interest, thereby indicating the slopes of those plots. The correlation coefficients for the plots (e.g., utilized to generate the resulting line equations) are generally close to one (e.g., approximately 0.95-0.99), thereby enabling the linear regression techniques to provide close approximations (e.g., line equations and corresponding slope values) for those plots. The resulting slope for each of the plots represents a brightness indication (e.g., change in pixel count with respect to pixel intensity). Since greater brightness provides enhanced image quality, it is desirable to determine the focus setting attaining maximum brightness (e.g., a high pixel intensity and high pixel count) within the region of interest based on the slope values for the plots. Basically, brightness of an image is based on a pixel intensity and the quantity of pixels at that intensity. The greater the quantity of pixels within the region of interest at the greatest pixel intensity, the greater the brightness and enhancement of image quality. Initially, the slope for the plots in the region of interest is negative (e.g., a decreasing pixel count or Y-axis values with respect to increasing pixel intensity or X-axis values) as viewed in FIG. 6 due to the physical and material characteristics of the exemplary sample employed. Greater brightness and image quality are indicated by a decrease in pixel count at lower intensities and an increased pixel count at higher intensities. This relates to enhanced contrast. In the exemplary case, greater brightness is achieved by a low slope magnitude (e.g., absolute value of the slope derived from the change in the Y-axis values divided by the change in X-axis values). The lower the slope magnitude in the region of interest, the greater the brightness and image quality. Since brightness provides an indication of image quality as described above, the resulting slope for each of the plots may serve as the image quality value for the corresponding image, thereby providing a quantifiable indication of the quality of that image. A model is developed to predict the optimal focus parameter at step 146 (FIG. 2B). Since a lower slope magnitude in the region of interest provides greater brightness and enhanced image quality as described above, minimization of the slope magnitude of the intensity histogram data in the region of separation determines the optimal focus parameter setting providing the best image quality. The slope values for each of the exemplary focus setting plots are graphically illustrated with respect to the focus parameter settings in FIG. 7, where the Y-axis represents negative slope values and the X-axis represents the focus parameter values. A characteristic curve is generated for the resulting slope values of each focus setting plot in the separation region. The characteristic curve is typically generated by utilizing conventional non-linear regression or curve fitting techniques and generally produces an equation (e.g., second or greater order polynomial) specifying the generated curve. The point on the fitting curve with the lowest slope magnitude identifies the optimal focus parameter setting (e.g., as viewed in FIG. 7). This may be produced by determining the value of the variable for the first derivative of the equation for the fitted curve producing a zero resulting value. Periodic data may be collected and used to determine slopes and the optimal focus set point in substantially the same manner described above. A similar analysis is performed with respect to the stigmation images to determine optimal stigmation parameter settings at steps 148 and 150 (FIG. 2B). In particular, the retrieved images associated with the varying stigmation parameter settings are analyzed at step 148 to identify a differentiating characteristic. In particular, the intensity of each image pixel is determined, where exemplary resulting data are graphically illustrated in the form of a histogram as illustrated in FIG. 9. The histogram includes a Y-axis representing a quantity of image pixels and an X-axis representing pixel intensity. The histogram basically includes a plot corresponding to each image produced from an associated stigmation parameter setting. The plots each indicate the amount of pixels at each intensity for an image or, in other words, the distribution of the pixel intensity across the image. The plots associated with the various stigmation parameter settings are substantially similar, except for a certain region 170 (e.g., an intensity in the range of 130-220 as viewed in FIG. 9), where the intensity histograms separate and the slope deviates for each of the plots. Thus, the slope is identified as the differentiating characteristic. Since the region of separation includes an intensity range corresponding to a light region 190 (FIG. 4), the region of separation excludes dark regions 180 (e.g., since the dark regions are associated with minimal intensity values) and is within the region of interest described above (e.g., light region 190) for FIG. 4. The plots may indicate one or more regions of separation, where each region may be processed in the manner described below to determine an optimal parameter setting. Once the differentiating characteristic is determined, a characteristic of the plots is identified in the region of separation that may be modeled to predict an optimal state for the parameter. Since the histogram is virtually linear for the exemplary stigmation parameter settings in a certain region of interest within the separation region (e.g., intensities in the range of 160-190) as viewed in FIG. 9, conventional linear regression techniques (e.g., least squares, etc.) are utilized within this region of interest for the various stigmation setting plots to determine their slopes. Basically, the linear regression techniques produce a line equation (e.g., in the form of y=mx+ b, where y is a resulting Y-axis coordinate, x is an X-axis coordinate, m is the slope and b is the Y-axis intercept or coordinate with respect to a zero X-axis coordinate) for each of the various plots in the region of interest, thereby indicating the slopes of those plots. The correlation coefficients for the plots (e.g., utilized to generate the resulting line equations) are generally close to one (e.g., approximately 0.95-0.99), thereby enabling the linear regression techniques to provide close approximations (e.g., line equations and corresponding slope values) for those plots. The resulting slope for each of the plots represents a brightness indication (e.g., change in pixel count with respect to pixel intensity). Since greater brightness provides enhanced image quality as described above, it is desirable to determine the stigmation setting attaining maximum brightness (e.g., a high pixel intensity and high pixel count) within the region of interest based on the slope values for the plots. Basically, brightness of an image is based on a pixel intensity and the quantity of pixels at that intensity as described above. The greater the quantity of pixels within the region of interest at the greatest pixel intensity, the greater the brightness and enhancement of image quality. Initially, the slope for the plots in the region of interest is negative (e.g., a decreasing pixel count or Y-axis values with respect to increasing pixel intensity or X-axis values) as viewed in FIG. 9 due to the physical and material characteristics of the exemplary sample employed. Greater brightness and image quality are indicated by a decrease in pixel count at lower intensities and an increased pixel count at higher intensities. This relates to enhanced contrast. In the exemplary case, greater brightness is achieved by a low slope magnitude (e.g., absolute value of the slope derived from the change in the Y-axis values divided by the change in X-axis values). The lower the slope magnitude in the region of interest, the greater the brightness and image quality. Since brightness provides an indication of image quality as described above, the resulting slope for each of the plots may serve as an image quality value for the corresponding image, thereby providing a quantifiable indication of the quality of that image. A model is developed to predict the optimal stigmation parameter at step 150 (FIG. 2B). Since a lower slope magnitude in the region of interest provides greater brightness and enhanced image quality as described above, minimization of the slope magnitude of the intensity histogram data in the region of separation determines the optimal stigmation parameter setting providing the best image quality. The negative slope values for each stigmation setting plot may be graphically illustrated with respect to the stigmation parameter settings in a manner similar to that described above for FIG. 7. A characteristic curve is generated for the resulting slope values of each stigmation setting plot in the separation region. The characteristic curve is typically generated by utilizing conventional non-linear regression or curve fitting techniques and generally produces an equation (e.g., second or greater order polynomial) specifying the generated curve. The point on the fitting curve with the lowest slope magnitude identifies the optimal stigmation parameter setting in a manner similar to that described above for FIG. 7. This may be produced by determining the value of the variable for the first derivative of the equation for the fitted curve producing a zero resulting value. Periodic data may be collected and used to determine slopes and the optimal stigmation set point in substantially the same manner described above. Optimal settings for various beam delivery parameters may be determined in substantially the same manner described above. Further, other models or functions may be utilized in the region of separation. For example, the area bound by or beneath a region of interest of a particular image characteristic curve may be analyzed by an integration process to determine differentiating image characteristics. Once the optimal parameter settings are determined, the settings are stored at step 152 in an update file 50 (FIG. 1B). Since beam parameters (e.g., defocus, astigmatism and poor alignment of the microscope) or condition of hardware components each affect a common parameter (e.g., the slope in the region of separation) as described above, these characteristics may be detected based on that common parameter. For example, if optimal microscope beam settings are employed and the image quality remains unsatisfactory, this may indicate problems with hardware components of the microscope. Thus, optimal microscope settings may be maintained by monitoring the slope in the region of separation. Referring back to FIG. 2A, once the optimal beam parameters are determined and stored in file 50, the microscope machine constants are updated with the optimized settings at step 114. Specifically, file 50 is transferred to beam setting folder 54 within microscope 10. Beam controller 30 subsequently controls the beam of microscope 10 in accordance with the updated beam parameters. The image produced by the updated beam parameters may be examined for image quality. If the image quality inspection is to be bypassed as determined at step 116, the monitoring process is terminated. However, when image quality is to be inspected, an image is collected from sample 20 using the optimized settings at step 118. The quality of the collected image is determined at step 120 by producing an image quality value for the image (e.g., representing the slope in the region of separation) in substantially the same manner described above. The image quality value is compared to one or more image quality values of images produced from prior settings. If the difference between the image quality value of the current image and the prior image quality values exceeds a user-defined threshold (e.g., indicating unsatisfactory image quality) as determined at step 122, the process to determine optimal beam parameters is repeated by initially collecting images with various focus and stigmation parameters at steps 108, 110 as described above. The current image is considered to include sufficient quality in response to being within the user-defined threshold or tolerance of images produced from prior optimal settings. When the current image includes sufficient quality, the monitoring process is terminated. Operation of the present invention to monitor and adjust beam parameter settings of microscope 10 is described with reference to FIGS. 1B and 10. Initially, the microscope controller and/or the computer system may include the monitoring module to monitor and determine optimal beam parameters according to the present invention as described below. Specifically, the elapsed time since occurrence of a prior image quality inspection is determined. If the elapsed time is less than a predetermined amount of time (e.g., quantity of hours, minutes, seconds, etc.) as determined at step 200, the monitoring process is terminated and re-evaluation of the microscope beam parameters commences after expiration of the predetermined interval. However, when the elapsed time is greater than the predetermined amount of time, the microscope collects an image from sample 20 utilizing current optimized beam parameters at step 202, where the quality of the collected image is determined at step 204. The quality of the collected image is determined by producing an image quality value for the image (e.g., representing the slope in the region of separation) in substantially the same manner described above. The image quality value is compared to one or more image quality values of images produced from prior settings. If the difference between the image quality value of the current image and the prior image quality values exceeds a user-defined threshold (e.g., indicating unsatisfactory image quality) as determined at step 206, optimal beam parameters are determined for the microscope at step 208 in substantially the same manner described above for FIGS. 2A and 2B. Otherwise, the monitoring process is terminated and re-evaluation of the microscope beam parameters commences after expiration of the predetermined interval. It will be appreciated that the embodiments described above and illustrated in the drawings represent only a few of the many ways of implementing a method and apparatus for automated beam optimization in a scanning electron microscope. The present invention maybe applied to any type of scanning microscope (e.g., SEM, CDSEM, SIM, microscopes utilizing any charged ions or other particle beams, etc.). The microscope may be utilized in any suitable facility in any desired arrangements (e.g., networked, direct or indirect communication, etc.). The microscope arrangement may include any quantity of components (e.g., microscopes, machine managers, computer systems, networks, image stores, etc.) that may be in communication with or coupled to each other in any suitable fashion (e.g., wired, wireless, over a network (e.g., WAN, LAN, Internet, etc.), directly or indirectly coupled, local or remote from each other, etc.) via any communications medium and may utilize any suitable communication protocol or standard. The microscope may include any quantity of any conventional or other components (e.g., electron or other particle gun, lenses, dispersion device, stigmator coils, reflected and discharged electron detector units, stage, etc.) arranged within or external of the microscope in any suitable fashion. The image stores, files and folders may be of any quantity and may be implemented by any conventional or other storage devices (e.g., memory, database, data structures, etc.). The image formation unit may be implemented by any conventional or other microprocessor, controller, processing system and/or circuitry (e.g., any combination of hardware and/or software modules) to process collected particles and form and/or process any desired images. The image formation unit may be disposed within or external of the microscope and communicate with the microscope in any fashion (e.g., directly or indirectly coupled, communicate via a network, etc.). The microscope controller may be implemented by any conventional or other microprocessor, controller, processing system and/or circuitry (e.g., any combination of hardware and/or software modules) to control microscope operation. The microscope controller may be disposed within or external of the microscope and communicate with the microscope in any fashion (e.g., directly or indirectly coupled, communicate via a network, etc.). The computer system of the present invention may be implemented by any quantity of any personal or other type of computer or processing system (e.g., IBM-compatible, Apple, Macintosh, laptop, palm pilot, microprocessor, etc.). The computer system may include any commercially available operating system (e.g., Windows, OS/2, Unix, Linux, etc.), any commercially available and/or custom software (e.g., communications software, microscope monitoring software, etc.) and any types of input devices (e.g., keyboard, mouse, microphone, voice recognition, etc.). It is to be understood that the software of the present invention may be implemented by any conventional or custom software (e.g., conventional or custom image processing software, etc.) in any desired computer language, and could be developed by one of ordinary skill in the computer and/or programming arts based on the functional description contained herein and the flow charts illustrated in the drawings. Further, any references herein of software performing various functions generally refer to computer systems or processors performing those functions under software control. The computer system may alternatively be implemented by hardware or other processing circuitry. The various functions of the present invention may be distributed in any manner among any quantity (e.g., one or more) of hardware and/or software modules or units, computer or processing systems or circuitry, where the computer or processing systems may be disposed locally or remotely of each other and communicate via any suitable communications medium (e.g., LAN, WAN, Intranet, Internet, hardwire, modem connection, wireless, etc.). The software and/or algorithms described above and illustrated in the flow charts and diagrams maybe modified in any manner that accomplishes the functions described herein. The present invention software (e.g., monitoring module) may be available on a recordable medium (e.g., magnetic or optical mediums, magneto-optic mediums, floppy diskettes, CD-ROM, DVD, memory devices, etc.) for use on stand-alone systems or systems connected by a network or other communications medium, and/or may be downloaded (e.g., in the form of carrier waves, packets, etc.) to systems via a network or other communications medium. The present invention may utilize any quantity of images of a sample to determine the optimal beam parameter setting and/or image quality value. The images may cover any desired variation range for a particular parameter. The sample may be of any quantity, may be of any shape or size, and may include any desired features. The sample may include a specific configuration for a desired application or parameter setting. The sample may be disposed at any desired location on or off the stage to acquire images. The sample may be in the form of a product specimen (e.g., semi-conductor device, etc.). The present invention may utilize any quantity of images for the image quality comparison, where the image quality values for current and prior images may be combined in any suitable fashion (e.g., averaged, weighted, summed, etc.). The user threshold may be set to any suitable values depending upon the desired image quality. The comparison of image quality values may utilize any mathematical or statistical operations to determine image quality compliance (e.g., a comparison, statistical variance or deviation, etc.). The present invention may analyze any suitable characteristics (e.g., intensity, pixel counts, power, etc.) and utilize any differentiating characteristic between settings in any desired region. The region of separation may be of any shape or size and be located within any desired range. The present invention may utilize any suitable modeling or approximation techniques to determine best fit lines and/or curves (e.g., linear or non-linear regression, curve fitting, least squares, integration, etc.). The models may approximate the data within any suitable tolerances. The present invention may identify any quantity of separation regions and utilize any suitable techniques to combine and/or select resulting slope values (e.g., lowest slope, average, weighting, sum, etc.). The parameter determination may be triggered in any suitable fashion (e.g., the machine manager may monitor the microscope to initiate the determination, the computer system or controller may periodically retrieve images based on a periodic acquisition of sample images or poll the image store to determine the presence of sample images, manually trigger determination, etc.). The quality inspection and/or parameter determination may be initiated in response to any suitable conditions (e.g., within any desired time interval (e.g., within any quantity of hours, minutes, etc.), subsequent any quantity of images generated by the microscope (e.g., every Nth scan performed by the microscope), subsequent any quantity of quality inspections , etc.). The present invention technique may be performed automatically, where parameters are determined and applied to the microscope. Alternatively, any portions of the technique may be determined manually (e.g., scanning of images, manual determination of parameters, manual application of the parameters, etc.). For example, the computer system may provide the optimal settings to a technician that manually applies the settings to the microscope. The microscope controller may perform any desired processing (e.g., monitoring and parameter adjustment, image formation and processing, etc.). The present invention technique may be distributed among the computer system, microscope controller or other processing device in any desired fashion, where these devices may be local or remote from each other. The computer system and microcontroller may be in communication with and/or control the microscope to perform any desired portions of the present invention (e.g., scan the sample and generate the images, transfer images to the image store, etc.). The present invention is not limited to the applications described above, but may be used with any microscopes scanning any desired objects to provide monitoring and optimal parameter determination and adjustment as described above. The present invention may be utilized to monitor and determine optimal settings for any desired microscope parameters in the manner described above. The image quality value may be derived from any desired parameter or combination of parameters (e.g., focus, stigmation, etc.). The present invention may utilize maximum, minimum or other slope values (or magnitudes or absolute values of the slopes) to determine resulting parameter settings depending upon the location of the region of separation (e.g., depending upon negative or positive slopes) and/or the characteristics being analyzed (e.g., intensity, etc.). From the foregoing description, it will be appreciated that the invention makes available a novel a method and apparatus for automated beam optimization in a scanning electron microscope, wherein optimal beam parameters for a scanning electron microscope are determined and automatically applied to adjust the microscope beam for enhanced operation. Having described preferred embodiments of a new and improved a method and apparatus for automated beam optimization in a scanning electron microscope, variations and changes will be suggested to those skilled in the art in view of the teachings set forth herein. It is therefore to be understood that all such variations, modifications and changes are believed to fall within the scope of the present invention as defined by the appended claims.
summary
description
This application claims priority to Chimene Application No. 201910215740.X, filed Mar. 21, 2019. The above-mentioned patent application is incorporated herein by reference in its entirety. The present invention relates to alloys and corresponding methods, and in particular, to a radiation resistant high-entropy alloy and a corresponding preparation method. Metal materials commonly used in nuclear reactors include conventional alloys such as zirconium base alloy, stainless steel, titanium alloy, and nickel base alloy. None of these materials can meet radiation resistance requirements of key metal components in next generation nuclear reactors. Working conditions in the next generation nuclear reactors are extremely harsh. Structural materials, especially cladding materials used in nuclear reactors, undergo high temperature, high pressure, and intense neutron irradiation, resulting in defects such as vacancies, dislocation, element segregation in the materials; and aggregation of H and He atoms is produced by transmutation reactions. A large number of defects caused by irradiation greatly change mechanical properties of the materials, resulting in radiation effects such as radiation hardening, radiation embrittlement, and radiation swelling, thereby reducing the service life of the materials. At present, fuel cladding materials and key metal components used in the nuclear power plant that are made of these conventional alloys all produce damage behaviors such as lattice expansion, irradiation swelling, fatigue oxidation acceleration during irradiation, which fails to meet use requirements of fuel cladding materials of next generation nuclear reactors. Therefore, it is desirable to provide a radiation resistant high-entropy alloy having an FCC structure to address the foregoing problem that the conventional alloy has a poor irradiation performance and mechanical properties, as well as other deficiencies of the current art. To achieve the above purposes and overcome the technical defects in the art, embodiments of the present invention provide a radiation resistant high-entropy alloy having an FCC structure is prepared, defined by a general formula of FeCoNiVMoTixCry, in which 0.05≤x≤0.2, 0.05≤y≤0.3, and x and y are molar ratios. The irradiation performance of such an alloy is far better than that of the conventional alloy and has good mechanical properties in an as-cast condition. In some embodiments, in the general formula FeCoNiVMoTixCry, 0.1≤x≤0.15, and 0.1≤y≤0.2. In other embodiments, a method is provided for producing a radiation resistant high-entropy alloy having an FCC structure, including the following steps: stacking Fe, Co, Ni, V, Mo, Ti, and Cr according to a proportion, and conducting vacuum levitation melting or vacuum arc melting, to obtain the radiation resistant high-entropy alloy having an FCC structure. In one embodiment, the process of vacuum levitation melting or vacuum arc melting includes the following steps: during fusion alloying, placing Ti, Fe, Co, and Ni at the bottom, and placing Mo, Cr, and V at the top. In another embodiment, in the process of vacuum levitation melting or vacuum arc melting, vacuumizing is conducted to reach 5×10−3 Pa to 3×10−3 Pa, and back-filing with argon gas is conducted to reach 0.03 to 0.05 MPa. This vacuumizing can well protect the alloy melt from being oxidized. In a further embodiment, alloy ingots are turned and melted five to seven times during vacuum arc melting, to ensure composition uniformity. In yet another embodiment, alloy ingots are turned and melted four to six times during vacuum levitation melting, to ensure composition uniformity. In another embodiment, Fe, Co, Ni, V, Mo, Ti, and Cr are all industrial grade pure raw materials with a purity of over 99.5 wt. %. According to further embodiments of the invention an application is provided for use of the radiation resistant high-entropy alloy having an FCC structure, specifically by having the radiation resistant high-entropy alloy be integrated in fuel cladding materials in nuclear power plant reactors and/or key metal components of reactor cores of the nuclear power plant. The radiation resistant high-entropy alloy having an FCC structure in the embodiments of the present invention has a scientific and reasonable formula and a simple and easy preparation method. Compared with the conventional designs, the radiation resistant high-entropy alloy having an FCC structure achieves the following technical advantages: 1. The radiation resistant high-entropy alloy having an FCC structure in the present invention contains specific element selection and composition, where Al can improve the oxidation resistance of the alloy; Cr can improve the corrosion resistance of the alloy; the elements Co and Ni can improve high-temperature performance; the element V can improve the intensity of the alloy; and the element Mo can increase the service temperature of the alloy; and the elements Ti and Fe have good comprehensive performance. When a specific proportion of these elements is used, the alloy has a cocktail effect, and can have excellent mechanical properties and radiation resistance. 2. The alloy has excellent mechanical properties in an as-cast condition, the ingots obtained in a condition of non-consumable vacuum arc melting are of a single-phase FCC structure, and do not need to be subject to any heat treatment process and deformation strengthening process. At room temperature, a tensile break strength of the high-entropy alloy is higher than 580 MPa, and an engineering strain (a tensile elongation) of the high-entropy alloy is greater than 30%. 3. The radiation resistant high-entropy alloy having an FCC structure in the present invention has excellent ion irradiation resistance, and an alloy sample is subject to radiation hardening saturation at high temperature in a condition of a high dose (1-3×1016 ions/cm2) of helium ion irradiation. 4. After helium ion irradiation, a lattice constant of the alloy in the present invention decreases abnormally, while this is quite different from a case in which lattices of the conventional alloy expand and a lattice constant the conventional alloy increases after irradiation. 5. Elements in the radiation resistant high-entropy alloy having an FCC structure in the present invention are easy to obtain, and the preparation method of the alloy is simple, and only conventional vacuum arc smelting or vacuum magnetic suspension smelting needs to be used. The alloy can achieve excellent mechanical properties without being subject to heat treatment and a subsequent complex processing technology. The following clearly and completely describes the technical solutions in the embodiments of the present invention with reference to the accompanying drawings in the embodiments of the present invention. To make objectives, features, and advantages of the present invention clearer, the following describes embodiments of the present invention in more detail with reference to the accompanying drawing and specific implementations. This first embodiment provides a radiation resistant high-entropy alloy Fe—Co—Ni—V—Mo—Ti—Cr having an FCC structure, defined by a general formula of FeCoNiVMoTi0.1Cr0.1. A specific preparation method of FeCoNiVMoTi0.1Cr0.1 includes: stacking raw materials Fe, Co, Ni, V, Mo, Ti, and Cr according to a molar ratio shown by the general formula, where Fe, Co, Ni, V, Mo, Ti, and Cr are all industrial grade pure raw materials with a purity of over 99.5 wt. %; conducting vacuum arc melting or vacuum levitation melting; during fusion alloying, placing Ti, Fe, Co, and Ni at the bottom, and placing Mo, Cr, and V at the top; and conducting vacuumizing to reach 5×10−3 Pa, and back-filing with argon gas to 0.05 MPa. Each alloy ingot is melted at least five times during arc melting, to ensure composition uniformity. FIG. 1 shows relationships between average nano-indentation hardness and indentation depths at 600° C. before and after irradiation according to this embodiment. It can be learned from the figure that, compared with a conventional alloy, the alloy in the present invention is subject to radiation hardening saturation during radiation, but the damage is not increased as the radiation increases, and the alloy has excellent irradiation resistance. FIG. 2 shows a relationship between a hardness change rate and an ion implantation amount of a radiation resistant high-entropy alloy having an FCC structure at 600° C. according to this embodiment. A radiation hardening degree of a radiation resistant high-entropy alloy sample having an FCC structure in this embodiment increases as a radiation dose increases, and is subject to radiation hardening saturation when a high dose (1-3×1016 ions/cm2) of helium ions are implanted. FIG. 3 shows XRD diffraction analysis patterns of the radiation resistant high-entropy alloy FeCoNiVMoTi0.1Cr0.1 having an FCC structure before and after irradiation experiments according to this embodiment, and shows that the alloy is formed by an FCC structural phase both before and after irradiation experiments, is not subject to a phase change or does not produce a precipitated phase. The irradiation-resistant high entropy alloy in this embodiment has excellent irradiation stability. FIG. 4 shows a variation trend of a lattice constant of a radiation resistant high-entropy alloy having an FCC structure as an irradiation dose changes according to this embodiment. FIG. 3 and FIG. 4 show that a lattice constant of the alloy after irradiation decreases, while a lattice constant of a conventional alloy after irradiation increases, and therefore an irradiation behavior of the alloy is quite different from that of the conventional alloy. An alloy irradiation experiment process may be conducted as follows: First, a sample of the irradiation resistant high-entropy alloy having an FCC structure in this embodiment is cut into slices with a thickness of 1 mm (10 mm×6.5 mm) for double-sided fine grinding and single-side polishing. Then, a test sample is placed in an aqueous solution containing 50% H2SO4 and 40% glycerol for electropolishing at a voltage of 36V for 10 seconds; and is subject to ultrasonic cleaning with acetone, anhydrous ethanol, and deionized water. An irradiation experiment is conducted on the prepared sample at 600° C., where helium ion irradiation with energy of 3 MeV is adopted, and irradiation doses are 5×1015 ions/cm2, 1×1016 ions/cm2, and 3×1016 ions/cm2, respectively. FIG. 5 shows an engineering strain curve of a radiation resistant high-entropy alloy having an FCC structure at room temperature according to this embodiment, and shows excellent mechanical properties of the alloy. This second embodiment provides a radiation resistant high-entropy alloy having an FCC structure, defined by a general formula of FeCoNiVMoTi0.15Cr0.15. A preparation method of the radiation resistant high-entropy alloy in this embodiment is the same as that in Embodiment 1, described above. It is detected that FeCoNiVMoTi0.15Cr0.15 is in this embodiment and FeCoNiVMoTi0.1Cr0.1 in Embodiment 1 both have excellent mechanical properties and radiation resistance, and can be widely applied to fuel cladding materials in nuclear power plant reactors or metal components of reactor cores of the nuclear power plant. The present invention is not limited to description of the radiation resistant high-entropy alloy according to either exemplary embodiment described herein. To this end, changes in x and y and modifications made to the preparation method all fall within the protection scope of the present invention. The embodiments described above are only descriptions of preferred embodiments of the present invention, and are not intended to limit the scope of the present invention. Various variations and modifications can be made to the technical solution of the present invention by those of ordinary skills in the art, without departing from the design of the present invention. The variations and modifications should all fall within the claimed scope defined by the claims of the present invention.
046719229
description
In per se known manner the fast neutron nuclear reactor, whose integrated primary circuit is shown in the drawing, comprises a concrete reactor building defining a vessel shaft 10 within which is placed a main vessel 12 having a vertically axed cylindrical configuration. The upper end of this vessel 12 is sealed by a concrete-filled metal slab 14. Still in per se known manner the main vessel 12 is filled with liquid sodium 20 and in the vicinity of slab 14 the liquid sodium is surmounted by a layer 22 of neutral gas, such as argon. The main vessel 12 also contains the reactor core 24, which is constituted by fuel assemblies containing the nuclear fuel, whose fission gives off the thermal energy of the reactor, together with the lateral neutron protection 26 surrounding core 24. Core 24 and the lateral protection 26 are supported by a cross-member or diagrid 28 which rests on the bottom 12a of main vessel 12 via a skirt 30. Also in per se known manner a stop plate 32 rests on the bottom 12a of the main vessel below core 24 for collecting the products resulting from a possible fusion of the core. In the represented constructional variant relating to an integrated fast neutron nuclear reactor, the primary circuit is housed within the main vessel 12. Thus, the circulation of the sodium 20 in core 24 required for the extraction of the heat produced in the latter by the fissible reaction is controlled by pumps 34 placed within the vessel 12, only one of the said pumps being shown in the drawing. In the same way the transmission to a secondary sodium circuit 36 of part of the heat extracted in this way from core 24 by primary sodium 20 is brought about by intermediate exchangers 38, which are also arranged within vessel 12 and whereof only one is shown in the drawing. In per se known manner pumps 34 and exchangers 38 are suspended on slab 14. Still in per se known manner in the central part of concrete slab 14 there is an opening 40 which receives a first rotary plug 42, which is itself perforated by an opening 44 off-centred relative to opening 40 and which receives a second rotary plug 46. Rotary plug 46 carries at least one transfer arm 48 making it possible to handle the assemblies of core 24, the lateral neutron protection 26 and a core cover 50 carrying the control rods and various control and measuring instruments. According to the present invention bottom 12a of main vessel 12 rests by gravity on the concrete lower foundation or bottom 52 of vessel shaft 10, which is lined with a protective and distribution sheet 10a via supports 54, which are regularly distributed in order to define a space 56 between bottoms 12a and 52. In the represented embodiment this space 56 is used for providing an air cooling circuit making it possible to cool the bottom 12a of main vessel 12. To this end space 56 is vertically subdivided into a lower space 56a and an upper space 56b by a fairing 58. The preferably pulsed cooling air emanating, for example, from a not shown heat exchanger is carried by at least one radial intake pipe 60 traversing the wall 18 of the vessel shaft into a collector ring 62 defined between the latter and a cylindrical skirt 64 positioned between the periphery of bottom 12a and bottom 52 and serving to support slab 14, as will be shown hereinafter. Collector ring 62 is linked with the lower space 56a by passages 66, in such a way that in the lower space there is a radial centripetal air flow. With a ferrule 68 positioned below stop plate 32 between bottoms 12a and 52, fairing 58 defines an annular space linking lower space 56a with upper space 56b. Thus, in the latter there is a radial centrifugal flow of cooling air, which is accelerated due to the very limited width of space 56b. At its outer periphery, upper space 56b is widened in order to define an annular outlet collector connected to the aforementioned cooling exchanger by at least one radial outlet pipe 70 traversing wall 64 and wall 18. In order to permit the circulation of the cooling air in space 56, supports 54 are constituted in the present case by radial steel screen-like members. However, according to a not shown variant, they may also be constituted by tubular steel columns. Preferably fairing 58 is constructed from detachable elements permitting possible inspections and repairs of the bottom 12a of vessel 12 by circulating in space 56 between supports 54. To this end space 56 can be made accessible on shutdown. For safety reasons which will be apparent from the remainder of the description, in the manner shown in the drawing a cylindrical ferrule 72 can be provided, which is sealingly connected to the bottom 52 of the vessel shaft in lower space 56, the innr peripheral edge 58a of fairing 58 being inwardly and downwardly curved between ferrules 68 and 72 in such a way that the upper edge of ferrule 72 is above the lower edge of part 58a. Moreover, ferrule 68 is preferably filled with refractory oxide such as MgO or UO.sub.2, selected in a manner independent of the invention for slowing down or stopping the descent of molten materials in the case of an accidental fusion of the core and in the case of the perforation of the bottom of stop plate 32 and the bottom 12a of the vessel. As indicated hereinbefore the cooling air circuit is pulsed in normal operation by means of a not shown fan. However, this circuit is preferably designed so as to be able to operate under natural circulation conditions with increased temperature variations if the said fan fails. For this reason and for another reason which will be apparent hereinafter, the remainder of the cooling circuit is placed at a higher level than space 56. Obviously the bottom 12a of main vessel 12 could be cooled by any other known means and in particular by tubes placed in direct contact with bottom 12a and in which a liquid such as water could circulate. In the same way the cooling air could be replaced by any other gas. In order to limit shape faults during the construction of the vessel shaft bottom and the bottom of vessel 12 and ensure supporting with overall embedding of the vessel on supports 54 without rolling between the said components being necessary, the bottom 12a of the vessel and the bottom 10a of the vessel shaft preferably have a conical shape, as illustrated in the drawing. The side wall of vessel 12, constituting for example a vertical cylinder, is welded to the vessel bottom 12a and in the upper part forms one or more bellows corrugations 12b preferably placed below the free sodium level 20. Moreover, these bellows corrugations 12b can be duplicated outside the vessel by a guard ring 12c, whose lower part is welded to vessel 12 and whose upper free edge is placed above the free sodium level 20 in order to prevent the latter escaping from the main vessel if the bellows corrugations 12b fractured. Due to these corrugations the vessel side wall is only subject to limited vertical stressing and is subject only to limited creep, thereby obviating its difficult " air conditioning" by baffles. The thus formed vessel is surrounded by cylindrical skirt 64, which is extended above the vessel bottom 12a up to slab 14 for supporting the same and as shown in the drawing. The junction between the vessel bottom 12a and skirt 64 makes it possible to separate the cooling circuit of the bottom and the lateral space between the vessel and the skirt, which is also thermally insulated by means which are independent of the invention. If a very serious accident occurs leading to overheating of the skirt 64 and creep of the latter, slab 14 would rest by its edge 64 on concrete walls 18. A temperature of e.g. 100.degree. C. occurs in the upper horizontal plane N.sub.1 of the cooled vessel bottom 12a and a temperature of e.g. 400.degree. C. (tepid) in a horizontal plane N.sub.3 at the lower level of the areas of forced circulation of the tepid sodium in the vessel, to be defined hereinafter. Between planes N.sub.1 and N.sub.3 the sodium is immobilized in thermal stratification with freezing in its lower part. In the case of a vessel diameter of 20 m it is possible to adopt a height N.sub.1 -N.sub.3 of approximately 2.5 m leading to a heat loss of approximately 4 MW. Such a loss is acceptable with a medium or high power reactor. However, it can be reduced either by increasing height N.sub.1 -N.sub.3, or by placing in the sodium area between levels N.sub.1 and N.sub.3 and more specifically between level N.sub.3 and an intermediate level N.sub.2, thermally insulated inclusions 76, which may be formed by stainless steel-sheathed magnesia blocks or gas-sealed boxes. Thus, by using e.g. inclusions forming columns lining 80% of the vessel cross-section, the heat losses are limited to approximately 1.5 MW for a diameter 20 m vessel. The gas boxes have the advantage of collapsing in the case of an accidental overpressure, thus limiting the consequences. However, it is pointed out that the intention of using inclusions 76 is not to reduce to a minimum the sodium cross-sections between the columns. It is in fact necessary for the heat to continue to flow very homogeneously over the transition height N.sub.1 -N.sub.3 in thermal gradient in order to ensure a regular temperature range, thereby limiting thermal stresses in the vessel walls and the other structures. In order to ensure a regular temperature range in the bottom of vessel 12, sodium 20 is maintained in the static state in zone N.sub.1 -N.sub.3. To this end pumps 34 and exchangers 38 are totally placed above the upper level N.sub.3 in such a way that the hot liquid sodium emanating from the upper part of core 24 penetrates the heat exchangers 38 by openings 38a formed between core 24 and slab 14, i.e. very substantially above level N.sub.3. Moreover, the tepid liquid metal leaving exchangers 38 by openings 38b formed in the lower part of the latter issues into a tepid annular collector 78 surrounding core 24 and its neutron protection 26. Metal screens such as 38c limit the forced circulation area below level N.sub.3. The pumps 34 are also arranged within collector 78. The pumps remove the tepid sodium contained in collector 78 by intake ports 34a in order to directly reinject it into the lower part of core 24 by discharge pipes 34b communicating with the diagrid 28. Thus, although the lower part of collector 78 containing is placed within the thermal gradient zone N.sub.1 -N.sub.3, the sodium 20 within said zone, both inside and outside collector 78 remains static. Obviously the two vertical walls of annular collector 78 form cylindrical shells, which are exposed to the thermal gradient. In the embodiment shown in the drawing, these two shells are joined on their bottom surface to a collector bottom 78 traversed by supports 34c and 38d in the form of cylindrical skirts, which are off-centred relative to the vessel and fixed to vessel bottom 12a. Pumps 34 and exchangers 38 can easily be centred by supports 34c and 38d. The diagrid 28 is supported by the vessel bottom 12a through the skirt 30 submitted to the thermal gradient in zone N1-N3. For ensuring a high stability with respect to lateral forces such as seismic forces, said skirt has preferably a conical shape. Among the advantages of the fast neutron reactor with a cold bottom according to the invention are in particular the simplicity and significantly reduced cost of the structures compared with those of reactors with a suspended vessel. Thus, it has already been pointed out that the elimination of the supporting function for the vertical wall of vessel 12 makes it unnecessary to air condition the said wall at 400.degree. C., as in the prior art reactors. As a result there is no need to use the hitherto employed costly baffles. Moreover, the cold bottom 12a, which is quasi-isothermal and which is normally only exposed to very limited stresses, can be made from ordinary steel without any risk of decarbonization, because it is only in contact with cold or even frozen sodium. The same applies regarding skirt 64, whose thickness need only be 35 to 40 mm, whereas the thickness of the bottom 12a is, for example, 50 mm. As a result of the present invention the pumps and exchangers, which are centred at the top and bottom are much easier to construct. In this connection it should be noted that pumps 34 can be immersed to a relatively low level, making it unnecessary to laterally thermally protect them by an argon layer. Moreover, the vessel and all the internal members centred in the lower part, acquire a considerable robustness and stability, particularly in the case of seismic movements. This robustness makes it possible to envisage larger vessel diameters allowing the installation in the vessel of appropriately protected steam generators, whilst eliminating secondary circuits, leading to considerable economies and a greatly reduced seismic sensitivity. Finally a detailed analysis will be made hereinafter of the safety characteristics of such a reactor, which would appear to be particularly good. It is firstly surprising that the cold bottom 12a of the vessel in this case only constitutes a single protective barrier, whereas generally at least two barriers are required between the primary vessel and the air inside or outside the building. As indicated hereinbefore this features, which also leads to greater simplicity and lower cost compared with known reactors, is justified by the fact that the cold bottom 12a is normally covered with frozen sodium. This sodium has a tight sealing action, is not activated because it is stationary after loading into the vessel and forms a tight barrier as a result of the permanent cooling source. Moreover the use of a single cold bottom 12a is justified by the fact that it is only exposed to limited thermal stresses, it can have a considerable thickness and it rests on regularly distributed supports 54. In addition, the construction of the vessel bottom from ordinary steel instead of stainless steel further reduces expansions. The presence of a single vessel bottom is also justified by its accessibility for inspection and repair purposes. Industrial experience with the Phenix reactor has shown that on shutdown the radioactive level in contact with the vessel can enable personnel to have access, at least during the first few years. In the reactor according to the invention the vessel is better protected that in the Phenix reactor due to the considerable thickness of the sodium and the stagnation thereof. Obviously devices which have not been described here make it necessary, if required, to renew the lower sodium layer by maintaining a lower activation thereof. Thus, throughout operation access can be obtained to the bottom on shutdown. Remotely controlled inspection of the bottom is also very favourable because it remains cold in operation. Moreover, the air cooling proposed in the present embodiment is very reliable, particularly if it is duplicated by natural circulation. Thus, on the other hand a possible sodium leak in normal operation can take place at low temperature and consequently without any danger of sodium ignition in the cooling air, and on the other hand if an accident occurred which led to the partial fusion of the core 24, the receiver or stop plate 32 would be adequately cooled to prevent any detrimental effect on bottom 12a. It is pointed out in this connection that in the case of such accidents the possible sliding of bottom 12a on supports 54 makes it possible to very significantly reduce the forces supported by the vessel as a result of its expansion. In the hypothetical case of a perforation of bottom 12a leading to a small sodium leak into the air circulating in space 56, the sodium escaping from the vessel would, as a result of the conical shape of bottom 12a fill the annular space defined between ferrules 68 and 72. Thus, when the sodium became level with the upper edge of ferrule 72 a siphon would be created blocking the circulation of the air in space 56. Thus, combustion is limited by the quantity of oxygen present. Moreover, an automatic device can control the sealing of the cooling circuit from the bottom. Finally and as stated hereinbefore, space 56 forms the lower part of the cooling circuit, so that in the case of a perforation of bottom 12a the sodium leak would stop when space 56 was filled and the heat of core 24 would then continue to be evacuated by not shown ancillary cooling means, which could be placed on the vessel periphery. Thus, the elimination of the baffles necessary for the air conditioning to 400.degree. C. of the side wall of the prior art reactors permits a lateral evacuation of the residual power in the case of an accident. Thus, the reactor according to the present invention offers essential advantages compared with the prior art fast neutron reactors.
043893694
abstract
A grid for a nuclear reactor fuel assembly which includes two sets of interwoven straps which form square openings of egg-crate configuration. One set is placed on top the other with the openings in alignment to receive fuel rods. The vertically aligned square openings thus constitute single cells through which fuel rods are adapted to extend. The top edges of straps in the bottom grid are provided with narrow slots which extend in multiple rows diagonally across the grid when the straps are assembled. A spring, one for each row of diagonal slots, is threaded through the slots before the top grid is set in place. Each single cell contains two pairs of vertically spaced dimples on adjacent walls of the straps as in conventional construction. That portion of the spring, which extends through each cell, engages a side of the fuel rod in the cell and urges it into contact with the dimples, thus providing five points of support for each fuel rod which extends through the cells.
053655540
abstract
An instrumentation probe for measuring and recording one or more physical parameters within a fluid duct, such as a nuclear reactor fuel channel, comprises one or more sensors responsive to the parameters to be measured and recorded, each sensor actuating a scribe which cooperates with a recording chart. The recording chart is a drum, disc, tape or like recording device, and is volume driven at a constant speed by an impeller responsive to the fluid flow. No connections to external instrumentation are required.
description
This invention relates generally to X-ray apparatuses and in particular to beam filter positioning devices and linear accelerators incorporating the same. Linear accelerators are used in a variety of industries including in medical radiation therapy and imaging. A linear accelerator includes a treatment head that houses various components configured to produce, shape or monitor a treatment beam. For example, a target produces X-rays when it is impinged by energetic electrons. A photon flattening filter shapes X-rays to provide a uniform dose distribution across the X-ray field. An ion chamber monitors the energy, dose distribution, dose rate, or other parameters of a radiation beam. In an electron mode operation, an electron scattering foil scatters incident electrons to provide a broadened, uniform profile of a treatment beam. A field light system simulates a treatment field by illuminating e.g. an area on the surface of a patient's skin. In conventional accelerators, exchangers are used to position electron scattering foils and photon flattening filters. Foil-filter exchangers allow switching back and forth between scattering foils and flattening filters for electron or photon mode operations. Fine precision adjustments of the foils and filters in exchangers are accomplished in the factory by manually adjusting and testing the foils and filters, which is a very time consuming process. Conventional foil-filter exchangers do not include a target assembly or field light assembly. In conventional accelerators the targets are located in other areas of the treatment head e.g. inside the accelerator vacuum envelope. The design of target assemblies residing inside the vacuum envelop is complex due to added vacuum walls and interface considerations. Actuation of targets in vacuum is complicated. Any water leaks in target cooling systems would contaminate the vacuum envelope causing extended downtime. A field light system includes a lamp and a mirror, and is used to facilitate patient placement for treatment by providing an intense light field that coincides with the radiation treatment field shaped by collimator jaws or other beam limiting devices. Because of space limitations and other considerations, it is unfeasible to place a lamp in the same location as the radiation source. In conventional accelerators the mirror is fixedly disposed along the beam centerline and is made of a thin film that is generally transparent to radiation or electron beams. Once being installed, the mirror and the lamp projector are manually adjusted in order to achieve the required coincidence with the X-ray field. The mirror located in the beam centerline causes scattering losses and beam contamination. The thin film materials are susceptible to degradation due to exposure to radiation, damage and optical distortion. The present invention provides a beam filter positioning device that allows for significant improvement in automation of production test procedures and operation of medical linear accelerators. It provides significant savings in both test time and occupancy of final test. The beam filter positioning device performs multiple functions in producing, shaping, or monitoring a treatment beam. For instance, the device may position a target button under an electron beam to produce X-rays in a photon mode, or retract a target out of the path of an electron beam for an electron or other modes. The device may accurately position an electron scattering foil or a photon flattening filter to shape the intensity profile of a treatment beam and provide uniform treatment fields. The device may precisely position a field light assembly in simulating a treatment beam for patient alignment. It may also retract an ion chamber from the beam centerline to provide an unimpeded path for the field light. The beam filter positioning device may be modular. It can be mounted to the treatment head of an accelerator and easily removed for repair with proper lifting fixtures. Driving mechanisms such as servo motor control may be used to perform precise movement or adjustments of various device components. In one embodiment, a carousel assembly includes a base plate, a stage supported by the base plate and movable in a linear direction, a filter-foil assembly attached to the stage, a target assembly supported by the base plate, and an ion chamber assembly supported by the base plate. The filter-foil assembly is rotatable about an axis, movable in a linear direction with the stage, and includes a plate member adapted to support one or more photon flattening filters and one or more electron scattering foils. The target assembly includes one or more targets and is movable in a linear direction. The ion chamber assembly is movable in a linear direction. In some embodiments, the carousel assembly may include a field light assembly having a mirror member and a light source. The mirror member is preferably supported by the filter-foil assembly, and the light source is supported by the ion chamber assembly. In a preferred embodiment, the light source includes two or more lamps each being operable to project light to the mirror member for the purposes of providing redundancy. In some embodiments, the carousel assembly may additionally include a backscatter filter assembly attached to the filter-foil assembly. In a preferred embodiment, a plurality of photon flattening filters are positioned in a circular or partial circular configuration having a first radius, and a plurality of electron scattering foils are positioned in a circular or partial circular configuration having a second radius different from the first radius. The second radius is preferably greater than the first radius. In one embodiment, a carousel assembly includes a plate adapted to support one or more photon flattening filters and one or more electron scattering foils, a first linear axis operable to move the plate in a linear direction, and a rotation axis operable to rotate the plate about an axis. In a preferred embodiment, the first linear axis is operable to move the rotation axis in a linear direction. Preferably, the first linear axis and/or the rotation axis comprise a servo motor controllable by a computer. In some embodiments, a plurality of photon flattening filters are positioned in a circular or partial circular configuration having a first radius, and plurality of electron scattering foils are positioned in a circular or partial circular configuration having a second radius different from the first radius. The second radius is preferably greater than the first radius. In one aspect, a system comprises a beam filter positioning device and a control mechanism. The beam filter positioning device comprises a plate configured to support one or more beam filters, and one or more axes operable to move the plate relative to a beam line. The control mechanism is coupled to the one or more axes for controlling the movement of the axes and configured to automatically adjust a position of at least one of the beam filters relative to the beam line. In another aspect, a beam filter positioning device comprises a plate configured to support one or more beam filters, and two or more axes operable to move the plate. The two or more axes may comprise a linear axis operable to translate the plate and a rotation axis operable to rotate the plate. In a preferred embodiment, the linear axis is operable to translate the rotation axis. In a further aspect, a method of automatically adjusting a beam filter position in a radiation system comprises the steps of providing a plate and one or more beam filters supported by the plate, and moving the plate using one or more motion axes to position a beam filter relative to a beam line. A control mechanism operable by computer software is used to automatically adjust the position of a beam filter in the radiation system. In a further aspect, a method of automatically adjusting field light in a radiation system comprises the steps of providing a field light assembly including a mirror and a light source, moving the mirror using a first motion axis and/or moving the light source using a second motion axis to provide a light field that would illuminate from a virtual light source. The moving of the mirror and/or the light source is controlled by a control mechanism operable by computer software, whereby the virtual light source position is automatically adjustable in three degrees of freedom. Various embodiments of beam filter positioning devices and linear accelerators incorporating the devices are described. It is to be understood that the invention is not limited to the particular embodiments described as such may, of course, vary. An aspect described in conjunction with a particular embodiment is not necessarily limited to that embodiment and can be practiced in any other embodiments. For instance, while various embodiments are described in connection with X-ray linear accelerators, it will be appreciated that the invention can also be practiced in other particle accelerators. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting since the scope of the invention will be limited only by the appended claims, along with the full scope of equivalents to which such claims are entitled. The term “carousel” is sometimes used to describe an embodiment that uses a rotational axis; but the invention is not limited to such an embodiment. In addition, various embodiments are described with reference to the figures. It should be noted that the figures are not drawn to scale, and are only intended to facilitate the description of specific embodiments. They are not intended as an exhaustive description or as a limitation on the scope of the invention. All technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs, unless defined otherwise. Various relative terms used in the description or appended claims such as “above,” “under,” “upper,” “over,” “on,” “top,” “bottom,” “higher,” and “lower” etc. are defined with respect to the conventional plane or surface being on the top surface of the structure, regardless of the orientation of the structure, and do not necessarily represent an orientation used during manufacture or use. The following detailed description is, therefore, not to be taken in a limiting sense. As used in the description and appended claims, the singular forms of “a,” “an,” and “the” include plural references unless the context clearly dictates otherwise. As used herein the term “beam filter” refers to a member that modulates one or more parameters of a particle beam such as the energy, intensity, shape, direction, dose distribution, or other beam parameters. A particle beam includes but is not limited to a beam of electrons, photons, protons, heavy ions, or other particles. By way of example, a beam filter includes but is not limited to a photon flattening filter, an electron scattering foil, and a proton scattering foil. As used herein, the term “axis” refers to a mechanism that is operable to move an object in a direction. For example, a “linear axis” refers to a mechanism that is operable to move an object in a linear direction. A “rotation axis” refers to a mechanism that is operable to rotate an object around an axis. An axis may preferably include a servo motor and one or more feedback devices that are electrically coupled to a control mechanism operable with user interface software. A close loop control can be used to control the axis and automatically adjust the position of an object in a system. FIGS. 1A-1D illustrate an exemplary carousel assembly or beam filter positioning device 100 in accordance with some embodiments of the invention. The device 100 may include a movable stage 200, a beam filter assembly or photon flattening filter-electron scattering foil assembly 300 (hereafter “filter-foil assembly” for simplicity of description), an ion chamber assembly 400, a field light assembly 450, and a target assembly 500. The device 100 may also include a backscatter filter 460. The movable stage 200, filter-foil assembly 300, ion chamber assembly 400, field light assembly 450, target assembly 500, and various driving mechanisms or axes are preferably coupled to or supported by a supporting structure 202 such as a frame, a base plate, or the like. FIG. 2 is a bottom perspective view, with the filter-foil assembly and ion chamber not shown for clarity, showing a stage 200 and a base plate 202. The base plate 202 is configured to be mounted to a treatment head and provides support for the device 100. A frame 204 is attached to the base plate 202 along its periphery providing additional support and stiffness for the device 100. The base plate 202 has a cutout 206 e.g. in a generally rectangular shape defining an open area under which the stage 200 is located. The stage 200 supports a primary collimator 208, shielding 210, shielding 224, and filter-foil assembly 300 etc. (see also FIG. 1A), and is operable to move its payload in a direction. For example, the stage 200 can be driven by a linear axis 212 and moved along a direction such as a direction parallel to a linear accelerator plane of symmetry (Y-direction) or other directions. Alternatively, the stage 200 can be driven by a rotation axis and rotate in a direction. The linear axis 212 may include a motor 212a, a ball screw 212b, and a coupler 212c coupling the motor and the ball screw. The motor 212a and ball screw 212b can be supported by mounts 214, 216 respectively. The motor 212a serves to rotate the ball screw 212b, which is adapted to engage the stage 200 and move the stage 200 in a linear direction. Guide rails 218a, 218b fixedly attached to the base plate 202 define the linear movement of the stage 200. Slide guides or other mechanisms (not shown) can be used to engage the stage 200 with the guide rails 218a, 218b. A resolver or sensor 212d may be coupled to the motor 212a to provide primary feedback on the position of the ball screw. A housed resolver 212e may be used to provide redundant or secondary feedback. Preferably, the motor 212a is a servo motor electrically connected to a controller and operable with user interface software. While a specific motor, ball screw, guide, and feedbacks are described in detail for illustrative purposes, it should be appreciated that other types of drive mechanisms or feedbacks can also be used and anticipated by the inventors. The stage 200 may have an opening adapted to receive the primary collimator 208 (see also FIG. 1C). The primary collimator 208 may be provided with a step on the bottom side so that it can fit in the opening and held in place. Pins, screws, and other suitable means can be used to secure the primary collimator 208 to the stage 200. The primary collimator 208 can be made of tungsten or other suitable high density metals. The primary collimator 208 is provided with a passageway 222 (see also FIG. 1C) e.g. in a cone shape to define or shape the field of X-rays produced. Shielding 224 is located under the stage 200 and can be attached to the stage 200 via pins, screws etc. Shielding 224 is provided with a passageway 226 e.g. in a cone shape that extends from and aligns with the passageway 222 in the primary collimator 208. A circular channel 228 is provided on the bottom side of the shielding 224 to provide a travel path or clearance for photon flattening filters 302 to rotate about an axis as will be described in more detail below. A central opening 230 in the shielding 224 allows a structural member 306 passing through to fixedly attach the filter-foil assembly 300 to the stage 200 (see also FIG. 1C). The stage 200 may be configured to support an axis such as a rotation axis 312, which is adapted to rotate or move the filter-foil assembly 300 as will be described in more detail below. For example, the stage 200 may have a U-shaped cutout at a side to provide space for the rotation axis 312. The rotation axis 312 may be supported by a bracket, which may be attached to the stage 200 by e.g. screws. FIG. 3 is a perspective view of a filter-foil assembly or beam filter assembly 300 in accordance with some embodiments of the invention. For clarity photon flattening filters are not installed or shown in FIG. 3. The filter-foil assembly 300 supports one or more beam filters. For example, the filter-foil assembly 300 may support photon flattening filters 302 and electron scattering foils 304, and positions the two in photon modes and in electron modes respectively (see also FIG. 1C). The filter-foil assembly 300 is operable to move in a linear direction and rotate around an axis. Alternatively, the filter-foil assembly is operable to translate in both X- and Y-directions. The linear movement of the filter-foil assembly 300 can be accomplished by moving the stage 200 which is driven by the axis 212. The filter-foil assembly 300 can be fixedly attached to the stage 200 via a structural member 306 using e.g. pins, screws or other suitable means 308. The structural member 306 is coupled to the filter-foil assembly 300 via a bearing assembly 310 including bearing rings, bearing retainer, bearings etc. The bearing assembly 310 allows the filter-foil assembly 300 to rotate with respect to the structural member 306. The rotation of the filter-foil assembly 300 can be actuated and controlled by the rotation axis 312, which is supported by the stage 200. The rotation axis 312 includes a motor 312a, a pulley 312b, roller guides 312c, and a timing belt 312d (see also FIGS. 2, 1C, and 1D). The timing belt 312d (FIG. 1D) is wound around the pulley 312b and the filter-foil assembly plate 316b. Therefore, when actuated, the motor 312a drives the pulley 312b to turn, which transmits the rotation force to the timing belt 312d. The timing belt 312d engages filter-foil assembly plate 316b and rotates it around the structural 306. The roller guides 312c can be adjusted to control the driving force transmitted to the filter-foil assembly 300. A resolver or sensor 314 can be coupled to the motor 312a to provide primary control feedback. A second housed resolver may be used to provide redundant or secondary feedback. Preferably, the motor 312a is a servo motor electrically connected to a controller and is operable with user interface software. It should be appreciated that while a specific motor, roller guides, and feedbacks are described in detail for illustrative purposes, other types of drive mechanisms or feedback devices can also be used and anticipated by the inventors. The beam filter assembly can be driven by either a linear axis or a rotation axis, or both, or by two linear axes in X-Y directions to position a beam filter or adjust the position of a beam filter. As illustrated in FIG. 3, the filter-foil assembly 300 includes a supporting structure 316 such as a plate or the like configured to support or position one or more beam filters such as a plurality of photon flattening filters 302 and electron scattering foils 304. For clarity photon flattening filters 302 are not shown in FIG. 3. In some preferred embodiments, the photon flattening filters 302 are positioned in a circular or partial circular configuration having a first radius. The scattering foils 304 are positioned in a circular or partial circular configuration having a second radius. The second radius is preferably different from the first radius. For example, the electron scattering foils 304 may be positioned in a partial circular configuration at locations proximate to the periphery of the plate 316, and the photon flattening filters 302 may be positioned in a circular or partial circular configuration at locations proximate to the midpoint of the radius of the plate 316. In some preferred embodiments, the plate 316 may include a first portion 316a supporting electron scattering foils 304 or other elements, and a second portion 316b supporting photon flattening filters 302. The first and/or second portions 316a, 316b may be in a circular shape or other regular or irregular shapes. The second portion 316b may be attached to the first portion 316a by e.g. screws or other suitable means. The second portion 316b may have a plurality of ports 318 configured to receive a plurality of beam filters such as photon flattening filters 302. In FIG. 3, six ports are shown in the second portion 316b. It should be noted that a different number of ports can be provided. The photon flattening filters 302 can be in various forms including e.g. conical form, and can be held in the ports 318 by pins, screws or other suitable means. The conical filters 302 may point upwards or downwards from the plate 316. The materials, forms and/or configuration of the photon flattening filters 302 can be chosen to match the energy of the X-rays produced based on specific applications. The electron scattering foils 304 may include primary scattering foils 304a and secondary scattering foils 304b. The combination of primary and secondary scattering foils 304a, 304b may provide a broadened, uniform profile of a treatment beam. Nine pairs of electron scattering foils are shown in FIG. 3, six grouped together on one side and three grouped together on the opposite side. It will be appreciated that a different number of electron scattering foils can be provided. The primary foils 304a may be supported by a bridge structure 320 mounted to the first plate portion 316a. The bridge structure 320 may raise the primary foils 304a above the secondary foils 304b and vertically aligns a primary foil with a secondary foil. The increased distance between the primary and secondary scattering foils allows the primary scattering foils to be higher in the treatment head and closer to the same elevation or location where the photon source (the target) is located. Having the source of electrons and the source of photons at an about same location is desirable since treatment planning and other design aspects of the treatment head are generally optimized around the location of the photon source. The increased separation between the primary and secondary electron foils also makes electron beam performance less sensitive to small machining variations in the thickness of the secondary foils and in the separation distance. An electron foil assembly with small separation between the upper and lower foils requires tighter tolerances on spacing and thickness of the lower foils to achieve uniform electron beam performance. The linear axis 212 and rotation axis 312 or two linear axes allow for automated adjustments of the position of the electron scattering foils 304 and photon flattening filters 302. The motorized axes 212, 312 may be controlled by a computer and adjustments can be made using a software interface rather than manual adjustment as in the prior art. With a suitable 2D radiation sensor (such as a grid ion chamber array) and an automated tuning software application, these adjustments can be made without human intervention. The use of both rotation and linear axes 212, 312 to adjust the position of electron scattering foils and photon flattening filters makes it practical to place the foils 304 and filters 302 on a different radius of a carousel assembly 300. To position the filters and foils at two different radii allows for a greater number of filters or foils available at two radii, as compared to confining both the filters and foils at a same radius. A greater selection of filters and foils may allow for a greater selection of X-ray and electron energies. The two-radius design of filter-foil assembly 300 makes it possible that the primary collimator 208, a large piece of radiation shielding located around the photon flattening filters 302, to be absent when using electron scattering foils 304 in electron modes. The absence of the primary collimator 208 improves the performance in electron modes by reducing scatter. The two-radius design also allows for a smaller inner radius for the flattening filters 302. A smaller inner radius of the filter travel path 228 would introduce a greater curvature in the shielding 224 gaps, hence substantially reducing the direct radiation leakage paths which would otherwise require heavy and expensive shielding plugs. The use of a separate inner radius for filter motion allows for a large, simple and effective primary collimator 208. Prior art designs have significant compromises to the primary collimator below the target. In most prior designs, the primary collimator is fixed and chopped up in complex and inefficient ways to allow motorized filters and foils to penetrate it. Earlier designs place primary collimator shielding further from the radiation target requiring significantly greater mass, complexity and cost of shielding components. Returning to FIG. 3, in some preferred embodiments, a mirror 420 can be installed on the filter-foil assembly 300. The mirror 420 constitutes a member of a field light assembly 450 and serves to reflect light from a light source. Because the mirror 420 is located on the filter-foil assembly 300, it can be moved out of the way of the radiation or electron beam by motorized axes 212 and 312 when it is not used in field light simulation. As a result, the mirror 420 is not required to be transparent to electron or radiation beams and can be made from any suitable materials, including a thin film or preferably a more robust material such as metal, glass etc. This is advantageous over prior art mirrors which are typically made of a thin film transparent to radiation or electron beams since it is fixedly located in the beam centerline. Thin film materials such as Mylar are more susceptible to degradation due to exposure to radiation, damage and optical distortion. They may also cause scattering losses and beam contamination. Another benefit of disposing the field light mirror on the carousel assembly or beam filter positioning device is that the radiation shielding in the collimator assembly can be greatly simplified. In prior art accelerators, the field light mirror is typically located in the collimator assembly above the jaws, necessitating complex shielding design to allow for mounting and service of the mirror. The access allowances require shielding voids that are duplicated for symmetry, resulting in inefficient shielding requiring complicated, expensive milled pieces of shielding such as tungsten to meet shielding requirements. Without a mirror in the collimator assembly, symmetrical shape of less expensive shielding such as molded lead can be used. This would result in an improvement in electron scatter due to the more efficient shielding. FIG. 4 is a perspective view of an exemplary ion chamber assembly 400 in accordance with some embodiments of the invention. The ion chamber assembly 400 is located under the filter-foil assembly 300 for detecting the parameters of a treatment beam such as beam energy, dose distribution, and dose rate etc. The ion chamber 402 can be supported by a structural member 404 such as a bracket, which is attached to a movable member such as a plate 406. The plate 406 is driven by an axis such as a linear axis 408 which is supported by a support member such as a plate 410. The support plate 410 is attached to the base plate 202 and the frame 204. The linear axis 408 includes a motor 408a, a ball screw 408b, a coupler 408c coupling the motor and the ball screw, and a ball nut 408d engaging the ball screw. The motor 408a can be supported by a mount 412, which is attached to the support plate 410. The ball nut 408d is fixed to or otherwise engaged with the plate 406. The motor 408a serves to rotate the ball screw 408b through the coupler 408c. The ball nut 408d is engaged with the ball screw 408b and moves linearly as the ball screw 408b rotates. The plate 406 to which the ball nut 408d is fixed moves linearly as the ball nut 408d moves. Linear guide rails 412a, 412b fixedly attached to the support plate 410 define the linear movement of the plate 406. Slide guides (not shown) or other suitable mechanisms can be used to engage the plate 406 with the guide rails 412a, 412b. Therefore, when actuated, the motor 408a rotates the ball screw 408b, and moves the ball nut 408d and the plate 406 in a linear direction. The ion chamber 402, which is supported by the bracket 404 attached to the plate 406, moves with the plate 406 along the guide rails 412a, 412b in a linear direction. A cable duct 414 (see also FIG. 1B) is attached to the bracket 404 to house various cables connected to the ion chamber 402. A resolver or sensor 408e can be coupled to the motor 408a to provide primary feedback on the position of the ball screw 408b. A second housed resolver 408f may be used to provide redundant or secondary feedback. A rack and pinion gear assembly 413 may be used to provide additional feedback. Preferably, the motor 408a is a servo motor electrically connected to a controller and is operable with user interface software. It should be appreciated that while a specific motor, ball screw, and feedbacks are described in detail for illustrative purposes, other types of drive mechanisms or feedbacks can also be used and anticipated by the inventors. It should be noted that the ion chamber can be driven, positioned, or adjusted by either a linear axis or a rotation axis. The bracket 404 may include an extension member 416. Two light sources such as filament lamps 418a, 418b can be mounted proximate to the end of the extension member 416. The light sources 418a, 418b, together with the mirror member 420 installed on the filter-foil assembly 300, forms a field light assembly 450. The extension member 416 distances the ion chamber 402 from the light sources 418a, 418b. In a photon mode operation, the ion chamber 402 is located under a photon flattening filter 302 for detection of the parameters of a treatment beam. In an electron mode operation, the ion chamber 402 is located under an electron scattering foil in the beam centerline for detection of the parameters of a treatment beam. In field light simulation, the linear axis 408, rotation axis 312, and linear axis 212 work collectively to adjust the position of the light source 418a or 418b and mirror 420 to optically project the light source to a virtual position coincident with the same location of the radiation source. The three degree of freedom (X, Y, and Z) adjustment of the virtual light source can be accomplished by mounting the mirrors and light sources on motion axes already needed for other purposes. No additional motion axes need to be provided to achieve the three degree of freedom adjustment. The use of motorized axes to move the lamp and mirror assemblies allows for automated adjustment of the field light system. The motorized axes can be controlled by a computer and the adjustment of the field light system can be performed using a software interface rather than the existing manual process. This would save factory adjustment time. Because the lamp assembly 418 is mounted to a motorized axis 408, additional spare lamps can be added to the motorized axis 408 and moved into place in the event that a lamp fails. Both lamps may be factory adjusted into position relative to the assembly interface. Automatically switching to a spare lamp in the event that a light bulb fails allows a medical linear accelerator to continue to be used for treating patients until the failed lamp is replaced at a convenient time. Referring to FIG. 1B, the beam filter positioning device 100 may further include a backscatter filter 460 located under the ion chamber assembly 400. A backscatter filter 460 such as a thin tantalum filter passes high energy photons in the beam direction, but stops low energy photons primarily caused by upward scatter off the upper collimator jaws located downstream. The backscatter filter 460 can greatly reduces unwanted backscattered radiation into the ion chamber 400. This scattered radiation has an unwanted effect on the calibration of the ion chamber 402. The backscatter filter 460 can be supported by a structure 462, which can be fixedly attached to the bottom portion of the structural member 306 e.g. with screws. The backscatter filter 460 can therefore be moved together with the filter-foil assembly 300 in a direction. Preferably the backscatter filter 460 is positioned to have a radius from the structural member 306 about the same as for the photon flattening filters 302, so that when in an electron mode, the backscatter filter 460, like the photon flattening filters 302, can be moved out of the path of an electron beam. Preferably, the structure 462 is a box-like structure having an upper plate and a lower plate with the backscatter filter 460 being attached to the lower plate. The box-like structure 462 is preferably side open to allow the ion chamber 402 passing though the structure 462 between the upper and lower plates. In some embodiments, the backscatter filter 460 can be moved by a rotation axis. FIG. 5 is a perspective view of an exemplary target assembly 500 in accordance with some embodiments of the invention. The target assembly 500 positions a target in the beam path for generation of X-rays in a photon mode, or moves a target out of the beam path in an electron mode. The target assembly 500 can be fixedly attached to the base plate 202 via a channel mount 502. The target assembly 500 includes a substrate 504 supporting one or more target buttons 506 and a cooling tube 508 coupled to the substrate 504 for supplying a cooling fluid. Channels can be provided in the substrate 504 adjacent or surrounding the target buttons 506 for circulating a cooling fluid to dissipate heat generated during target operation. The substrate 504 and the cooling tube 508 can be supported by a mount assembly 510, which is movable relative to the channel mount 502. A shielding block 512 is placed atop and attached to the mount assembly 510 by e.g. screws or pins. The target assembly 500 can be moved by a linear axis 514. The linear axis 514 includes a motor 514a, a ball screw (not shown), a coupler 514b coupling the motor and the ball screw, and a ball nut 514c engaging the ball screw. The motor 514a can be supported by mount 516, which is attached to the channel mount 502. The ball nut 514c is fixed to or otherwise engaged with shielding block 512. The motor 514a serves to rotate the ball screw through the coupler 514b. The ball nut 514c is engaged with the ball screw and moves linearly as the ball screw rotates. As a result, the shielding block 512, to which the ball nut 514c is fixed, moves linearly as the ball nut moves. The mount assembly 510, which supports the substrate 504 and cooling tube 508 and is attached to the shielding block 512, moves linearly as the ball nut 514c moves. Linear guide rail (not shown) fixedly attached to the channel mount 502 defines the linear movement of the mount assembly 510. Slide guides (not shown) can be used to engage the mount assembly 510 with the guide rail. A resolver or sensor can be coupled to the motor 514a to provide primary feedback on the position of the ball screw. A second housed resolver may be used to provide redundant or secondary feedback. Preferably, the motor 514a is servo motor electrically connected to a controller and is operable with user interface software. It should be appreciated that while a specific motor, ball screw, and feedbacks are described in detail for illustrative purposes, other types of drive mechanisms or feedbacks can also be used and anticipated by the inventors. It should also be noted that the target assembly can be driven, positioned, or adjusted by either linear axis or a rotation axis. The target assembly 500 may include one or more targets each being optimized to match the energy of an incident electron beam. For example, the target assembly 500 may include a first target 506a adapted for a first photon mode, a second target 506b for a second photon mode, and a third target 506c for a third photon mode. The material of a target can be chosen and/or the thickness of a target be optimized for an incident electron beam with a particular energy level. By way of example, a first target 506a may be optimized for an incident electron beam having an energy level ranging from 4 to 6 MV. A second target 506b may be optimized for an incident electron beam having an energy level ranging from 8 to 10 MV. A third target 506c may be optimized for an incident electron beam having an energy level ranging from 15 to 20 MV. It should be noted that a different number of targets may be included in the target assembly 500. In operation, the linear axis 514 moves or positions one of the targets 506 in the beam path for a photon mode. In an electron mode, the linear axis 514 removes the targets 506 out of the beam path to allow an electron beam passes unimpeded. FIG. 6 illustrates an exemplary beam filter positioning device or carousel assembly 100 in a photon mode operation in accordance with some embodiments of the invention. The primary collimator 208 and shielding 224 have been positioned and aligned in the beam centerline. The ion chamber 402 and the backscatter filter 460 have also been positioned in the beam centerline. Rotation axis 312 is actuated to rotate the filter-foil assembly 300 clockwise or counter-clockwise to align one of the photon flattening filters 302 in the beam centerline. Sequentially or simultaneously, the linear axis 514 is actuated to position a target button 506 in the beam centerline. An electron beam 602 impinges the target button 506 and X-rays 604 are produced. The field of X-rays 604 is shaped as the X-rays produced pass through the passageways in the primary collimator 208 and shielding 224. A radiation beam with a uniform dose distribution is obtained as the X-rays pass through a flattening filter 302. The parameters of the treatment beam are detected as the beam passes through the ion chamber 402. Backscatter filter 460 located under the ion chamber 402 blocks backscatter radiation from entering the ion chamber 402 to ensure accurate measurement of the radiation beam parameters. Because the mirror 420 is installed on the filter-foil assembly 300 and is off the beam centerline in the photon mode, the treatment beam generated pass downstream unimpeded by the mirror. Depending on the energy of an incident electron beam 602 for a particular application, the linear axis 514 may move the target assembly 500 to position a target button 506 this is optimized for such beam energy in the beam path for optimized performance of the target. Similarly, depending on the energy of an incident electron beam, the rotation axis 312 may rotate to position a flattening filter 302 that is optimized for such beam energy in the beam centerline for optimized performance of the filter. FIG. 7 illustrates an exemplary beam filter positioning device or carousel assembly 100 in an electron mode in accordance with some embodiments of the invention. In an electron mode, linear axis 514 is actuated and drives the target assembly 500 to move the target 506 away from the beam centerline. Linear axis 214 is actuated and drives the stage 200 to move the primary collimator 208, shielding 224, and backscatter filter 460 away from the beam centerline. Because the electron scattering foils 304 have a different or greater radius than the photon flattening filters 302 on the filter-foil assembly 300, driving the filter-foil assembly 300 to move the flattening filters 302 away from the beam centerline would bring the scattering foils 304 to the beam centerline. Rotation axis 312 is actuated and the filter-foil assembly 300 rotates clockwise or counterclockwise to align one of the electron scattering foils 304 with beam centerline. The primary and secondary scattering foils 304 scatter the electron beam to produce a broadened, uniform profile of a treatment beam 606. Depending on the energy of an incident electron beam for a particular application, the rotation axis 312 may rotate the filter-foil assembly 300 to align a scattering foil that is optimized for such beam energy in the beam path for optimized performance of the foil. The parameters of the treatment beam are detected as the beam passes through the ion chamber 402. FIG. 8 illustrates an exemplary beam filter positioning device or carousel assembly in a field light simulation mode in accordance with some embodiments of the invention. Linear axis 408 is actuated and drives the ion chamber assembly 400 to move the ion chamber 402 away from the beam centerline. Linear axis 214 is actuated and drives the stage 200 to move the primary collimator 208, shielding 224, and backscatter filter 460 away from the beam centerline. Because the mirror member 420 has a greater radius than the photon flattening filters 302 on the filter-foil assembly 300, driving the filter-foil assembly 300 to move the flattening filters 302 away from the beam centerline would bring the mirror member 420 to the beam centerline. Rotation axis 312 is actuated and rotates the filter-foil assembly 300 clockwise or counterclockwise to position the mirror member 420 in the beam centerline. The linear axis 408 moves and adjusts the position of a lamp 418 to project the lamp filament to a virtual radiation source position 802. Mirror 420 reflects light projected from the lamp 418 to illuminate an area e.g. on the surface of a patient's skin for simulation. One of the advantages of the beam filter positioning device of the invention is that it can be configured to automatically adjust the position of beam filters, field light assembly, or other device components. The automatic adjustment can be accomplished by a control system operable by a computer software interface such as a Graphical User Interface (GUI). The control system may include a processor such as for example, a digital signal processor (DSL), a central processing unit (CPU), or a microprocessor (μP), and a memory coupled to the processor. The memory serves to store programs for the operation of the beam filter positioning device and other programs. The processor executes the program and generates signals for operation of the motion axes or other components of the beam filter positioning device. Responsive to the signals from the control system, the beam filter positioning device operates in which one or more motion axes move the beam filters, field light source, mirror, or other device components in a controlled and automatic manner based on a plan or routine, or based on a demand input from a user. The control system also receives feedback signals from sensors or resolvers in the motion axes, or from other device components such as the ion chamber, and generates signals for adjustment when necessary. For example, based on the beam parameter signals provided by the ion chamber to the control system, the control system may recalculate and generate signals for adjustment to the motion axes. The motion axes respond and automatically adjust the position of the beam filters. Similarly, based on the field light image or information, the control system may recalculate and generate signals for adjustment to the motion axes. The motion axes respond and automatically adjust the position of the light source and/or mirror to adjust the virtual light source position in three degrees of freedom. Exemplary embodiments of beam filter positioning devices or carousel assemblies have been described. Those skilled in the art will appreciate that various modifications may be made within the spirit and scope of the invention. All these or other variations and modifications are contemplated by the inventors and within the scope of the invention.
046844953
claims
1. In a fuel assembly for a nuclear reactor including a plurality of nuclear fuel rods, at least one grid supporting said fuel rods in an organized array, and at least one guide thimble supporting said grid, an improved bottom nozzle disposed adjacent and below said grid, supporting said guide thimble and adapted to allow flow of liquid coolant into said fuel assembly, said improved bottom nozzle comprising: (a) means spaced below said grid and a lower end of said fuel rods and supporting said guide thimble and allowing flow of coolant into said fuel assembly; (b) means mounted about said supporting means and extending toward but spaced from said grid and lower end of said fuel rods so as to define an open region between said supporting means and said grid and lower end of said fuel rods; and (c) a trap disposed within said open region and on said supporting means, said trap being adapted for passage of said guide thimble therethrough to said supporting means and flow of said coolant therethrough for capturing and retaining debris carried by said flowing coolant within said trap to substantially prevent entry of debris into said fuel assembly, said trap including a network of interconnected elongated structural members defining open spaces for flow of coolant therethrough; and means on said members for attaching and anchoring said guide thimble thereto. (a) a housing formed by a plurality of interconnected, upstanding side walls having upper and lower peripheral edges and adapted to rest at said lower peripheral edge thereof upon said lower core plate and spaced at said upper peripheral edge from said lowermost grid; (b) a network of interconnected structural members disposed within said housing and extending across said housing between said side walls thereof, said members being connected to said side walls a short distance be1ow said upper peripheral edge of said housing so as to define an open region within said upper portion of said housing above said network of members and below said lowermost grid, said members together defining open space for flow of coolant therethrough to said fuel assembly; (c) means supported on said network of members for attaching and anchoring said guide thimbles to said members; and (d) a trap disposed within said open region and adapted for passage of said guide thimble therethrough to said network of members and the flow of said coolant therethrough for capturing and retaining debris carried by said flowing coolant within said trap to substantially prevent entry of debris into said fuel assembly, said trap including 2. The improved end nozzle as recited in claim 1, wherein said supporting means includes: 3. The improved end nozzle as recited in claim 1, wherein said finger has at least one hole defined therethrough. 4. The improved end nozzle as recited in claim 1, wherein said structure has cross-sectional dimensions sized to fit said structure within said open region and said trap further includes means on said structure for releasably locking it within said means mounted about said supporting means and defining said open region. 5. The improved end nozzle as recited in claim 4, wherein said locking means is in the form of a plurality of leaf springs disposed on the periphery of said structure and engagable with said means defining said open region. 6. In a liquid cooled nuclear reactor having a plurality of fuel assemblies supported on a lower core plate, each of said fuel assemblies and said lower core plate being constructed to allow coolant flow therethrough, said fuel assembly including a plurality of nuclear fuel rods, a plurality of grids axially disposed along and supporting said fuel rods in an organized array, and a plurality of guide thimbles supporting said grids, an improved bottom nozzle disposed adjacent a lowermost one of said grids, supporting said guide thimbles spaced above said lower core plate and adapted to allow flow of liquid coolant into said fuel assembly from said lower core plate, said improved bottom nozzle comprising: 7. The improved bottom nozzle as recited in claim 6, further comprising an elongated sleeve centrally located with respect to said network of members and connected thereto, said sleeve extending downwardly therefrom and into contact with said lower core plate for supporting said network of members thereon. 8. The improved bottom nozzle as recited in claim 6, wherein said network of members takes the form of a network of interconnected crisscross-and diagonally-extending ligaments which extend across said housing and are connected at their outer ends to said housing side walls. 9. The improved bottom nozzle as recited in claim 8, wherein said attaching and anchoring means takes the form of a plurality of bosses being supported on said network of ligaments. 10. The improved bottom nozzle as recited in claim 6, wherein said each finger has at least one hole defined therethrough. 11. The improved bottom nozzle as recited in claim 6, wherein said each wall portion has an opening formed therein at the region where said finger was punched out, said openings in said wall portions facilitating cross flow of coolant between individual ones of said cells. 12. The improved bottom nozzle as recited in claim 6, further comprising means on said structure for releasably locking it within said open region of said upper portion of said housing. 13. The improved bottom nozzle as recited in claim 12 wherein said locking means is in the form of a plurality of leaf springs disposed on the periphery of said structure and engagable within a plurality of recessed shoulders formed in said upper portion of said housing.
description
This invention relates to a high-frequency control device for an accelerator such as a synchrotron which is a radiation source for a particle beam therapy system and a particle beam therapy system. A particle beam therapy is performed by irradiating affected tissue with a particle beam so as for the affected tissue to be damaged, and is one of radiation therapy in a broad sense. Regarding a particle beam such as a proton, a heavy ion beam, etc., unlike other radiation such as γ-ray, X-ray, a depth range of applying dose can be adjusted by energy of a particle beam, dose can be applied according to a three-dimensional shape of an affected part. Therefore, especially, it is required for an accelerator for a particle beam therapy system to supply a particle beam having accurate energy and orbit. An accelerator comprises deflection electromagnets for forming an orbit, an acceleration cavity for accelerating a particle beam using a high-frequency, and vacuum ducts which are passages for a particle beam to pass through, etc. Magnetic fields of the deflection electromagnets change according to predetermined patterns with acceleration of a particle beam (energy growth). At the same time, an orbit frequency of a particle beam changes. Therefore, in order to accelerate a particle beam stably, it is necessary to control a frequency or amplitude (intensity) of a high-frequency signal, which is applied to the acceleration cavity, according to a predetermined pattern. In order to simplify the above-mentioned control, for example, a RF control device, by which a frequency reference signal of a high-frequency signal which is made by a computer in advance is stored and is sequentially read out during operation is proposed (for example, refer to Patent Document 1). However, according to the above-mentioned system, data volume is vast and data conditioning is not easy and number of components is also great. Therefore, a high-frequency control device, wherein an operation pattern of an accelerator is divided into a flat stable region and a region having a flat acceleration part, and a wave-form data of each region is used to control is proposed (for example, refer to Patent Document 2). On the other hand, an irradiation method of a particle beam therapy is broadly divided into a broad irradiation method in which whole of an affected part of a patient which is an irradiation subject is irradiated simultaneously with a particle beam and a scanning irradiation method in which a particle beam is scanned to irradiate. In a case of a broad irradiation method, a particle beam to be irradiated has definite energy. On the other hand, in a case of a scanning irradiation method, energy of a particle beam is changed so as to irradiate a wide range of depth direction. Energy of a particle beam is changed by changing a magnetic field of an accelerator and a pattern of a high-frequency. Therefore, in a case of a scanning irradiation method, it is necessary to set an operation pattern of an accelerator in accordance with energy and intensity for each energy and intensity. Consequently, in comparison with a broad irradiation method, it is necessary to store more operation patterns. [Patent Document 1] Japanese Patent Application Laid-Open No. 2000-232000 (Paragraph 0031-0050, FIG. 1)[Patent Document 2] Japanese Patent Application Laid-Open No. 2010-3538 (Paragraph 0018-0024, FIG. 2-FIG. 5) Regarding a scanning irradiation method, in order for a high-frequency control device to change a pattern at high speed, it is necessary for data to be loaded into a local memory which can be read out at high speed. However, on the other hand, it is necessary to store a large amount of data, therefore it is necessary to secure a large capacity of local memory. Consequently, there is a problem such that memory which can read out at high speed is expensive in comparison with a HDD (Hard Disc Drive) which can secure the same capacity. Further, in a case where a volatile memory such as RAM (Random Access Memory) is used as a local memory, when data of a memory is lost by cutting off from the circuit caused by trouble, etc., it takes a long time to redownload data or recover data. Further, in order to prevent misirradiation, it is necessary to change a pattern at high speed without error, however, in a case where data is sent through a host computer in a conventional way, processing time is increased accordingly. Consequently, there is a case to which a scanning irradiation method cannot be applied. This invention is made so as to solve the above-mentioned problems, and aims to provide a high-frequency control device which can perform a scanning irradiation method with less amount of local memory capacity. This invention provides a high-frequency control device for an accelerator for controlling a high-frequency to be applied to an acceleration cavity of an accelerator which generates a particle beam to be used for a particle beam therapy, wherein a hard disk drive memory which stores pattern data of a high-frequency to be applied for each combination of energy and intensity of the generated particle beam; and a local memory, which reads a plurality of pattern data of a high-frequency for each patient together with the sequential order of changing energy and intensity from the hard disk drive memory and stores data in order to perform a scanning irradiation method in which a layered particle beam irradiation region in a depth direction of an affected part of the patient is formed sequentially by changing energy and intensity of the particle beam sequentially to irradiate an affected part of a patient which is an irradiation subject with the particle beam, and which reads out data faster than the hard disk drive memory are provided. According to a high-frequency control device of this invention, a high-frequency control device, which can perform a scanning irradiation method with less amount of local memory capacity, can be provided. Hereinafter, the configuration of a high-frequency control device according to Embodiment 1 will be described. FIGS. 1 to 5 are drawings describing a high-frequency control device according to Embodiment 1 of this invention. FIG. 1 is a block diagram describing a principal configuration of a high-frequency control device, and an outline of whole of a particle beam irradiation therapy system including an accelerator and a particle beam irradiation device, and FIG. 2 is a diagram describing an acceleration cycle of an accelerator. As shown in FIG. 1, a high-frequency control device 1 is a device for controlling a frequency or output of a high-frequency to be applied to an acceleration cavity 62, which is a main component, in an circular accelerator 6 (hereinafter will be referred to as an accelerator 6) such as a synchrotron. In order to store data for controlling a high-frequency generating part 4, the high-frequency control device 1 has a HDD (Hard Disk Drive) memory 31 and a local memory 32 such as RAM, etc. which can read data at higher speed than a HDD memory. First, an outline of the accelerator 6 will be described using a synchrotron as an example of an accelerator. The accelerator 6 comprises deflection electromagnets 61 for forming an orbit, an acceleration cavity 62 for accelerating a particle beam using a high-frequency and vacuum ducts 63 which are passages for a particle beam to pass through. In addition to the above-mentioned, the accelerator 6 comprises a prestage accelerator 66 which accelerates a charged particle beam in advance, an injector 65 which injects charged particles, which is accelerated by the prestage accelerator 66, into the accelerator 6, a beam monitor 64 for monitoring a position, etc. of a particle beam which is made by gathering charged particles to have a beam-shape, an extractor 67 for sending a particle beam from the accelerator 6 to a particle beam transport system 7 comprising transport system deflection electromagnets 71, vacuum ducts 72, etc. A particle beam which is transported by the particle beam transport system 7 is irradiated from a particle beam irradiation device 8 onto an affected part of a patient 10, which is an irradiation subject, on a therapy table 11. It is necessary for an operation of the particle beam irradiation device 8 to cooperate with an operation of the accelerator 6. A control signal for cooperating operation, for example, is outputted by an irradiation system control device 3 to the particle beam irradiation device 8 and the high-frequency control device 1. A particle beam is accelerated to be a predetermined energy in the accelerator 6. In order to accelerate a particle beam to be a predetermined energy, it is necessary to change a frequency and amplitude of a high-frequency to be applied to an acceleration cavity 62 while changing magnetic field intensity of deflection electromagnets 61 of the accelerator. FIG. 2 shows one example of the above-mentioned change. As shown in the uppermost stage in FIG. 2, a magnetic field of the deflection electromagnet 61 of the accelerator 6, that is, a deflection magnetic field is changed according to a definite pattern. At this time, an orbit frequency of a particle beam is changed, therefore, in order to accelerate a particle beam stably, as shown in the second stage and the third stage in FIG. 2, regarding a high-frequency signal which is applied to the acceleration cavity 62, it is necessary to control a frequency and amplitude according to a predetermined pattern. Hereinafter, an outline of an operation of an accelerator from acceleration to extraction will be described. First, the state of the minimum level of energy is called a flat bottom. In the flat bottom, a beam is injected from the prestage accelerator 66 and is accumulated in a synchrotron. Next, a high-frequency signal which is an OFF-state is turned ON, and by increasing a voltage, charged particles are captured so as for the charged particles to be gathered at a same phase. Next in an acceleration period, based on magnetic variation of electromagnets, a beam is accelerated by controlling a frequency and amplitude of a high-frequency signal. In a case where excitation change speed of a magnetic field is mostly constant, a start part and an end part are referred to as smoothing distinctively. Next, at a point when a beam reaches to a predetermined energy, acceleration is terminated and the states enters a flat top state where a magnetic field does not change. In the flat top stage, in order to make a condition which is suitable for extracting a beam from a synchrotron, fine adjustments of amplitude, a frequency, etc. of a high-frequency signal are made. For the duration of a flat top, on demand of users of a beam, a beam is extracted out of a synchrotron. Next, after the lapse of a predetermined time, or at a point when a beam is used up, preparation for decreasing a magnetic field of a synchrotron is made. Next is a deceleration period, and during the deceleration period, a magnetic field of a synchrotron is reduced to be a minimum level. The synchrotron restores the condition to be a flat bottom state, and makes an electric power restore to be an initial state. The above-mentioned cycle of an operation pattern is referred to as an acceleration cycle. In response to a flat bottom period, an acceleration period, a flat top period and a deceleration period which show the change of a deflection magnetic field of the accelerator 6, a frequency and amplitude of a high-frequency which is applied to the acceleration cavity 62 is changed as shown in the second stage and the third stage in FIG. 2. A pattern of variation of a deflection magnetic field and a high-frequency is determined by a combination of energy and intensity. Pattern data for controlling a high-frequency signal of operation pattern in combination of energy and intensity is stored in the HDD memory 31. In a case of scanning irradiation method, for example, there are 60 kinds of energy, and per each energy, there are eight levels of intensity, that is, there are 480 kinds of operation pattern which is obtained by a numerical formula 60×8=480. Regarding each operation pattern, it is necessary to store control data of a high-frequency signal for driving the acceleration cavity 62 which corresponds to a flat bottom period, an acceleration period, a flat top period and a deceleration period as pattern data. Regarding each pattern data, each combination of energy and intensity is stored in the HDD memory 31, that is, for example, in pattern data 1, energy is 400 MeV and intensity is 1, and in patter data 2, energy is 400 MeV and intensity is 2. FIG. 3 shows an image in which a particle beam is irradiated onto an affected part 100 of a patient 10 according to a scanning irradiation method. A thin pencil-shaped particle beam PB is scanned laterally by the particle beam irradiation device 8 so as to irradiate the affected part 100. At this time, in a moving direction of a particle beam PB, that is, in a depth direction, a part of maximum absorption depth of a particle beam which is referred to as Bragg Peak which is determined by energy of a particle beam is irradiated. Accordingly, by changing energy of a particle beam, an irradiation position in a depth direction can be changed. That is, by scanning a particle beam having a certain level of energy laterally, a part in a depth direction which corresponds to the energy is irradiated. Consequently, by scanning a particle beam having a certain level of energy to irradiate, a layered part is irradiated. Next, by changing energy so as to scan a particle beam PB laterally, a layered part having a different depth can be irradiated. When a level of energy of a particle is high, a Bragg Peak is formed in a deep part. First, by scanning a particle beam PB having a predetermined high level of energy is scanned laterally, a layered region which is indicated by S1 in FIG. 3 is irradiated. Next, by decreasing a level of energy of a particle beam, a layered region which is indicated by S2 is irradiated. By decreasing further a level of energy of a particle beam, a layered region which is indicated by S3 can be irradiated. In the above-mentioned way, a layered region having a different depth can be irradiated by changing energy. By combining energy change and scanning in a lateral direction, a particle beam can be irradiated in a three-dimensional affected region. For each patient, what kinds of irradiation will be applied, that is, an irradiation procedure, is determined based on a therapy plan. This irradiation procedure is sent from a therapy planning device 2 to an accelerator system control computer 21 and an irradiation system control computer 22, after each computer stores the irradiation procedure, and then the irradiation procedure is sent to the high-frequency control device 1 and the irradiation system control device 3. Information regarding an irradiation procedure includes energy and intensity of a particle beam to be irradiated. FIG. 4 shows an example of a combination of energy and intensity of particle beam for each irradiation. First, a particle beam having energy 400 MeV and intensity 3 is irradiated. That is, a part having a depth which corresponds to energy 400 MeV is irradiated in a layer shape. Secondary, a particle beam having energy 380 MeV and intensity 3 is irradiated. That is, a part which is shallower than a part which was irradiated firstly is irradiated in a layer shape. In the above-mentioned way, by decreasing energy of a particle beam sequentially, a part to be irradiated is made shallow so as to irradiate whole of an affected part. A flow to perform the above-mentioned irradiation will be described referring to a flow diagram in FIG. 5. As a preliminary stage, all of pattern data corresponding to a combination of all energy and all intensity is sent from the accelerator system control computer 21 to the HDD memory 31 and is stored (ST1). Before irradiating a patient with a particle beam, based on an irradiation procedure according to a therapy plan of the patient, a plurality of data which is required is read from the HDD memory 31 together with a sequential order of irradiation (a sequential order of operation) to the local memory 32 (ST2). FIG. 6 shows an image of an example of organization of pattern data which is read. In the local memory 32, pattern data, that is, information regarding a high-frequency control is stored according to operation sequential order. By completing the above-mentioned procedure, preparation for irradiation is completed. When a particle beam is irradiated, a control signal for irradiation is sent from the irradiation system control device 3 to the high-frequency control device 1 or the particle beam irradiation system 8. First, when a signal for starting irradiation is sent from the irradiation system control device 3, the high-frequency generating part 4 starts to generate a high-frequency according to pattern data of an operation sequential order 1 which is stored in the local memory 32, and a particle beam is accelerated by the accelerator 6 (ST3). At a moment when energy reaches to a predetermined level, that is, at a predetermined timing of a flat top period shown in FIG. 2, a particle beam starts to be extracted, in synchrony with that, a particle beam is scanned laterally by the particle beam irradiation system 8. After irradiation of energy according to an operation sequential order 1 is completed, the accelerator 6 prepares for following acceleration in order to perform irradiation according to an operation sequential order 2. When a signal of starting irradiation according to an operation sequential order 2 is sent from the irradiation system control device 3, according to pattern data of operation sequential order 2 which is stored in the local memory 32, the high-frequency generating part 4 starts to generate a high-frequency and a particle beam is accelerated by the accelerator 6 (ST4). At a moment when energy reaches to a predetermined level, that is, at a predetermined timing of a flat top period shown in FIG. 2, a particle beam starts to be extracted, in synchrony with that, a particle beam is scanned laterally by the particle beam irradiation system 8. As above-mentioned, energy and intensity is changed according to a therapy plan for each operation sequential order, a layered region in an affected part is irradiated for each energy so as to irradiate whole of an affected part. As above mentioned, according to a high-frequency control device in EMBODIMENT 1, from a large amount of pattern data which is stored in the HDD memory 31, pattern data of operation sequential order according to a therapy plan for each patient is read to the local memory 32 which can read data at high speed. According to the high-frequency control device, the high-frequency generating part 4 is controlled by pattern data which is stored in the local memory 32 according to a control signal which is sent from the irradiation system control device 3. Consequently, in comparison with an operation which is performed by reading pattern data from the HDD memory 31 to the local memory 32 for each operation, controlling can be performed at higher speed. Further, in comparison with a case where a HDD memory is not provided and a large amount of pattern data is stored in a local memory, only a small capacity of local memory is required, therefore, configuration of the high-frequency control device is simple. FIG. 7 is a block diagram describing the configuration of a high-frequency control device including an accelerator according to Embodiment 2 of this invention. In FIG. 7, a reference character which is same as that in FIG. 1 shows a same part or an equivalent part. In EMBODIMENT 2, in addition to a high-frequency control device according to EMBODIMENT 1, a command value checking part 33 is provided. In this invention, for each patient, pattern data is read from a HDD memory 31 and is stored in a local memory 32. When an irradiation is performed, from the local memory 32, pattern data which is stored, that is, information regarding a high-frequency is sent successively to a high-frequency generating part 4 according to a command from an irradiation system control device 3. In the command value checking part 33, data to be sent out is checked whether it is correct or not. A checking procedure in the command value checking part 33 will be described referring to a flow chart in FIG. 8. For example, at a point of time when an operation of operation sequential order i is completed (ST10), operation information of subsequent operation of operation sequential order i+1, that is, combination of energy and intensity will be sent from the irradiation system control device 3 to the command value checking part (ST11). Whether the above-mentioned operation information agrees with operation information regarding the operation of operation sequential order i+1 which is stored in the local memory 32 or not will be checked (ST12). In a case where the agreement is confirmed (ST12 YES), an operation of operation sequential order i+1 starts according to pattern data of operation sequential order i+1 which is stored in the local memory 32. In a case where the agreement is not confirmed (ST12 NO), an operation of operation sequential order i+1 does not start, and for example, pattern data of re-operation sequential order i+1 is acquired from the HDD memory 32 (ST13). After that, a checking procedure of ST12 is performed again. In a case where the agreement is not confirmed again, pattern data of operation sequential order i+1 is directly acquired from an accelerator system control computer 21 (ST13). In the above-mentioned way, until an operation information which is obtained from the irradiation system control device 3 agrees with an operation information in the local memory 32, data acquisition is continued. As above-mentioned, in EMBODIMENT 2, the command value checking part 33 is provided, by checking whether a command value agrees with data in the local memory 32, an operation according to incorrect data can be prevented. FIG. 9 is a flow chart showing an operation procedure of a high-frequency control device according to EMBODIMENT 3. The configuration of a high-frequency device 1 is same as that shown in FIG. 7. In a command value checking part 33, data which is sent by the high-frequency device 1 is checked whether it is correct or not. In a case where operation information of operation sequential order i+1 which is acquired from an irradiation system control device 3 in step ST12 does not agree with operation information of operation sequential order i+1 (ST12 NO), first, operation information of subsequent operation sequential order i+2 is acquired from the irradiation system control device (ST15), and operation information is compared with operation information of operation sequential order i+2 which is stored in a local memory 32 (ST16). In a case where both of the operation information is in agreement (ST16 YES), an operation of operation sequential order i+1 is omitted, and an operation of operation sequential order i+2 is performed (ST17). On the other hand, data of operation sequential order i+1 is acquired again from a HDD memory 31 while an operation of operation sequential order i+2 is performed (ST19). When it is checked such that data of operation sequential order i+1 in a local memory agrees with data from an irradiation control device (ST20 YES), after an operation of operation sequential order i+2 is completed, an operation of operation sequential order i+1 is performed (ST21). In a case where data is not in agreement in ST16 (ST16 NO), an operation of operation sequential order i+2 is not started, for example, pattern data of operation sequential order i+2 is acquired from the HDD memory 31 again (ST18). In this case, when data of operation sequential order i+3 is checked at the same time, and it is checked such that data of operation sequential order i+3 is correct, an operation of operation sequential order i+3 may be performed while data of operation sequential order i+1 and operation sequential order i+2 is acquired again. As above mentioned, changing operation sequential order will not make any problem for a particle beam therapy. In a particle beam therapy, irradiation may be performed so as for an integrated irradiation dose in each irradiation site to reach to a planned value. Consequently, any irradiation sequential order is acceptable, that is, any irradiation sequential order of each irradiation layer indicated by S1, S2 and S3 shown in FIG. 2 is acceptable. That is, after the S1 layer is irradiated, the S3 layer may be irradiated and the S2 layer may be irradiated at the end. Consequently, in a scanning irradiation method, without irradiating according to energy sequential order which is planned in a therapy plan, and irradiation sequential order may be changed. In a case where it takes long time to check data in a memory, as in the above-mentioned, by performing a procedure so as for data in a memory to be correct data while an operation of other operation sequential order is performed, without increasing whole of irradiation time, an integrated irradiation dose according to a therapy plan can be applied to an irradiation site, that is, an affected part 1. high-frequency control device 2. therapy planning device 3. irradiation system control device 4. high-frequency generating part 6. circular accelerator (accelerator) 7. particle beam transport system 8. particle beam irradiation system 10. patient 21. accelerator system control computer 22. irradiation system control computer 61. deflection electromagnet 62. acceleration cavity 63. vacuum duct 64. beam monitor 65. injector 66. prestage accelerator 67. extractor 71. transport system deflection electromagnet 100. affected part
description
This application claims priority of French Patent Application No. 09/58743, filed Dec. 8, 2009, which is incorporated by reference herein. The present invention concerns a motor stand of a primary motor-driven pump unit of a pressurized water nuclear reactor. The field of the invention is that of pressurized water nuclear reactors. The invention concerns, more particularly, a primary motor-driven pump unit (also called “reactor coolant pump set”) of the primary circuit of a pressurized water nuclear reactor and more particularly a motor stand of such a unit. In a known manner, pressurized water reactors comprise a reactor vessel filled with water under pressure in which the core of the reactor is contained, and also a primary circuit formed of several loops in communication with the reactor vessel. The primary circuit of a pressurized water reactor comprises, in a conventional manner, 3 or 4 loops connected in a symmetrical manner to the vessel of the reactor. FIG. 1 shows typically a loop of the primary circuit of a pressurized water reactor. Each loop of the primary circuit comprises: a steam generator 1 in which the water under pressure cools, heating and vaporizing secondary feed water; a primary pump 2, designated primary motor-driven pump or primary motor-driven pump unit (GMPP), ensuring the circulation of the water under pressure in the primary circuit. The primary circuit also comprises a pressurizer (not shown) connected to one of the loops by an expansion line. Each loop of the primary circuit is formed by primary ducts comprising: a hot branch 3 connecting the reactor vessel 6 to the steam generator 1, a branch 5 having a U-shape, designated the U-branch, connecting the steam generator 1 to the primary motor-driven pump unit 2, a cold branch 4 connecting the primary motor-driven pump 2 to the reactor vessel 6. The cooling water of the reactor under pressure is circulated in each loop by the primary motor-driven pump unit 2. The heated water in the reactor vessel 6, in contact with the core, arrives in the lower zone 1a of the steam generator 1, forming a water box, by the hot branch. The water then circulates in the tubes of the steam generator 1 where it cools, heating and vaporizing the secondary feed water. The cooled water then returns in the water box to be sent back to the primary motor-driven pump unit 2 by the U-branch 5, then to the reactor vessel 6 by the cold branch 4. The direction of circulation of the water under pressure is shown by way of indication by the arrows in FIG. 1. The primary motor-driven pump unit 2 is a machine having a vertical axis, comprising in its upper part 2a an asynchronous electric motor fixed above a pump of the helico-centrifugal type, situated in the lower part 2b of the primary motor-driven pump unit 2, the electric motor and the pump being integrated by means of an element designated the motor stand 7. The primary motor-driven pump unit 2 rests on articulated supports 9, typically three in number, having a swivel at each of their ends. The articulated supports 9 are disposed so as to permit the displacement of the primary motor-driven pump unit 2 under the effect of the thermal expansions of the primary ducts during the functioning of the reactor. In a known manner, the primary motor-driven pump unit 2 is also held transversely by transverse holding devices (not shown). The transverse holding devices, and also the articulated supports, permit slow displacements of the primary motor-driven pump unit within limits of permitted travel, i.e. typically slow displacements resulting from the expansion of the primary ducts. In the case of rapid and consecutive displacements due to accidental situations, such as for example an earthquake or else a rupture of primary ducts, the transverse holding devices ensure the holding of the primary motor-driven pump unit transversely. The transverse holding devices are disposed radially about the primary motor-driven pump unit along two concurrent directions generally forming an angle close to 90° and are connected to fixing means of the motor stand of the primary motor-driven pump unit. In the known designs, the motor stand is a large-sized part made of steel, produced by foundry work. The fixing means of such a stand are generally located at a height close to the placement plane of the fixing flange of the motor stand on which the motor is secured. The fixing means are formed by a stirrup-shaped yoke produced during the production of the motor stand by foundry work and cooperate with the transverse holding device comprising a connecting arm. The connection between the yoke of the motor stand and the connecting arm of the transverse holding device is ensured by a transverse axis passing through the yoke and the arm so as to form a pivot link. However, the manufacture by foundry work of the motor stand integrating the fixing means in the form of a yoke poses production problems owing to the large thicknesses required with respect to the fixing yokes. In this context, the invention aims to solve the above-mentioned problems and to propose a design for a motor stand allowing the production of such a motor stand of a primary motor-driven pump unit to be simplified. To this end, the invention proposes a motor stand of a primary motor-driven pump unit of a pressurized water nuclear reactor comprising an upper flange and fixing means suited to ensure the fixing of transverse holding means of the said primary motor-driven pump unit, the said primary motor-driven pump unit comprising an electric motor having a lower flange suited to be integrated with the said upper flange of the said motor stand, the said motor stand being characterized in that the said fixing means comprise an annular element resting on the said upper flange of the said motor stand suited to be flanged between the said upper flange of the said motor stand and the said lower flange of the said motor, the said fixing means comprising at least one radial excrescence, in which there is arranged a space suited to receive the said holding means. Owing to the invention, it is possible to propose a simplified design of the motor stand of a primary motor-driven pump unit of a pressurized water nuclear reactor without fixing means in the form of a yoke, large thicknesses, thus allowing the production constraints of the motor stand to be overcome. To this effect, the motor stand according to the invention comprises an annular flanging element, suited to be inserted and flanged between the annular flange of the motor stand and the annular flange of the motor. The annular element comprises a radial excrescence in which a space is arranged, allowing a connection to be ensured with the connecting arm of the transverse holding means, in particular by means of a transverse axis passing through the radial excrescence and the connecting arm. The architecture of a pressurized water reactor installation dictates appreciably the positioning of the different primary motor-driven pump units as a function of the availability to the ground for the location of the articulated supports; it is therefore frequent that the angular localization of the fixing means is different from one motor stand to another for the same installation, or for two different installations. According to the known designs of motor stand, it is therefore necessary to develop as many molding devices of motor stands as localization situations of the fixing means. Owing to the invention, the design of the motor stand is simplified and allows the production of a plurality of molding devices to be overcome. In fact, in so far as a certain revolution symmetry of the annular element exists, it is possible to modify the position of the annular element, and consequently the radial excrescence, as a function of the location of the primary motor-driven pump unit and as a function of the location of the articulated supports. Thus, owing to the invention, it is possible to meet all the location situations of a primary motor-driven pump unit using standard parts. The motor stand according to the invention can also have one or more of the characteristics below, considered individually or according to all the technically possible combinations: the said radial excrescence is formed by an appendage being manufactured with the said annular element and in the plane of the said annular element, the said fixing means comprising an upper plate and a lower plate arranged on either side of the said appendage so as to form the said space suited to receive the transverse holding means; the said appendage comprises a recessed zone bordered on either side by a projecting boss; the said upper plate and the said lower plate are each formed by an angle comprising two lateral branches and a central bore; the said transverse holding means are integral with the fixing means by a connecting axis passing through the central orifice of the said angles and the said transverse holding means; the said angles comprising bores passing through the said lateral branches suited to receive screwing means; at least one of the two angles comprises means for blocking in rotation the said screwing means; the said means for blocking in rotation the said screwing means are formed by grooves at the level of each of the lateral branches; at least one of the two angles is manufactured with the said annular element; the said annular element is rendered integral with the said support flange by screwing means; the said motor stand comprises a plurality of pins passing through the said annular element and the said support flange suited to block the rotation of the said annular element; the said support flange comprises a tenon and the said annular element comprises a complementary mortise, the said tenon and the said complementary mortise being suited to withstand the radial stresses transmitted by the said transverse holding means. The invention also has as an object a primary motor-driven pump unit of a pressurized water nuclear reactor characterized in that it comprises articulated supports supporting the said primary motor-driven pump unit and a motor stand according to the invention, the position of the said fixing means of the said motor stand being able to be modified as a function of the location of the said articulated supports. In all the figures, the common elements have the same reference numbers, unless specified otherwise. FIG. 1 has already been previously described with reference to the general presentation of the invention. FIG. 2 illustrates a perspective view of the connection zone between a motor stand 20 according to the invention and a motor 30 of a primary motor-driven pump unit 40. FIG. 3 illustrates a partial exploded view of the fixing means 10 of the motor stand 20 illustrated in FIG. 2. The motor stand 20 is a substantially cylindrical part comprising at its upper end an annular flange 21, hereinafter designated “support flange”, on which on its upper face an annular element 50 is integrated, such that the motor stand 20 presents a support plane 24, substantially parallel to a horizontal plane 22, suited to receive in support the motor 30. The motor 30 comprises to this effect an annular flange 31 in its lower part, hereinafter designated “motor flange”, likewise presenting a support plane substantially parallel to the horizontal plane 22. The support flange 21 and the motor flange 31 comprise a plurality of bores distributed radially on the periphery of the flanges 21, 31. The annular element 50 likewise comprises a plurality of bores 32, passing through it on either side, distributed such that the bores 32 are opposite the bores of the motor flange 31 and opposite the bores of the support flange 21. The motor 30 is positioned on the motor stand 20 such that the bores of the motor flange 31 are positioned opposite the bores of the support flange 21 and the bores of the annular element 50. The motor 30 and the motor stand 20 are flanged by means of a plurality of screwing means 23 formed typically by threaded screws with a hexagonal head 23a and nuts 23b. Thus, the screwing means 23 pass through the flanges 21, 31 and the annular element 50 at the level of the bores 32. The arresting in rotation of these screwing means 23 is realized by resting of a face of the hexagonal head of the screws 23a against the motor stand 30 and blocking of the nuts 23b by means of lock-plates 23c. The annular element 50 comprises at least one radial appendage 51 in the plane of the annular element 50, i.e. according to the support plane 24. According to the embodiment shown in FIGS. 2 and 3, the annular element 50 comprises two radial appendages 51 positioned according to two concurrent perpendicular radial directions forming an angle close to 90°. Each radial appendage 51 is formed by a first boss 51a, a second boss 51b and a recessed zone 52 positioned between the two bosses 51a, 51b forming a valley between the two bosses 51a and 51b. At the level of each boss 51a, 51b, the appendage 51 comprises a plurality of bores 53 passing through it on either side. The two bosses 51a, 51b are suited to receive respectively on their upper face and on their lower face a plate forming an upper angle 54 and a lower angle 55. Thus, in other words, the annular element 50 comprises on the periphery at least one projecting excrescence, the excrescence being formed by a radial appendage 51 and by an upper angle 54 and a lower angle 55, arranged on either side of the appendage 51 so as to form a space 62 suited to receive transverse holding means 60. The angles 54, 55 comprise two lateral branches arranged substantially in a V shape and having an opening angle not limited to a right angle. The angles 54, 55 comprise, on each of the lateral branches, bores 59 disposed opposite the bores 53 of the bosses 51a, 51b. The angles 54, 55 have a base of concave shape suited to conform in shape to the circular form of the flanges 31, 32, when the angles 54, 55 are assembled on the appendages 51. On the external face of at least one of the angles 54, 55, i.e. on the face which is not in contact with the surface of the bosses 51a, 51b of the appendage 51 and at the level of the lateral branches having the bores 59, at least one groove 56 is arranged, suited for the insertion of screwing means 57, and in particular for the insertion and housing of the heads of screws 57a. According to the embodiment shown in FIGS. 2 and 3, only the upper angle 54 comprises grooves 56. The screwing means 57 are formed by screws 57a with a hexagonal head, lock-plates 57c and nuts 57b. According to the embodiment shown in FIGS. 2 and 3, the upper angles 54 comprise two grooves 56 situated on either side of a central bore 58 opening into a space 62 delimited by the recessed zone 52 of the annular element 50 and bordered by the angles 54, 55. The depth of the grooves 56 corresponds substantially to the height of the heads of screws 57a and the width of the grooves 56 is substantially equal to, or slightly greater than, the dimension across flats of the hexagonal heads of the screws 57a, such that the heads of screws 57a can be inserted in the groove 56 and be held blocked in rotation by contact with at least one face of the screw head against the side of the groove 56. Thus, the assembly of the set formed by the upper angle 54, the appendage 51 and the lower angle 55 is integrated by means of the plurality of screwing means 57 passing through the various elements of this set. The system constituted by the angles 54, 55 and the annular element 50 thus form fixing means 10 suited to ensure the fixing of the transverse holding means of the primary motor-driven pump unit. According to the advantageous embodiment shown, the primary motor-driven pump unit comprises two fixing means 10 suited to ensure the fixing of two transverse holding means. However, the primary motor-driven pump unit can comprise more than two fixing means 10 if it is necessary to hold the primary motor-driven pump unit transversely with more than two transverse holding means. The primary motor-driven pump unit can therefore comprise as many fixing means 10 as transverse holding means of the necessary primary motor-driven pump unit. It will be recalled that the primary motor-driven pump unit is held on the one hand by articulated supports on which it rests, and transverse holding means. Typically, the primary motor-driven pump unit rests on three articulated supports, having a swivel at each of their ends. The articulated supports are disposed so as to permit the displacement of the primary motor-driven pump unit under the effect of the thermal expansions of the primary ducts during the functioning of the reactor. The transverse holding devices and the articulated supports permit a displacement of the primary motor-driven pump unit according to a permitted limit travel, i.e. typically slow displacements resulting from the expansion of the primary ducts. In the case of rapid and consecutive displacements due to accidental situations, such as for example an earthquake or else a rupture of primary ducts, the transverse holding devices ensure the transverse holding of the primary motor-driven pump unit. A first end of the connecting arm of the transverse holding means is represented in FIGS. 2 and 3 by reference number 60. The connecting arm of the transverse holding means comprises at its end a swivel 61 of equivalent or slightly smaller thickness than the thickness of the annular element 50. The second end of the connecting arm of the transverse holding means (not shown) is fixed on the vertical walls of the fixed concrete structure inside which the primary motor-driven pump unit is positioned, this structure being commonly designated as a casemate. The swivel 61 is inserted in the space 62 of the fixing means 10 formed by the recessed zone 52 of the appendage 51 and bordered by the angles 54, 55. The swivel 61 is held integral with the motor stand 20 by an axis 63 passing through the angles 54, 55 via the bore 58 and the swivel 61; the axis 63 thus ensures a pivot link between the motor stand 20 and the transverse holding means 60. Thus, in accidental situations, such as a rupture of the primary ducts or else during an earthquake, the stresses at the level of the fixings of the transverse holding means 60 are exerted following radial directions. These stresses stress in shear the links formed by the upper angles 54, lower angles 55 and the annular element 50. As illustrated in FIG. 4, representing in perspective and according to a partial section the motor stand 20 according to the invention, the centering of the annular element 50 on the support flange 21 is ensured by fitting. The fitting is carried out advantageously by arranging a cylindrical tenon 71 on the support face of the support flange 21 and a corresponding mortise 72 on the lower face of the annular element 50. According to another embodiment of the invention, the centering of the annular element 50 on the support flange 21 can be obtained by the arrangement of a mortise on the support flange 21 and on the annular element 50 and by using an added tenon, the thickness of which permits a fitting in the mortises of the support flange 21 and of the annular element 50. The mortise/tenon connection is dimensioned to permit the taking up of the stresses exerted by the transverse holding means 60 in accidental situations. Assuming an alignment fault of the transverse holding means 60, the stresses exerted by the holding means 60 are not applied to the motor stand 20 following radial directions, but along different directions. Thus, their resultants will present a predominant radial component, but also a tangential component. The radial component is taken up by the tenon/mortise connection previously described. The tangential component results at the level of the tenon/mortise connection in a moment about a vertical axis parallel to the longitudinal axis of the motor stand 20. According to the advantageous embodiment illustrated in FIG. 5, the taking up of this moment is ensured by the insertion of conical pins 73 passing through the annular element 50 and the support flange 21, the conical pins being distributed circumferentially on the support surface 24. According to a second embodiment of the invention, the conical pins can be replaced equally by cylindrical pins, elastic pins or else other types of pins. The taking up of this moment can likewise be realized by the use of teeth on each of the contact faces of the annular element 50 and the support flange 21, so as to create a tangential resistance to this stress. According to a third embodiment of the invention, the taking up of the tangential stress can simply be realized by the flanging means 23 forming a cylindrical bolted joint and ensuring the connection between the motor 30 and the motor stand 20. In this case, the dimensioning of the screwing means 23 shall take into account the taking up of this tangential stress by adherence between the contact faces of the annular element 50 and the support flange 21. The blocking of the conical pins 73 is carried out by means of nuts 74, advantageously of the castle-nut type, locked by means of a split pin 75 passing inside one of the crenels of the nut 74 and through an orifice 76 previously bored in the threaded part 77 of the conical pin 73. According to another embodiment of the invention, the locking of the nut 74 can be carried out by caulking of the nut, or else by partial welding of the nut 74 on the threaded part 77 of the conical pin 73. So as to facilitate the handling of the motor stand 20, and in particular for the mounting or the dismantling of the motor 30, the annular element 50 is advantageously integral with the support flange 21 by means of a plurality of screws 80 (FIG. 4). The screws 80 are advantageously screws with a hollow cylindrical hexagonal head, the heads of which are positioned in spot facings 81 arranged in the annular element 50, such that the screws 80 do not interfere with the motor flange 31 on mounting of the motor 30. The locking of these fixing screws 80 is advantageously carried out by lock washers 82 positioned under the head of the screws 80, of the Nord-lock™ type. However, it is also able to be envisaged to use screws having a hollow cylindrical hexagonal head comprising locking grooves associated with lock cups. According to an advantageous embodiment of the invention, the motor stand according to the invention is realized by foundry work, however, it can also be realized from a mechanically welded assembly. Owing to the invention, the design of a motor stand is simplified and allows the creation of a plurality of molding devices to be overcome. In fact, in so far as a certain revolution symmetry of the annular element exists, it is possible to easily modify the position of the annular element, and consequently of the radial excrescence, as a function of the location of the primary motor-driven pump unit and as a function of the location of the articulated supports. Thus, it is possible to meet all the location situations of the primary motor-driven pump unit with the use of three standard parts: the annular element, the upper angle and the lower angle.
claims
1. A beam head comprising:a vacuum housing, in which an electron source is arranged;a beam finger that is connected to the vacuum housing, the beam finger comprising an outlet window at a distal end; anda high voltage transformer housing, in which a high voltage transformer connected to the electron source is arranged via a high voltage transformer connection,wherein the high voltage transformer housing is arranged directly on a side of the vacuum housing such that the high voltage transformer housing and the vacuum housing share a common dividing wall and form a common beam head housing, and the high-voltage transformer connection to the electron source is entirely inside the common beam head housing. 2. The beam head as claimed in claim 1, wherein the high voltage transformer housing is arranged at a side of the vacuum housing remote from the beam finger. 3. The beam head as claimed in claim 1, wherein the high voltage transformer housing is evacuated. 4. The beam head as claimed in claim 1, wherein the high voltage transformer housing is filled with oil. 5. The beam head as claimed in claim 1, wherein the high voltage transformer housing is filled with a casting compound. 6. The beam head as claimed in claim 1, wherein the beam finger has a cross-section that is smaller than a cross-section of the vacuum housing. 7. The beam head as claimed in claim 1, wherein the vacuum housing and the beam finger are made from stainless steel. 8. The beam head as claimed in claim 1, wherein the electron source is configured as a flat emitter. 9. The beam head as claimed in claim 1, wherein the electron source is configured as an incandescent filament. 10. The beam head as claimed in claim 1, wherein the outlet window has a layer thickness of between 10 μm and 20 μm. 11. The beam head as claimed in claim 1, wherein the outlet window is made from titanium. 12. The beam head as claimed in claim 1, wherein the high voltage transformer housing is arranged at a side of the vacuum housing furthest from the beam finger. 13. The beam head as claimed in claim 1, wherein the high voltage transformer housing is arranged directly on a side of the vacuum housing opposite the beam finger such that the high voltage transformer housing, the vacuum housing, and the beam finger share a common axis,wherein the common beam head housing has a height measured along the common axis and a width measured perpendicular to the common axis, andwherein a maximum width of the high voltage transformer housing is the same as (1) a maximum width of the vacuum housing and (2) a maximum width of the beam head.
047724315
description
Examples are now given in order to provide a clearer understanding of the novelty of the process forming the subject of the invention, compared with the state of the art, the first example consisting of an attempt to produce a gelled solution from the teaching of the prior art. EXAMPLE 1 A Conventional Process for the Preparation of Gels, Applied to the Treatment of a Simulated Solution of FP The Solutions On the laboratory scale, a solution of FP was simulated using a typical composition of a real soluticn of FP in the following manner: ______________________________________ Corresponding quantity of oxide Product used Quantity (g) (g) ______________________________________ 1 Al(NO.sub.3).sub.3.9H.sub.2 O 117.6 15.9 Fe(NO.sub.3).sub.3.9H.sub.2 O 146.7 29 Ni(NO.sub.3).sub.2.6H.sub.2 O 19.4 5 Cr(NO.sub.3).sub.2.9H.sub.2 O 26.3 5 Na.sub.4 P.sub.2 O.sub.7.10H.sub.2 O 9.4 5.6 NaNO.sub.3 103.6 37.7 2 Sr(NO.sub.3).sub.2 6.7 3.2 CsNO.sub.3 15.2 10.9 Ba(NO.sub.3).sub.2 9.7 5.6 ZrO(NO.sub.3).sub.2.2H.sub.2 O 34.7 15.9 Na.sub.2 MoO.sub.4.2H.sub.2 O 26.4 22.5 Co(NO.sub.3).sub.2.6H.sub.2 O 5.8 1.4 Mn(NO.sub.3).sub.2.4H.sub.2 O 27.7 9.5 Ni(NO.sub.3).sub.2.6H.sub.2 O 18.3 4.6 Y(NO.sub.3).sub.3.4H.sub.2 O 5.5 1.7 La(NO.sub.3).sub.3.6H.sub.2 O 23.7 8.8 Ce(NO.sub.3).sub.3.6H.sub.2 O 24.9 9.3 Pr(NO.sub.3).sub.3.4H.sub.2 O 10.6 4.3 Nd(NO.sub.3).sub.3.6H.sub.2 O 39.6 15.1 ZrO.sub.2 4.6 4.6 Mo 3.5 5.3 U.sub.3 O.sub.8 8.8 8.5 ______________________________________ Group 1 represents the inactive components of the solution of fission products and group 2 represents the FP and the insoluble materials in the same solution. ZrO.sub.2 and Mo remain solid; they simulate the insoluble materials. The total quantity of water added in 2972 g. The simulated solution of FP has a pH of 1.3. The composition of the final glass to be obtained is: ______________________________________ Composition of the glass introduced via ______________________________________ SiO.sub.2 45.5% Ludox B.sub.2 O.sub.3 14% Solution of ATB Al.sub.2 O.sub.3 4.9% Solution of the adjuvant Na.sub.2 O 9.8% and solution of FP ZnO 2.5% " CaO 4.1% " Li.sub.2 O 2% " Active oxides 13.2% Solution of FP Fe.sub.2 O.sub.3 2.9% " NiO 0.4% " Cr.sub.2 O.sub.3 0.5% " P.sub.2 O.sub.5 0.3% " ______________________________________ In the percentage composition shown, it is necessary to allow for the presence of sodium and nickel in the active oxides (originating from group 2 of the solution defined above). Thus, the solutions of the vitrification adjuvant are prepared according to the composition of the glass to be obtained and the composition of the solution of waste to be treated. For this example, the separate solutions of vitrification adjuvant are thus prepared at ambient temperature: ______________________________________ Product used Quantity (g) Quantity taken ______________________________________ Al nitrate solution 60 g of Al(NO.sub.3).sub.3.9H.sub.2 O 41.7 g per 100 cm.sup.3 of water Na nitrate solution 90 g of NaNO.sub.3 per 22.3 g 100 cm.sup.3 of water Zn nitrate solution 180 g of Zn(NO.sub.3).sub.2.6H.sub.2 O 9.1 g per 100 cm.sup.3 of water Ca nitrate solution 265 g of Ca(NO.sub.3).sub.2.4H.sub.2 O 15.2 g per 100 cm.sup.3 of water Li nitrate solution 90 g of LiNO.sub.3 per 12.5 g 100 cm.sup.3 of water ______________________________________ The precursor is Ludox AS40: 40% SiO.sub.2 /60% H.sub.2 O; d.sub.25.degree. C. : 1.30; pH: 9.3; used at ambient temperature. ATB solution: (NH.sub.4).sub.2 0.2B.sub.2 O.sub.3.4H.sub.2 O; 265.2 g dissolved in 663 g of water at 65.degree. C.; pH: 9.2. The Procedure 59 g of ATB solution are placed in a 1 l beaker equipped with a magnetic stirrer (7 cm bar) rotating at 500 rpm, and adjusted to pH 2 by the addition of HNO.sub.3. In another beaker, 56 cm.sup.3 of Ludox are acidified to pH 2 in order to prevent the subsequent precipitation of hydroxides such as Al(OH).sub.3 at pH 5-6 or Zn(OH).sub.2 at pH 4.8. The Ludox solution is introduced into the ammonium tetraborate, with stirring, the reaction taking place at 65.degree. C.-70.degree. C. The mixture is stirred (magnetic or mechanical stirrer) for 30 min, the temperature being maintained. To accelerate gelling, a small quantity of dilute aqueous ammonia (0.15 N) is added to bring the pH to 3. Gel formation takes place. Each solution of adjuvant is added separately to the mixture, slowly (dropwise) and with stirring. Stirring is continued for 5 to 10 min. The mixture obtained, which is called the gel, shows no visible precipitation or flocculation. 235 g of the simulated solution of FP are added slowly (dropwise), with stirring. Precipitates are formed. To obtain solidification, the mixture is left to stand at 65.degree. C.-70.degree. C.; at least 20 h are required to give a mass; as soon as this is obtained, it is dried in an oven (90 h at 110.degree. C.) and then melted at between 1000.degree. and 1150.degree. C. Analysis shows that the molybdenum which has deposited has not been included homogeneously in the glass; traces of molybdate are also visible. The glass obtained is not acceptable. With this process, only small quantities of gels (.perspectiveto.100 to 500 ml) could be prepared. Gel could not be obtained with 1 l of solution (precipitation occurs). The solutions of the constituents of the glass have to be introduced separately, or together if they are mutually compatible; precipitation is otherwise observed, making the gel non-homogeneous. The gel and the final glass are not always of good quality. Moreover, with this conventional process, it was never possible to introduce the simulated solution of waste correctly. Precipitation and sedimentation were observed, the consequence being the need for a higher melting temperature and/or a longer digestion time, or the production of an unacceptable final glass. In the tests, a glass of good quality was defined as being a homogeneous glass having no unmelted regions and no bubbles and also showing no traces of molybdate on the surface. The molybdate originating from the solutions of FP actually presents a major problem: part of the active Mo tends to separate out from the solution and deposit, so this phase is not completely dispersed in the mixture and hence is not totally included in the gelled solution. Furthermore, when it diffuses poorly, the molybdenum appears on the surface of the glass in the form of visible yellow traces of molybdate, which are considered to be an indication of inferior quality. EXAMPLE 2 Treatment of a Solution of Fission Products by the Process of the Invention The Solutions These are the same as those of Example 1, except for the solution of vitrification adjuvant. For this example, the solution of vitrification adjuvant is prepared as follows: ______________________________________ Corresponding quantity Product used Quantity (g) of oxide (g) ______________________________________ Al(NO.sub.3).sub.3.9H.sub.2 O 243.6 33.1 NaNO.sub.3 148.4 54.1 Zn(NO.sub.3).sub.2.6H.sub.2 O 91.4 25 Ca(NO.sub.3).sub.2.4H.sub.2 O 170.1 40.4 LiNO.sub.3 91.4 19.8 ______________________________________ Each of the compounds is dissolved in the minimum quantity of water, i.e. a total of 640 g of water at 65.degree. C.; pH: 0.6. The proportions of the elements Al, Na, Zn, Ca and Li are the same as in Example 1. The Device The device used is a conventional turbine having a mixing zone of small volume, in which a propeller with several blades rotates so as to effect mixing at a high rate of shear. It rotates at 2000 rpm in this example. The turbine used for the tests is manufactured by the Company STERMA, the mixing zone has a volume of 1 cm.sup.3 and the thickness of the stirred layer is of the order of mm. For use on the industrial scale in a nuclear environment, some technical improvements will be required, especially as regards the geometry of the blades and the introduction of the solutions; the purpose of these improvements is to facilitate operation in an active closed cell. The Procedure The solutions arrive at the turbine separately and simultaneously: ______________________________________ Flow rate Composition of pH T.degree. at T.degree. the solution ______________________________________ Ludox 9.3 20.degree. C. 5.7 kg/h 40% of SiO.sub.2 Ammonium tetra- 9.2 65.degree. C. 4.7 kg/h 21% of anhydrous borate.4H.sub.2 O salt, i.e. 15% of B.sub.2 O.sub.3 Solution of 0.6 65.degree. C. 7 kg/h 40% of anhydrous vitrification salt, i.e. 12% of adjuvant oxides + + Simulated 1.3 18.4 kg/h 14% of anhydrous solution of FP materials, i.e. 6% of oxides ______________________________________ The solutions of vitrification adjuvant and FP are pumped at the indicated flow rate and it is the mixture of these which is sent to the turbine at the overall flow rate of 25.4 kg/h. Thus, there is a flow rate of 36 kg/h of gel. The pH of the gelled solution leaving the turbine is 3. In this test, 12 min sufficed to mix the constituents and produce 7 kg of gelled solution. The following heat treatments were carried out on 3 samples: Test 1 10.5 kg of mixture were concentrated in vacuo in an apparatus manufactured by the Company GUEDU (T: 90.degree. C., P: 630 mm Hg). 6.5 l of water were extracted. The mass recovered (3.5 kg) is calcined for 2 h at 400.degree. C. to give 1.8 kg of product, which is melted at 1050.degree. C. for 5 h. A glass of good quality is obtained. Test 2 5 kg of mixture are dried for 3 days at 105.degree. C. to produce 1.2 kg of dry product; this is then heated for 2 h at 400.degree. C., when it loses 27.5% of its weight. Melting for 5 h at 1025.degree. C. gives 820 g of a glass of good quality which pours well. In this test, it was observed that a gel was obtained en masse in less than 30 min at 105.degree. C. Test 3 3 kg of mixture, spread over a plate with a thickness of 2-3 cm and placed for 8 h in a microwave furnace, gave 550 g of product, which, after 2 h at 400.degree. C. (the temperature being raised uniformly from the drying temperature to 400.degree. C.), reduce to 502 g of calcined product. Melting at 1125.degree. C. takes only 1.5 h (including refining for 1 h) to produce a glass of very good quality which pours well. In conclusion, a gel obtained in this way, treated for 8 h in a microwave furnace, calcined for 2 h at 400.degree. C. and then melted for 1.5 h at 1125.degree. C. (refining for 1 h), leads to a glass of very good quality which is acceptable for the immobilization of nuclear waste and represents a time saving of the order of 3 to 4 h compared with the process currently in use. Replacement of the Ammonium Tetraborate With Boric Acid The ATB solution containing 15% of B.sub.2 O.sub.3 is replaced with an H.sub.3 BO.sub.3 solution containing 6.5% of B.sub.2 O.sub.3, formed by dissolving 130 g of solid boric acid in 1 l of water at 65.degree.-70.degree. C., with stirring (pH=2.7). Consequently the flow rate of boron compound is 10.8 kg/h in H.sub.3 BO.sub.3 solution, the other solutions being conveyed at the same flow rates. This gives about 42 kg/h of mixture, which, when treated in the same way as previously, leads to similar products. EXAMPLE 3 The Treatment of a Soda Effluent Used For Washing At the present time, in the vitrification (AVM) process based on the oxides, it is not possible to treat this soda effluent. In fact, this AVM process uses the vitrification adjuvant in the form of a solid glass frit, a known composition being: ______________________________________ SiO.sub.2 55-60% by weight B.sub.2 O.sub.3 16-18% by weight Al.sub.2 O.sub.3 6-7% by weight Na.sub.2 O 6-7% by weight CaO 4.5-6% by weight ZnO 2.5-3.5% by weight Li.sub.2 O 2-3% by weight ______________________________________ This composition limits the quantity of sodium permissible in the effluent to be vitrified, since the sodium level cannot be increased excessively, thereby lowering the leaching resistance. One might consider reducing the level of sodium in the glass frit, even to zero, so that the final glass (frit+calcinate of soda effluent) has an acceptable sodium level (9 to 11% by weight). However, one is then faced with the difficulty of producing and melting a glass which is poor in sodium (and consequently richer in silica). The present invention makes it possible to produce, with the soda effluent, a borosilicate glass having a composition similar to that which proves totally satisfactory in the AVM process. Moreover, the refining temperature can be considerably lowered or the refining times shortened. For tests, a soda solution was therefore simulated using 120 g of Na.sub.2 CO.sub.3 in 1 l of water (pH=9). The chosen gel precursor is Ludox AS40. The ATB solution contains 312 g/l of ATB.4H.sub.2 O. To obtain a glass having the same composition as that obtained by the AVM process, the following solution of vitrification adjuvant is prepared (amounts are per liter of aqueous solution): ______________________________________ Al(NO.sub.3).sub.3.9H.sub.2 O 209.0 g Ca(NO.sub.3).sub.2.3H.sub.2 O 98.5 g LiNO.sub.3 53.7 g Zn(NO.sub.3).sub.2.6H.sub.2 O 49.7 g Fe(NO.sub.3).sub.3.6H.sub.2 O 73.5 g Mn(NO.sub.3).sub.3.6H.sub.2 O 18.2 g Ba(NO.sub.3).sub.2 5.5 g Co(NO.sub.3).sub.2.6H.sub.2 O 11.3 g Sr(NO.sub.3).sub.2 4.1 g CsNO.sub.3 8.0 g Y(NO.sub.3).sub.3.4H.sub.2 O 71.0 g Na.sub.2 MoO.sub.4.2H.sub.2 O 16.6 g Monoammonium phosphate 2.8 g ______________________________________ The components Fe, Mn . . . phosphate were introduced into this solution so as to give a final glass with a composition similar to that given in Example 2. Each of the solutions is kept in a thermostatically controlled bath (temperature: 65.degree. C.). 4 diaphragm pumps are provided, which have been adjusted beforehand to give the desired flow rates. These solutions are pumped simultaneously into a high-speed mixer (capacity: 1.5 l). The set flow rates are: ______________________________________ ATB solution 0.12 kg/h Adjuvant solution 0.25 kg/h Ludox solution 0.15 kg/h Na.sub.2 CO.sub.3 solution 0.21 kg/h ______________________________________ The process is continued for 1.5 h with vigorous stirring all the time. The contents of the mixer bowl are poured into a beaker and left to stand for 2 h. A virtually solid, homogeneous mass of opalescent color is formed. This mass is spread over a plate to form an approx. 20 to 30 mm thick layer and the plate is placed in an oven heated to 105.degree. C. for 24 h. This gives dry particles of the order of cm.sup.3. These are placed in a calcining furnace and the temperature is raised uniformly to 400.degree. C. over 3 h and maintained for 3 h. The calcinate obtained is crushed into particles of 1 to 3 mm. A Joule-effect electric furnace of sufficient capacity is set to 1150.degree. C. A platinum crucible is filled with a third of the powder prepared and is placed in the furnace. After 30 min, the crucible is filled with another third of the powder; this procedure is repeated with the final third. The crucible is left in the hot furnace for a further 2 h and the contents are then poured onto a plate made of refractory material. The product is annealed at 500.degree. C. for 8 h to give the sample a satisfactory surface, and the temperature is lowered slowly; this produces an intense black plate of glass of perfect visual homogeneity. Chemical analysis gives the following average composition: ______________________________________ SiO.sub.2 45.6% B.sub.2 O.sub.3 14% Al.sub.2 O.sub.3 4.9% Na.sub.2 O 10% CaO 4% Li.sub.2 O 2% Fe.sub.2 O.sub.3 2.9% MnO.sub.2 0.95% BaO 0.55% CoO 0.5% Cs.sub.2 O 1% SrO 0.35% Y.sub.2 O.sub.3 4% MoO.sub.3 2% P.sub.2 O.sub.5 0.3% ______________________________________ This example shows how the composition of the vitrification adjuvant can be adjusted. EXAMPLE 4 Treatment of the Soda Effluent With Aerosil The solutions of vitrification adjuvant, ATB and waste are the same. On the other hand, Aerosil.RTM., marketed by the firm DEGUSSA, will be used instead of Ludox AS40 as the gel precursor. The gel precursor is formed by pouring the Aerosil gradually, with stirring, into water acidified with 3N HNO.sub.3 (pH: 2.5), so as to give a solution containing 150 g of silica per liter. The flow rates are adjusted to the values indicated: ATB: 0.37 kg/h PA0 Adjuvant: 0.75 kg/l PA0 Aerosil: 1.3 kg/h PA0 Na.sub.2 CO.sub.3 solution: 0.63 kg/h The procedure is identical to Example 1 above in all respects except the drying step, which is accomplished in a vacuum oven; this makes it possible to reduce the time to 4 h. The result is the same. The two glasses cannot be distinguished. In particular, the same chemical analysis is found (within the limits of experimental error). The two Examples 3 and 4 illustrate the invention as applied to the treatment of the soda effluent with different gel precursors, but they do not imply a limitation. In particular, they can be combined to vary the procedure, without going outside the framework of the invention, for example by introducing the silica in the form of both Ludox and Aerosil simultaneously. In Examples 3 and 4, the neutralized soda effluent was treated on its own. It is obviously advantageous to treat the unneutralized soda effluent (i.e. in the form in which it leaves the extraction units) at the same time as the solutions of FP, which contain nitric acid, so as not to consume excessive amounts of nitric acid and increase the volumes of waste. To do this, the water used to scrub the nitrous vapors, which contains nitric acid, is added to the soda effluent to neutralize it, the resulting liquid being mixed with the solution of FP in fixed proportions. The solution of vitrification adjuvant will then be adapted to this treatment. All the solutions were prepared in the minimum quantity of water--they are close to saturation point--so as not to increase the drying times, the volumes of liquid to be handled or the active gaseous discharges, since the water to be evaporated off is contaminated by radioisotopes and the operator is obliged to treat the said discharges. For reasons of pumping or flows, it may be necessary to dilute these solutions more, but this has no adverse effect on the process. Furthermore, in the examples, the boron compound used is ammonium tetraborate tetrahydrate, thereby affording easier comparison with the prior art. However, in the existing vitrification plants, the use of ATB presents problems as regards the treatment of the gaseous effluents rich in ammonia and nitrous vapors, which are liable to recombine to produce ammonium nitrate, this being dangerous under certain conditions. For these reasons, boric acid is preferred under the conditions of the process forming the subject of the invention. Thus, the description clearly shows that the process developed by the Applicant Company differs from the HITACHI process described in the prior art in that all the components of the final glass are introduced simultaneously to form a gelled solution. In contrast to the HITACHI process, the boron is introduced before rather than after gel formation. It therefore forms part of the structure right from the start, whereas, in the HITACHI process, it is dispersed in the previously produced silicate structure. The Applicant Company is of the opinion that the gelled solution produced by the process according to the invention forms more rapidly than the compounds can react with one another to give a precipitate. The gelled solution obtained has the structure of the desired final glass and the ions can no longer migrate in this solution. It is in fact considered that, during mixing under the conditions indicated, the phenomenon of thixotropy occurs so that a homogeneous dispersion of the ions is produced. After this mixing stage, the viscosity of the solution increases, trapping the ions in the medium. They are no longer able to react (precipitation, sedimentation etc.) and the medium is "frozen". According to the Applicant Company, this effect is due to the choice of solutions used and the method of stirring employed to mix them.
abstract
A method for generating electrical energy, comprising the steps of providing a fusion fuel (1), the fusion fuel (1) being held in a magnetic field within a cylindrical reaction chamber (2), initiating nuclear fusion in the fusion fuel (1), in which a fusion flame is produced by fusion laser pulses (4) having a pulse duration of less than 10 ps and a power of more than 1 petawatt, and converting the energy that is released during the nuclear fusion from the nuclei that are produced into power plant power, wherein the magnetic field has a field strength which is greater than or equal to 1 kilotesla and the nuclear fusion has an energy yield of more than 500 per laser energy of the fusion laser pulses (4) that produce the fusion flame. Also described is a nuclear fusion reactor which is configured for generating electrical energy.
abstract
An apparatus and method for the production of radioisotopes utilizing an energy recovery linac. The ERL system is composed of an electron beam source, multiple superconducting radio frequency cavities operating at 4.5 K, a thin radiator, a target material, and a beam dump. The accompanying method discloses the use of the ERL system to generate desired radioisotopes via target interaction with bremsstrahlung photons while allowing recovery of a substantial portion of the electron beam energy before the beam is extracted to the beam dump.
abstract
A method comprises attenuating, while involved in a human adversarial situation, one's own emanated electromagnetic field at frequencies less than about 1 gigahertz by wearing one or more articles of apparel that include an electromagnetically shielding fabric. Another method comprises (i) providing to the user the one or more articles of apparel that include an electromagnetically shielding fabric, and (ii) instructing the user to wear at least one of the articles of apparel while involved in the human adversarial situation. The shielding fabric comprises a substantially continuous system of conductive fibers combined with a non-conductive fabric and attenuates the emanated electromagnetic field at frequencies less than about 1 gigahertz. Attenuating the emanated electromagnetic field at frequencies less than about 1 gigahertz decreases the likelihood of that emanated field affecting progress or an outcome of the human adversarial situation.
060524359
description
DETAILED DESCRIPTION OF THE INVENTION SYSTEM OVERVIEW Turning now to the drawings and, with particular attention to FIG. 1, a radiation treatment apparatus embodying the present invention is shown therein and generally identified by reference numeral 2. The radiation treatment apparatus 2 is configured to vary the synchronization of the RF modulator pulse and the injector pulse, as will be discussed in greater detail below. The radiation treatment apparatus 2 includes a beam shielding device (not shown) within a treatment head 4, a control unit in a housing 9 and a treatment unit 200 according to the present invention. The radiation treatment device 2 includes a gantry 6 which can be swiveled around a horizontal axis of rotation 8 in the course of a therapeutic treatment. The treatment head 4 is fastened to projection of the gantry 6. A linear accelerator is located in the gantry 6 to generate the high powered radiation required for the therapy. The axis of the radiation bundle emitted from the linear accelerator and the gantry 6 is designated by 10. Electron, photon or any other detectable radiation can be used for the therapy. During the treatment, the radiation beam is trained on a zone 12 of an object 13, for example, a patient who is to be treated and who lies at the isocenter of the gantry rotation. The rotational axis 8 of the gantry 6, the rotational axis 14 of a treatment table 16, and the beam axis 10 intersect in the isocenter. The plates or leaves of the beam shielding device within the treatment head 4 are substantially impervious to the emitted radiation. The collimator leaves or plates are mounted between the radiation source and the patient in order to delimit the field. Areas of the body, for example, healthy tissue, are therefore subject to as little radiation as possible and preferably to none at all. The plates or leaves are movable such that the distribution of radiation over the field need not be uniform (one region can be given a higher dose than another). Furthermore, the gantry can be rotated so as to allow different beam angles and radiation distributions without having to move the patient. The radiation treatment device 2 also includes a central treatment processing or control unit 200 which is typically located apart from the radiation treatment device 2. The radiation treatment device 2 is normally located in a different room to protect the therapist from radiation. The treatment unit 200 includes output devices such as at least one visual display unit or monitor 70 and an input device such as a keyboard 19. Data can be input also through data carriers such as data storage devices or a verification and recording or automatic setup system. The treatment processing unit 200 is typically operated by the therapist who administers actual delivery of radiation treatment as prescribed by an oncologist by using the keyboard 19 or other input device. The therapist enters into the control unit of the treatment unit 200 the data that defines the radiation dose to be delivered to the patient, for example, according to the prescription of the oncologist. The program can also be input via another input device, such a data storage device. Various data can be displayed before and during the treatment on the screen of the monitor 70. SYSTEM BLOCK DIAGRAM Turning now to FIG. 2, a block diagram of the radiation treatment device 2 and portions of the treatment unit 200 are, according to the present invention, illustrated in greater detail. An electron beam 1 is generated in an electron accelerator 20. The electron accelerator 20 includes an electron gun 21, a wave guide 22 and an evacuated envelope or guide magnet 23. A trigger system 3 generates injector trigger signals and supplies them to the injector 5. Based on these injector trigger signals, the injector 5 generates injector pulses which are fed to the electron gun 21 in the accelerator 20 for generating electron beam 1. The electron beam 1 is accelerated and guided by the wave guide 22. For this purpose, a high frequency source 90, such as a magnetron or klystron, is provided, which supplies radio frequency signals for the generation of an electromagnetic field supplied to the waveguide 22. The electrons injected by the injector 5 and emitted by the electron gun 21 are accelerated by this electromagnetic field in the waveguide 22 and exit at the end opposite to electron gun 21 in electron beam 1. As will be discussed in greater detail below, the trigger system 3 may include a phase shifter 3a for shifting the RF modulator and the injector 5 in and out of phase. In particular, the phase shifter 3a may be configured to delay the activation of the injector trigger by a predetermined period, such as 2.8 ms, to ensure that the beam is off during a pause condition. The electron beam 1 enters a guide magnet 23 and from there is guided through a window 7 along axis 10. After passing through a first scattering foil 15, the beam goes through a passageway 51 of a shield block 50 and encounters a flattening filter 17. Next, it is sent through a measuring chamber 60 in which the dose is ascertained. If the scattering foil is replaced by a target, the radiation beam is an X-ray beam; in this case, the flattening filter 17 may be absent, but it is typically present. Finally, a beam shielding device 401 is provided in the path of radiation beam 1, by which the irradiated field of the subject of investigation is determined. As illustrated, the beam shielding device 401 includes a plurality of opposing plates 41 and 42, only two of which are illustrated for convenience. In one embodiment, additional pairs of plates (not shown) are arranged perpendicular to plates 41 and 42. The plates 41, 42 are moved with respect to axis 10 by a drive unit 43 (which is indicated in FIG. 2 only with respect to plate 41) to change the size of the irradiated field. The drive unit 43 includes an electric motor which is coupled to the plates 41 and 42 and which is controlled by a motor controller 40. Position sensors 44 and 45 are also coupled to the plates 41 and 42, respectively for sensing their positions. As discussed above, the plate arrangement 401 may alternatively or additionally include a multi-leaf collimator having many radiation blocking leaves. The leaves of such a multi-leaf collimator include a plurality of opposing leaf or rod pairs, each driven by a motor or drive unit. The drive units move the leaves in and out of the treatment field, thus creating the desired field shape. The rods, or leaves, are relatively narrow, and cast a shadow of about 0.5 to 1.0 cm at isocenter. The motor controller 40 is coupled to a dose unit 61 which may include a dosimetry controller 61a according to the present invention and which is coupled to a central processing unit 18 for providing set values for the radiation beam for achieving given isodose curves. The dosimetry controller 61a according to an aspect of the present invention is configured to monitor for dose rate and accumulated dosage levels during PAUSE conditions. Accordingly, a memory unit 61b are provided, which may store values corresponding to dose rate and accumulated dosage thresholds, as will be discussed in greater detail below. According to the present invention, if the detected dose rate and the dose exceed the thresholds, an interlock is asserted. In addition, as will be discussed in greater detail below, the memory 61b may be used to store a look-up table of values used to compensate for dosimetric delays during a start-up period. In addition, a timer (not shown) may be provided to determine the start-up period and/or predetermined compensation times during the start-up period. In operation, the output of the radiation beam is measured by a measuring chamber 60. In response to the deviation between the set values and the actual values, the dose control unit 61 supplies signals to a trigger system 3 which changes in a known manner the pulse repetition frequency so that the deviation between the set values and the actual values of the radiation beam output is minimized. According to an aspect of the invention, when the high voltage modulator is decoupled, the measuring chamber 60 and the dose control unit 61 are configured to remain active and detect levels of applied radiation. If the levels exceed the threshold(s), the does control unit 61 asserts an interlock, thereby shutting down the machine. Upon start-up, the dosimetry controller 61a monitors the output of the radiation beam via the measuring chamber 60. The dosimetry controller 61a then accesses the memory 61b which contains, for example, a lookup table of correction factors and applies the correction factors to the dose such that the signals which are applied to the trigger system minimize the predictable dose error resulting from dosimetry delays. The central processing unit 18 is programmed by the therapist according to the instructions of the oncologist and performs an optimization so that the radiation treatment device carries out the prescribed radiation treatment. The delivery of the radiation treatment is input through a keyboard 19. The central processing unit 18 is further coupled to provide set signals to the dose control unit 61 that generates the desired values of radiation for controlling trigger system 3. The trigger system 3 then adapts the pulse radiation frequency and other parameters in a corresponding, conventional manner. The central processing unit 18 further includes a control unit 76 which controls execution of the program and the opening and closing of the collimator plates 41, 42 to deliver radiation according to a desired intensity profile. The central processing unit 18 is configured to deliver auto-sequencing of intensity modulated treatments. One or more functional units, such as a verification and auto setup unit 102 provide inputs to the CPU 18 for controlling the radiation treatment. For example, once the verification and auto set-up unit 102 has verified system set-up, a RAD ON enable signal may be provided to the CPU 18. In response, the CPU 18 may issue a RAD ON signal to the trigger system 3 via the dose unit 61. The trigger system then provides the injector and modulator triggers to the injector and modulator, respectively, to generate the applied radiation beam. According to the present invention, the central processing unit 18 is configured to automatically deliver predetermined sequences of treatment fields, each field in the sequence being referred to as a segment. In order to do so, the treatment beam must be cycled on and off quickly, and stray doses prevented. The present invention provides this capability by ensuring a rapid stabilization sequence for the high voltage and RF power systems prior to BEAM ON. PRECISION BEAM CONTROL Turning now to FIG. 3, a diagram 1000 illustrating the techniques of the present invention is shown. In particular, sequences of RF modulator pulses 1002, reflected RF pulses 1004, injector pulses 1006, and beam pulse 1008 are illustrated. During time A, a BEAM ON segment is shown, with the injector pulse 1006 and the modulator pulse 1002 synchronized. Time A is representative of, for example, the delivery of a radiation treatment field. During time B, a BEAM OFF or pause condition is shown. Time B is the period between delivery of segments during the intensity modulated radiation treatment. During this period, various parameters of the delivery may be changed in the auto-sequence. For example, the collimator leaf positions or gantry angle may be adjusted. During time B, the injector pulse 1006 and the modulator pulse 1002 are out of synchronization, so as to ensure that radiation is not applied to the patient. To do so, a predetermined delay may be inserted into the injector pulse sequence to ensure that the injector pulse 1006 lags the modulator pulse 1002. For example, as will be discussed in greater detail below, the injector trigger may be delayed relative to the modulator trigger by 2.8 milliseconds. Finally, during time C, the BEAM ON condition is resumed for delivery of the next segment. Accordingly, the injector pulse 1006 is again synchronized with the RF modulator pulse 1002. Thus, the injector trigger delay is removed. Turning now to FIG. 4, a schematic 400 of the initial stabilization sequence is illustrated. At time T.sub.0, power is turned on during the high voltage step. For example, the CPU 18 may respond to a command to start or initialize the system. This initializes the high voltage system. The high voltage step start lasts until time T.sub.1, for example, about 1 second. At time T.sub.1, the high voltage is on. However, the CPU 18 does not activate the trigger system 3 until time T.sub.2. At time T.sub.2, the trigger system 3 is activated, for example, by the trigger system 3 receiving the RAD ON signal from the CPU 18. While the triggers are activated, the injector trigger is delayed relative to the modulator pulse. Thus, the injector and modulator are not synchronized. In addition, the auto frequency controls are set to manual. This allows the RF to stabilize and the AFC prepositioning to set the operating frequency within a predetermined bandwidth so that proper AFC servo operation occurs when the AFC is set to auto. At time T.sub.3, the triggers are on and the auto-frequency controls are set to automatic. The injector and modulator remain unsynchronized. At time T.sub.4, the RAD ON condition is set. The trigger system 3 de-activates the phase shifter 3a such that the injector trigger and the modulator trigger are delivered in synchronization with one another. Consequently, the BEAM ON condition is set, with the dose servo open loop. At time T.sub.5, the dose servo is closed loop (The dose servo controls the dose rate, which is a measure of dose delivered per unit time. The dose rate is determined by magnitude of dose per pulse times the dose servo period. The dose rate is controlled by adjusting the pulse repetition frequency of the system. The open loop period allows for sufficient dose servo period to elapse before closing the servo loop). The next pause state is entered into by phase shifting the injector pulse relative to the modulator pulse. Turning now to FIG. 5, a flowchart of system operation is illustrated. In a step 502, power is turned on. At this time, in a step 504, the verification and auto setup unit 102 performs a system test, ensuring, for example, that the collimator leaves are properly positioned for the particular segment. RAD ON Enable commands may be transmitted to t the CPU 18 in a step 506. In a step 508, the CPU 18 determines whether all of the function controllers have provided a Rad On Enable signal. If not, the CPU 18 waits until all are received. If all the function controllers have provided a Rad On Enable signal, then the CPU 18 provides a Rad On signal to the trigger system 3, in a step 510. The trigger system 3 initiates the injector and modulator triggers in a step 512. However, the injector trigger is delayed for 2.8 ms relative to the modulator trigger by activating the phase shifter 3a. This ensures that no radiation is delivered. After a wait period (step 514), determined for example, by an internal counter, the trigger system 3 deactivates the phase shifter 3a, in a step 516. At this time, radiation is delivered for the treatment segment in a step 518. The dose measurement unit 60 monitors the delivered dosage in a step 520. The measurement information is provided to the dose control unit 61. The dose control unit 61 compares the measured dosage to the prescribed dosage, in a step 522. The monitoring continues while the delivered dosage is less than the prescribed dosage. If the two are determined to be equal, then in a step 524, the trigger system 3 activates the phase shifter 3a, to de-synchronize the modulator and the injector, such that radiation is no longer delivered to the patient. If all segments have been delivered, as determined in a step 526, then the CPU 18 shuts down the power in a step 528. However, if not all the segments have been delivered, then the modulator and the injector are maintained out of synchronization while the system settings are adjusted for the new segment, in a step 530. DOSE MONITORING As discussed above, the radiation therapy device is configured to deliver radiation according to an auto-sequence of intensity modulated field segments. Between field segments, a PAUSE state is entered. In particular, upon entering the PAUSE condition, the verification and auto set up unit 102 receives the previous segment's treatment results from the CPU 18. In addition, the verification and auto set up unit 102 downloads the next field segment to the CPU 18. When the new segment has been received, the CPU 18 automatically moves the jaws 41, 42 and multi-leaf collimator leaves (if required) as well as the gantry and treatment head, if required. In one embodiment, the high voltage may be turned off by the CPU 18 during the PAUSE state. In another embodiment, the injector pulse and the RF modulator pulse are desynchronized; but the high voltage stays on. However, no radiation is delivered. According to the present invention, the CPU 18 monitors the dose rate and accumulated dose signals at the end of each segment. If the dose controller 61 detects that either the dose rate or the accumulated dosage exceed predetermined thresholds, then an interlock is generated and the system is shut down. Turning now to FIG. 6, a flow chart 300 illustrating operation of the embodiment of the present invention is shown. In particular, in a step 302, the CPU 18 receives the segment specifications from the verify and auto set up unit 102. Reception of the segment includes reception of an &lt;R&gt; command that indicates that the segment is a non-final segment. In addition, the received segment specification includes threshold values for the dosage rate and accumulated dosage which are stored by the CPU 18 in a memory unit. At the end of the non-final segment, the CPU 18 drops RAD ON but leaves HV ON (high voltage on) enabled until the start of the next segment. In one embodiment, this applies only if the subsequent segment is defined with the same energy, accessories, table position and beam shield position as in the previous segment. In a step 304, the CPU 18 detects the end of the segment. In a step 306, the CPU 18 drops RAD ON and maintains HV ON as enabled in response to the received &lt;R&gt; command. For a final segment, the high voltage is dropped at the completion of the treatment. In a step 308, the CPU continues to monitor the dose rate by receiving inputs from the measurement unit 60 via the dose unit 61. In particular, in one embodiment, at 30 milliseconds after the RAD ON line goes low (with the high voltage on line maintained high), if the monitored dose rate signal exceeds 200 millivolts (which is proportional to the dose rate), as determined in a step 310, then the CPU 18 asserts an interlock in a step 316. The interlock shuts down the system so that no further radiation is delivered. In addition, in one embodiment 500 milliseconds after the RAD ON line goes low (with the high voltage on line high), the number of monitor units (MU) delivered is measured in a step 312. If the number of monitor units during the PAUSE condition exceeds 0.4 monitor units as determined in a step 314, the CPU 18 will again generate an interlock in a step 316. PRECISION DOSIMETRY An important aspect of the invention relates to the initial phase of treatment, after BEAM ON. Referring now to FIG. 7, a diagram illustrating the initial phase of a beam cycle is shown. During the initial phase between BEAM ON and time T.sub.a, the dose rate rises to the prescribed dose rate. In one embodiment, the time T.sub.a occurs at approximately 200 milliseconds. During this time, the detected dose rate is known to lag behind the actual dose rate by a known, empirically-derived period. A radiation treatment apparatus according to the present invention compensates for this lag. For example, FIG. 9, is a diagram illustrating the dose rate and loop number and compensation factor. In particular, the horizontal axis shows the loop number, which is representative of elapsed time, as will be discussed in greater detail below. As can be seen, the dose rate represented by a curve 902 increases from zero up to a predetermined level at a loop number equal to 20. A dose rate error occurs between loops zero and 20. Accordingly, a compensation factor, represented by the curve 904, is provided between loop 0 and 20 to overcome the delay from the dosimetry calculations. Turning now to FIG. 8, a flowchart illustrating the correction technique according to the present invention is shown. The system samples the dosage periodically during the 200 millisecond startup period and corrects for the amplification delays. In particular, in a step 402, the dosimetry controller 61 senses the RAD ON line going active, sets a loop number and an accumulated dose variable to zero. The loop number in the embodiment illustrated is a number between 1 and 20, with the sampling occurring every ten milliseconds. It is noted that more or fewer loops may be employed. In a step 404, the dosimetry controller 61a resets and starts the timer. In a step 406, it is determined whether the timer is greater than or equal to ten milliseconds. If not, the system recycles. If the timer in step 406 was greater than or equal to ten milliseconds, then in a step 408 the timer is reset and restarted and the dose rate is read by the dosimetry controller 61a via the monitoring chamber 60. Next, in a step 410, the dosimetry controller 61a determines whether or not the loop number is less than or equal to 20. If so, then in a step 412 the dosimetry controller will multiply the dose rate by the compensation factor which is a function of the loop number and will increment the loop number. As discussed above, the compensation factor 14 is stored in a lookup table 61b and is known through empirical system analysis. For example, dosage measurements may be made from known test systems external to the radiation therapy device. Discrepancies between the dosage determined by the external test device and the radiation treatment apparatus itself are used to establish the correction factors. If, in step 410, the loop number was not less than or equal to 20, then in a step 416, the accumulated dose is reset to the accumulated dose's previous value plus the dose rate times time. Next, in a step 418, the system determines whether the accumulated dose is equal to the preset dose. If not, then the program cycles back to step 406. However, if the accumulated dose is now equal to the preset dose, then the treatment is completed in a step 420. The invention described in the above detailed description is not intended to be limited to the specific form set forth herein, but is intended to cover such alternatives, modifications, and equivalents as can reasonably be included within the spirit and scope of the appended claims.
claims
1. A method comprising:monitoring characteristics associated with one or more sampled transaction components of a plurality of transaction components;determining whether a value for a first monitored characteristic of the monitored characteristics is unusual based on whether the value is located within a predefined portion of a distribution of values for the first monitored characteristic;identifying one or more sampled transaction components as unusual when a predetermined number of values for the monitored characteristics are determined to be unusual; andgenerating clusters comprising a plurality of permutations of the monitored characteristics identified as unusual. 2. The method of claim 1, further comprising associating the one or more sampled transaction components having substantially similar unusual monitored characteristics with clusters that have corresponding permutations of monitored characteristics. 3. The method of claim 2, further comprising discarding a cluster when monitored characteristics for the one or more sampled transaction components associated with the cluster do not meet a homogeneity measure. 4. The method of claim 3, wherein the homogeneity measure comprises a standard deviation measure. 5. The method of claim 2, further comprising outputting one or more of the clusters for inspection by a user. 6. The method of claim 1, wherein the monitored characteristics comprise logged information associated with network traffic. 7. The method of claim 1, wherein the values included in the distribution comprise values for the first monitored characteristic associated with the plurality of transaction components. 8. The method of claim 1, wherein the one or more sampled transaction components are selected from a group consisting of IP addresses, landing pages, networking domains, and cookies. 9. The method of claim 1, further comprising determining when the first monitored characteristic is unusual based on previous values for the first monitored characteristic. 10. The method of claim 9, wherein the first monitored characteristic is determined to be unusual when the value of the first monitored characteristic exceeds a number of standard deviations from a mean or a predicted value of a linear prediction based on previous values for the first monitored characteristic. 11. The method of claim 10, wherein the first monitored characteristic is determined to be unusual when a slope of a line fit to current and previous values exceeds a predetermined slope threshold. 12. The method of claim 11, wherein the first monitored characteristic is determined to be unusual when current and historical values of the first monitored characteristic vary less than a variation threshold that specifies a minimum amount of variation between the values. 13. The method of claim 1, further comprising generating the distribution of the values for the first monitored characteristic based on a histogram of the values segmented by frequency of occurrence. 14. The method of claim 13, wherein the predefined portion of the distribution comprises a value range greater than a threshold value based on a distribution of the segments of the histogram. 15. The method of claim 13, wherein the predefined portion of the distribution comprises a value range less than a threshold value based on a distribution of the segments of the histogram. 16. The method of claim 1, further comprising generating an index that includes identifiers for the monitored characteristics associated with the one or more sampled transaction components. 17. The method of claim 16, wherein keys for the index comprise identifiers for the one or more sampled transaction components. 18. The method of claim 16, further comprising associating an identifier for the first monitored characteristic with a value signifying whether the first monitored characteristic is unusual. 19. The method of claim 17, wherein values signifying whether the monitored characteristics are unusual are stored as bits in a bit vector associated with a key representing the one or more sampled transaction components associated with the monitored characteristics. 20. A system comprising:a network traffic monitor to monitor characteristics associated with one or more sampled transaction components of a plurality of transaction components; andmeans for:determining whether a value for a first monitored characteristic of the monitored characteristics is unusual based on whether the value is located within a predefined portion of a distribution of values for the first monitored characteristic;identifying the one or more sampled transaction components as unusual when a predetermined number of values for the monitored characteristics are determined to be unusual; andgenerating clusters comprising a plurality of permutations of the monitored characteristics identified as unusual.
claims
1. An inspection system comprising:a. a radiation source;b. a detector array;c. an inspection region bounded by said radiation source and detector array;d. a processing unit, wherein, through operation of at least one processor, at least one memory, and programmatic instructions, said processing uniti. obtains data representative of a radiographic image;ii. segments said data based on radiation attenuation or transmission;iii. identifies at least one segmented area within said data representative of said radiographic image;iv. after identifying said at least one segmented area, filters said at least one segmented area using at least one geometric filter;v. after filtering said at least one segmented area, generates a plurality of feature vectors using said filtered segmented area; andvi. compares said feature vectors against predefined values to determine whether a high-atomic-number object is present. 2. The inspection system of claim 1 wherein said radiographic image has a spatial resolution of at least 25% of a minimum size of a threat object. 3. The inspection system of claim 1 wherein said radiation source is at least one of X-ray or gamma-ray radiation. 4. The inspection system of claim 1 wherein said processing unit generates a map of said segmented data representative of said radiographic image by determining local maximum peak attenuation values. 5. The inspection system of claim 1 wherein said processing unit generates a map of said segmented data representative of said radiographic image by determining minimum transmission values and applying edge gradient calculations. 6. The inspection system of claim 1 wherein said geometric filter is at least one of shape, symmetry, size or homogeneity. 7. The inspection system of claim 6 wherein said size filter is applied to at least one segmented area to identify dimensions selected on the basis of spatial resolution or penetration. 8. The inspection system of claim 6 wherein said shape filter is applied to at least one segmented area to identify a spatial aspect ratio of less than 20. 9. The inspection system of claim 6 wherein said segmented area has a first number of pixels and wherein a second defined area has a second number of pixels and wherein the homogeneity filter is applied to determine a ratio of said first number of pixels to said second number of pixels. 10. The inspection system of claim 1 wherein said processing unit generates a plurality of feature vectors using said filtered segmented area by:a. Obtaining an image of said filtered segmented area;b. Estimating background attenuation around said filtered segmented area;c. Subtracting the background attenuation from said filtered segmented area to generate a net attenuation of the filtered segmented area;d. Estimating dimensions of the area of interest using said net attenuation of the filtered segmented area;e. Calculating an attenuation of the filtered segmented area as if it were a high-Z material; andf. Comparing the calculated attenuation of the filtered segmented area of interest to the net attenuation of the filtered segmented area. 11. The inspection system of claim 1 wherein said feature vectors comprise at least one of maximum attenuation, net attenuation, a ratio of attenuation to an area of a suspicious object, a gradient of a suspicious object along a boundary, and a difference produced between measured background corrected attenuation and calculated attenuation. 12. The inspection system of claim 1 wherein said feature vectors are compared against predefined values to determine whether a high-atomic-number object is present. 13. An inspection system comprising a processing unit, wherein said processing unit comprises a plurality of programmatic instructions and wherein, when executed, said programmatic instructions:i. segment data of a first radiographic image, which is representative of a first view of an object, and a second radiographic image, which is representative of a second view of the object, based on radiation attenuation or transmission;ii. after segmenting said first and second radiographic images, filter at least one segmented area using at least one geometric filter for each of said images;iii. after filtering said at least one segmented area, generate a plurality of feature vectors using said filtered segmented area for each of said images; andiv. determine whether a high-atomic-number object is present using said feature vectors for each of said images. 14. The inspection system of claim 13 wherein each of said radiographic images is produced using at least one of an X-ray or gamma-ray radiation source. 15. The inspection system of claim 13 wherein said processing unit activates an alarm if each of said images indicates the presence of a high atomic number object. 16. The inspection system of claim 13 wherein said filter is at least one of shape, symmetry, size or homogeneity. 17. The inspection system of claim 16 wherein said size filter filters said at least one segmented area to identify dimensions selected on the basis of the inspection system's spatial resolution or penetration. 18. The inspection system of claim 16 wherein said shape filter filters said at least one segmented area to identify a spatial aspect ratio of less than 20. 19. An inspection system comprising a processing unit, wherein said processing unit comprises a plurality of programmatic instructions and wherein, when executed, said programmatic instructions:i. segment data of a first radiographic image, which is representative of an object and generated at a first energy level, and a second radiographic image, which is representative of the object and generated at a second energy level;ii. after segmenting said first and second radiographic images, filter at least one segmented area using at least one geometric filter for each of said images;iii. after filtering said at least one segmented area, generate a plurality of feature vectors using said filtered segmented area for each of said images;iv. performs a ratio operation on said plurality of feature vectors, resulting in a ratio feature vector; andv. determine whether a high-atomic-number object is present using said ratio feature vector. 20. The inspection system of claim 19 wherein said processing unit activates an alarm if each of said images indicates the presence of a high atomic number object.
summary
summary
047160187
abstract
An improved end plug for attachment on an end of a cladding tube of a nuclear fuel rod facilitates using a gripper tool for loading the fuel rod into a nuclear fuel assembly. The end plug includes an inner portion adapted to be inserted into the end of the tube and an outer portion adapted to extend from the end of the tube when the inner portion is inserted therein. The outer plug portion has a body part disposed adjacent the tube end and a leading part disposed remote from the tube end. The leading part of the outer plug portion has a hollow interior cavity defined therein, an exterior annular truncated surface defined on a terminal end thereof and an exterior annular tapered surface defined on a lateral side thereof. The exterior tapered surface extends between and merges with the body part and the exterior truncated surface and provides sufficient angular inclination so as to facilitate insertion of the end plug when mounted on the fuel rod tube end into the fuel assembly. The interior cavity in the leading part has an inner end, an outer opening defined at and surrounded by the exterior annular truncated surface and an interior annular wall surface interconnecting the inner end and the outer opening. An undercut annular groove is defined in the interior wall surface of the cavity, has a larger diametrical size than the interior wall surface and is spaced from the cavity opening. The groove is engageable by the gripper tool when fitted through the cavity opening for loading the fuel rod into the nuclear fuel assembly. The leading part has a thickness between the exterior tapered surface thereon and the interior cavity undercut groove therein which is less than the radius of the groove and greater than the width of the exterior truncated surface, and the interior wall surface of the cavity has an axial length between the exterior truncated surface and the undercut groove which is greater than the axial width of the groove, such that the leading part is provided with sufficient wall structure laterally surrounding the interior cavity to react the forces created by engagement of the gripper tool within the cavity groove.
056339038
abstract
Pressure vessels of nuclear plants are equipped with so-called pressure-vessel fittings for the purpose of receiving fuel assemblies. If a bulky part of that type is to be exchanged, very large transport containers for transporting to a location outside the nuclear plant are required. In order to ensure that smaller transport containers can be used, it is necessary to dismantle the bulky parts. In order to ensure that the dismantling operation can be carried out without complicated holding devices, provision is made for setting down an open transport container on the bottom of a water tank. A bulky part is inserted into the transport container and the bulky part projects a predeterminable amount above an end surface of the transport container. The bulky part is separated above the end surface through the use of a separating device disposed on the end surface of the transport container.
claims
1. A method for annealing at least a portion of at least one component of a reactor core of a nuclear fission reactor, the method comprising:operating the reactor core at a predetermined operational temperature,determining an annealing temperature range for at least a metallic portion of at least one component of a reactor core of a nuclear fission reactor, the annealing temperature range being higher than the predetermined operating temperature range of the reactor core;selecting a period of time to produce annealing of at least the metallic portion of the at least one component at the determined annealing temperature range;after operating the reactor core at the predetermined operational temperature, selectively adjusting operational parameters of the nuclear fission reactor to establish operating conditions of a region of the reactor core containing the at least one component within the determined annealing temperature range for the selected period of time;annealing within the reactor core at least the metallic portion of the at least one component within the annealing temperature range; andafter annealing for the selected period of time, selectively establishing reactor core temperature at the predetermined operational temperature, less than the determined annealing temperature range and stopping annealing of the at least one metallic portion of the at least one component. 2. The method of claim 1, wherein the at least one component of a reactor core includes a reactor core component chosen from a nuclear fission fuel assembly, a reactor core cooling component, and a reactor core structural member. 3. The method of claim 2, wherein the at least one component includes at least one nuclear fission fuel assembly component chosen from cladding, a cooling component, a structural member, a thermally conductive member, and nuclear fission fuel material. 4. The method of claim 1, wherein metal of the metallic component includes at least one metal chosen from steel, refractory metal, a refractory metal alloy, a non-ferrous metal, and a non-ferrous metal alloy. 5. The method of claim 1, wherein the annealing temperature range is determined based upon at least one factor chosen from radiation exposure of the at least one component, operating temperature history of the at least one component, and annealing history of the at least one component. 6. The method of claim 1, wherein the annealing temperature range is determined based upon material properties of the at least one component. 7. The method of claim 6, wherein a maximum temperature of the annealing temperature range is selected to provide a predetermined safety margin below a melting point of at least one other component of the reactor core. 8. The method of claim 6, wherein a maximum temperature of the annealing temperature range is selected to provide a predetermined safety margin below structural degradation of at least one other component of the reactor core. 9. The method of claim 1, wherein annealing is performed in-place. 10. The method of claim 1, further comprising moving the at least one component from an in-place location prior to annealing. 11. The method of claim 1, further comprising moving the at least one component to a location within the reactor core after annealing. 12. The method of claim 11, further comprising re-orienting a nuclear fission fuel assembly. 13. The method of claim 11, further comprising reconfiguring a nuclear fission fuel assembly in place. 14. The method of claim 1, further comprising moving the at least one component from an in-place location after annealing. 15. The method of claim 1, wherein adjusting operational parameters includes maintaining temperature of the region of the nuclear fission reactor containing the at least one component substantially within the annealing temperature range. 16. The method of claim 1, wherein adjusting operational parameters includes providing heat from an external heat source. 17. The method of claim 16, wherein the external heat source includes at least one source of residual heat. 18. The method of claim 17, wherein the residual heat includes decay heat. 19. The method of claim 1, wherein adjusting operational parameters includes:substantially maintaining a coolant flow rate of a coolant; andreducing an amount of heat transferred from the coolant. 20. The method of claim 1, wherein adjusting operational parameters includes:substantially maintaining a coolant flow rate of a coolant; andreducing an amount of heat transferred to the coolant. 21. The method of claim 1, wherein adjusting operational parameters includes lowering, from a predetermined coolant flow rate, a coolant flow rate into the region of the nuclear fission reactor containing the at least one component. 22. The method of claim 1, wherein adjusting operational parameters includes reversing a direction of a reactor coolant flow into the region of the nuclear fission reactor containing the at least one component. 23. The method of claim 1, wherein adjusting operational parameters includes raising a temperature of a coolant entering the region of the nuclear fission reactor containing the at least one component. 24. The method of claim 1, wherein adjusting operational parameters includes replacing at least a portion of a first reactor coolant having first heat transfer characteristics with second coolant having second heat transfer characteristics. 25. The method of claim 1, wherein adjusting operational parameters includes raising pressure in the region of the nuclear fission reactor containing the at least one component. 26. The method of claim 1, wherein adjusting operational parameters includes lowering pressure in the region of the nuclear fission reactor containing the at least one component. 27. The method of claim 1, further comprising determining a time after beginning operating the reactor core at the predetermined operational temperature when the at least one component is to be annealed after. 28. The method of claim 27, wherein determining the time when the at least one component is to be annealed includes scheduling a predetermined time for annealing the at least one component. 29. The method of claim 27, wherein determining the time when the at least one component is to be annealed is based upon an annealing history of the at least one component. 30. The method of claim 27, wherein determining the time when the at least one component is to be annealed is based upon an operational history of the at least one component. 31. The method of claim 30, wherein the operational history of the at least one component includes at least one parameter chosen from temperature history and radiation exposure. 32. The method of claim 27, wherein determining the time when the at least one component is to be annealed includes testing materials that are indicative of the at least one component. 33. The method of claim 32, wherein testing materials that are indicative of the at least one component includes testing at least the metallic portion of the at least one component. 34. The method of claim 32, wherein testing materials that are indicative of the at least one component includes testing for changes in material properties indicative of radiation damage. 35. The method of claim 34, wherein the material properties indicative of radiation damage include at least one material property chosen from electrical resistivity, physical dimensions, displacement response to physical stress, response to stimulus, speed of sound within material, ductile-to-brittle transition temperature, and radiation emission. 36. The method of claim 3, wherein a number of nuclear fission fuel assemblies is fewer than all nuclear fission fuel assemblies of a reactor core of the nuclear fission reactor. 37. The method of claim 3, wherein a number of nuclear fission fuel assemblies is substantially all nuclear fission fuel assemblies of a reactor core of the nuclear fission reactor. 38. The method of claim 1, wherein the selecting a period of time includes selecting a predetermined time period. 39. The method of claim 38, wherein the predetermined time period is a function of temperature. 40. The method of claim 38, wherein the predetermined time period is a function of changes in material properties indicative of radiation damage. 41. The method of claim 38, wherein the predetermined time period is a function of radiation exposure. 42. The method of claim 1, further comprising:during annealing, testing material properties of at least a portion of the metallic portion of the at least one component; andwherein annealing is stopped responsive to testing material properties of the at least the portion of the metallic portion of the at least one component. 43. The method of claim 1, further comprising post-anneal treating at least the metallic portion of the at least one component. 44. The method of claim 43, wherein post-anneal treating at least the metallic portion of the at least one component includes lowering temperature from the annealing temperature range to a quenching temperature range. 45. The method of claim 44, wherein lowering temperature from the annealing temperature range to a quenching temperature range includes lowering temperature from the annealing temperature range to a quenching temperature range at a predetermined rate. 46. The method of claim 44, wherein post-anneal treating at least the portion of the at least one component further includes raising temperature from the quenching temperature range to a tempering temperature range. 47. The method of claim 1, wherein annealing is performed after commencement of transition of reactivity condition of at least a portion of the nuclear fission reactor from a first state to a second state. 48. The method of claim 47, wherein:the first state includes power range operation; andthe second state includes a shut-down state.
claims
1. A duct-type spacer grid for placing and supporting a plurality of elongated fuel rods within a nuclear fuel assembly, comprising: a plurality of duct-shaped grid elements individually having a plurality of elongated sidewalls which form a regular polygonal cross-section, said grid elements being closely arranged in parallel and assembled together to form a plurality of main flow paths of polygonal cross-section for allowing coolant to pass, each of said grid elements comprising: a plurality of the plurality of sidewalls having spring windows and at least four of the plurality of sidewalls without spring windows; a line contact spring provided on each of said spring windows and being bent toward the center of each grid element at a central portion thereof, for supporting an external surface of a fuel rod in each grid element; and a plurality of integral type swirl flow vanes of different heights axially extending from a top surface of each sidewall without spring elements, each of said vanes being bent twice outwardly from each grid element toward the center of an associated flow path for generating a swirl flow of coolant. 2. The duct-type spacer grid according to claim 1 , wherein said polygonal cross-section of each of the duct-shaped grid elements is an octagonal cross-section. claim 1 3. The duct-type spacer grid according to claim 2 , wherein the sidewalls without windows of adjacent polygonal grid elements form a main flow path for coolant to pass through. claim 2 4. The duct-type spacer grid according to claim 2 , wherein said duct-shaped grid elements are parallely arranged while forming a regular angle between them, said grid elements being welded together at an upper and lower area of the sidewall and at one or more points at each of said upper and lower area of the sidewall. claim 2 5. The duct-type spacer grid according to claim 1 , wherein said line contact spring is bent thoroughly from the sidewall of each grid element toward the center of the grid element, said spring forming a flat contact surface when brought into line contact with the external surface of the fuel rod. claim 1 6. The duct-type spacer grid according to claim 1 , wherein each of said spring windows forms a flow path used for allowing coolant to pass through. claim 1 7. The duct-type spacer grid according to claim 1 , wherein each of said spring windows is axially formed on an associated sidewall of each grid element while being parallel to the axis of the grid element, thus having a longitudinal shape. claim 1 8. The duct-type spacer grid according to claim 1 , wherein each of said swirl flow vanes is integral type. claim 1 9. The duct-type spacer grid according to claim 1 , wherein each of said swirl flow vanes is primarily bent outwardly to form a sub-blade and is secondarily bent outwardly to form a main-blade, said two blades being used for generating a swirl flow of coolant effectively. claim 1 10. The duct-type spacer grid according to claim 9 , wherein said sub-blade is outwardly bent at an acute angle relative to the grid element, with said main blade being outwardly bent from an inclined portion of said sub-blade toward the center of an associated main flow path. claim 9 11. The duct-type spacer grid according to claim 1 , and 10 , wherein said swirl flow vanes are provided within each of the main flow paths in the form of a pair, said vanes being positioned at the same height or at different heights in an axial direction. claim 1
summary
description
This application is a national stage filing under 35 U.S.C. § 371 of International Application No. PCT/US2015/053724, filed Oct. 2, 2015, which was published under PCT Article 21(2) in English, and which claims the benefit of U.S. Provisional Application No. 62/060,291 filed Oct. 6, 2014, and entitled “SEALING CONTAINER AND METHOD OF USE”, the entire contents of which are incorporated by reference herein. Aspects herein relate to a container for sealing and shielding radioactive fluid. Methods of using and manufacturing the container are also described herein. Radioactive fluids such as a radioactive gas can be packaged in vials that are placed within containers for transport. Existing containers are made of radiation shielding material, such as lead. Such existing arrangements rely upon the vials to seal and contain the radioactive gas, and thus the outer containers do not include a gas-tight seal for preventing leakage of radioactive gas. In some existing examples, the outer container includes a lid that is sealed to the container using tape. Such existing examples are not always able to prevent the escape of radioactive gases during a prolonged period of shipment. According to one aspect, a container for a radioactive fluid is disclosed. The container includes a body having a hollow inner chamber for containing the radioactive fluid. The chamber includes an inner surface and an opening. A portion of the inner surface has a smooth burnished surface. The container also includes a cap that is removably couplable to the body for sealing the opening. The cap has a plug that is insertable into the chamber through the opening. The plug includes a groove, and an O-ring is disposed within the groove of the plug. An outer edge of the O-ring seats against the smooth burnished surface when the plug is fully received within the opening of the chamber. The body and the cap are made of a radiation shielding material. According to another aspect, a method of manufacturing a container for a radioactive fluid is disclosed. The method includes forming a body having a hollow inner chamber for containing the radioactive fluid, where the chamber includes an inner surface and an opening. The method also includes burnishing at least a portion of the inner surface of the chamber to form a burnished portion of the inner surface and forming a cap that is removably coupleable to the body for sealing the opening, where the cap has a plug that is insertable into the chamber through the opening and the plug includes a groove. The method further includes coupling an O-ring to the cap by inserting the O-ring into the groove on the plug and inserting the plug into the opening of the chamber until the plug is fully received within the opening and the O-ring is seated against the burnished portion of the inner surface of the chamber to form a fluid tight seal. The body and the cap are made of a material substantially comprising a radiation shielding material. There exists a need to transport radioactive substances in leakproof containers that are able to achieve total or nearly-total containment of the substance. Packaging for radioactive materials may be subject to safety regulations established by government agencies such as the Department of Transportation (DOT) and associations such as the International Air Transport Association (IATA). Unintended leakage and release of substances such as radioactive drugs may pose a health risk, may give rise to loss of radioactivity from the dose that may render a study using the dose non-diagnostic, etc. One example of a transported radioactive substance is a radioactive fluid such as a radioactive gas or a radioactive liquid. One example of a radioactive gas is xenon Xe-133 gas. Other examples of radioactive gases include, but are not limited to: Xe-127, krypton Kr-81m, iodine I-129 and I-131. Examples of radioactive liquids include, but are not limited to: gallium Ga-67, thallium Tl-201, indium I-111 and fluorine F-18. There also is a need for a container that is able to maintain a fluid tight seal when the cap is subjected to various forces. For example, during shipment via aircraft, the container may be subjected to a pressure differential across the cap (i.e., the pressure inside the container being higher than the pressure outside the container), or physical trauma, such as vibrations or being dropped, which may tend to decouple the cap from the container body. For ease of use, the container should permit a user to be able to manually remove the cap (by hand or using a hand tool such as a pair of pliers) from the container body. In most user environments, it is necessary to be able to remove the cap without resort to complicated machinery or tools. According to one aspect of the invention, the container is arranged to form a fluid tight seal to contain a radioactive fluid, and is particularly configured to provide a gas-tight seal. In one embodiment, the container includes a body having a hollow inner chamber having a seal or the like for containing radioactive fluid. The container also includes an associated cap that is removably couplable to the body for sealing the opening. The cap forms a gas tight seal with the body by way of an interference fit between a sealing element and an abutment surface. According to another aspect, the container is specially arranged to achieve a balance between resisting unwanted opening as a result of a pressure change, temperature change or physical trauma such as vibration or dropping while permitting manual opening by a user. Many materials may be used to form the container. The most commonly used material is lead, because it is relatively inexpensive, is readily available and is very effective as a radiation shielding material. However, lead is soft and, especially when cast, has a relatively porous and uneven surface. Thus, it is very difficult to form a fluid-tight seal between a cap and the surface of a lead container. Accordingly, in another aspect of the invention, the sealing surface on the interior of the container is burnished using a tool to provide a smooth surface free of porosity and other irregularities. Turning now to the figures, FIGS. 1-8 depict one embodiment of the container. Container 1 includes a body 10 and a cap 20 that is removably couplable to the body 10. As seen in FIG. 2, where the cap 20 is shown being slightly lifted and tilted relative to the body 10 to partially reveal the opening 12 of the body 10, the cap includes a rim 22 and a plug 24. The plug 24 of the cap 20 is sized to be insertable into the body 10 through the opening 12, while the rim 22 is sized to remain outside the body 10. As can be seen in FIG. 2, the rim 22 may include a textured pattern on its edge to create a gripable surface and to facilitate removal of the cap from the body, as will be described in more detail below. As seen in FIG. 3, a sealing member 30 is coupled to the plug 24, as will be described in more detail below. The inner chamber 11 of the container body 10 is best seen in FIG. 6A. The inner chamber 11 includes an inner wall 14 and opening 12 through which plug 24 can be inserted. The inner wall 14 of chamber 11 may be slightly tapered from opening 12 downwardly toward the bottom of chamber 11 so that chamber 11 is wider at the top adjacent opening 12 than at its bottom. The chamber 11 may include a cushioning member 40 to cushion contents of the chamber such as one or more glass vials held within the chamber. One or more cushioning members may be included to cushion other portions of the chamber, such as the side walls or the bottom surface of the cap 20. Typically, when shipping the container, the container is placed inside a shipping package with shock-absorbing foam inserts. As mentioned above, according to one aspect, the container is arranged to form a fluid tight seal by way of an interference fit between a sealing element and a burnished abutment surface, and is particularly suited to form a gas tight seal. As seen in FIG. 4, the plug 24 of the cap 20 may include a circumferential groove 26. A sealing member such as an O-ring 30 is coupled to and wrapped about the plug 24 such as, for example, by fitting the O-ring 30 into the circumferential groove 26. In another embodiment, O-ring 30 may be disposed about the outer surface of plug 24 without being placed within a groove 26. In its natural, unstressed state, the inside diameter of the O-ring 30 is smaller than the diameter of the circumferential groove 26, and smaller than the outer diameter of plug 24. Thus, when the O-ring 30 is settled into the circumferential groove 26, or on plug 24, the O-ring 30 is slightly stretched and held in tension relative to its natural, unstressed state, which helps to retain the O-ring on the plug 24. An exemplary O-ring 30 is depicted in FIGS. 5A-5B. In one embodiment, at its resting, unstressed state, the O-ring 30 has an inside diameter of 0.5 inches, an outside diameter of 0.625 inches and a width W of 0.0625 inches. In one embodiment, the O-ring 30 is made of silicone rubber. With the O-ring 30 coupled to the plug 24 of the cap 20, the cap can be coupled to the body of the container by inserting the plug 24 into the opening 12. In order to achieve an interference fit that helps to form a gas tight seal, the outside diameter of the O-ring when mounted to the plug must be larger than the inside diameter of the container body. In one embodiment, the outside diameter of the O-ring when mounted to the plug is 0.657 inches, while the inside diameter of the container body ranges from 0.640 to 0.645 inches. As such, the outside diameter of the O-ring 30 when mounted to the plug ranges from 0.012 to 0.017 inches greater than the inside diameter of the container body. To further aid in forming a seal, at least a portion 16 of the inner wall 14 may be burnished to provide a smooth surface against which the O-ring seals. The burnished surface is substantially free of porosity and other irregularities such that the O-ring 30 and the burnished surface of portion 16 form a gas tight seal. As best seen in FIG. 6A, portion 16 extends from the chamber opening 12 downwardly toward the bottom a distance D. In some embodiments, D may extend substantially the entire inner wall 14 of the chamber 11. In other embodiments, D may range from 0.6 to 1 inches or from 0.6 to 0.7 inches. In one embodiment, D is 0.641 inches with a tolerance of 0.01 inches. The method of creating such a burnished surface will be discussed below. In some embodiments, the combination of O-ring 30 and portion 16 is capable of sealing container 1 when the pressure inside the chamber 11 is higher than the pressure outside by 7 to 13.8 psi, by 7 to 15 psi, by 4 to 15 psi or by less than or equal to 13.8 psi. In some embodiments, the combination of O-ring 30 and portion 16 is capable of sealing container 1 and preserving the containment of radioactive materials when subject to temperatures in the range of −40° C. to 70° C. In some embodiments, the combination of O-ring 30 and portion 16 is capable of sealing container 1 and preserving the containment of radioactive material when subject to physical trauma such as vibration. With the container 1 held inside a shipping package with shock-absorbing foam inserts, the combination of the O-ring 30 and portion 16 is capable of preserving the containment of radioactive material within the container 1 when the shipping package is subject to a drop of up to 9 meters. Specific dimensions of a container body and cap according to one aspect of the invention are labeled in FIGS. 6-7 and will now be discussed. In some embodiments, the container body and cap are made predominantly of a cast lead. As a relatively soft material, lead can be challenging to form into precise shapes. As such, it should be appreciated that the relative dimensions of the container components may be critical to forming a fluid tight seal, and is particularly suited for forming a gas tight seal. As seen in FIG. 6A, the container body 10 has a hollow inner chamber 11 with a depth A and an inside diameter B. In some embodiments, depth A may range from 7 to 10 inches or 1 to 3 inches. In one embodiment, depth A is 8.82 inches. In another embodiment, depth A is 2.238 inches with a tolerance of 0.015 inches. In some embodiments, inside diameter B may range from 0.6 to 0.7 inches. In one embodiment, diameter B is 0.631 inches. Opening 12 has a diameter C. In some embodiments, diameter C may range from 0.6 to 0.7 inches. In one embodiment, diameter C is 0.641 inches with a tolerance of 0.01 inches. The diameter C may be slightly larger than the inside diameter B of the rest of the inner chamber. Also, chamber 11 may be tapered, such that the inside diameter of the inner chamber 11 may increase in a direction from the bottom toward the chamber opening 12 along at least a portion of chamber 11. As seen in FIG. 6B, the container body 10 has an outside diameter E. In some embodiments, outside diameter E may range from 0.8 to 1.1 inches. In one embodiment, outside diameter E is 0.915 inches with a tolerance of 0.015 inches. FIG. 7A is a bottom plan view of the cap 20 and FIG. 7B is a cross-sectional view of the cap 20 taken along the line B-B of FIG. 7A. As seen in FIG. 7B, the cap has an overall length I. In some embodiments, length I may range from 0.3 to 0.6 inches or from 0.4 inches to 0.5 inches. In one embodiment, length I is 0.468 inches. The plug 24 of the cap has a plug length H and a plug diameter F. In some embodiments, plug length H may range from 0.2 inches to 0.5 inches or from 0.3 inches to 0.35 inches. In one embodiment, the plug length H is 0.318 inches. In some embodiments, plug diameter F may range from 0.4 inches to 0.8 inches or 0.6 inches to 0.7 inches. In one embodiment, plug diameter F is 0.635 inches. The plug 24 has a minimum plug diameter G at the circumferential groove 26. In some embodiments, plug diameter G may range from 0.4 to 0.7 inches or 0.5 to 0.6 inches. In one embodiment, plug diameter G is 0.530 inches. The groove 26 is spaced from the plug end by a distance L and has a groove thickness M. In some embodiments, distance L may range from 0.02 inches to 0.2 inches or 0.07 inches to 0.15 inches. In one embodiment, distance L is 0.112 inches. The rim 22 of the cap has a rim diameter J and a rim thickness K. In some embodiments, diameter J may range from 0.2 to 2 inches or 0.7 to 1.3 inches. In one embodiment, diameter J is 0.965 inches. In some embodiments, rim thickness K may range from 0.02 to 0.3 inches or 0.1 to 0.2 inches. In one embodiment, rim thickness K is 0.15 inches. As mentioned above, according to one aspect, the container is arranged to permit a user to manually remove the cap 20 from the container body 10 when desired. In some embodiments, the cap rim 22 includes features that aid in manual removal of the cap 20. As best seen in FIG. 4, the cap 20 includes a rim 22 having a diameter larger than that of the plug 24. The enlarged diameter of the rim provides leverage and may allow the user to have a better grip on the cap. To further aid in gripping the cap, the rim may include a textured surface. In one embodiment, best seen in FIGS. 4 and 8A, the rim includes a textured surface 23 comprising a series of indentations located along the circumference of the rim. Such a textured surface may allow a user to more easily grip the cap by hand or with a hand tool such as a pair of pliers. As shown in FIG. 8B, the indentations may be arranged in accordance with a specific geometry. The indentations are formed into the rim at a depth of N. In some embodiments, depth N may range from 0.01 to 0.05 inches or from 0.03 to 0.035 inches. In one embodiment, depth N is 0.032 inches. The indentations trace out an inner radius of curvature of R2, while the outer edge of the rim traces out an outer radius of curvature R3. In some embodiments, R2 ranges from 0.01 to 0.05 inches or from 0.03 to 0.035 inches. In one embodiment, R2 is 0.032 inches. In some embodiments, R3 may range from 0.02 to 0.06 inches or from 0.035 to 0.045 inches. In one embodiment, R3 is 0.04 inches. S represents the arc length between two adjacent indentations, while Q represents the arc length spanning from an indentation to an adjacent protrusion. In some embodiments, Q may range from 2.5 to 4.5 degrees or from 3 to 4 degrees. In one embodiment, Q is 3.73 degrees. In some embodiments, S may range from 6 to 9 degrees or from 7 to 8 degrees. In one embodiment, S is 7.5 degrees. The indentations shown in FIG. 8A are rounded. As seen in FIG. 4, the radius of curvature of each indentation is represented by R1. In some embodiments, R1 may range from about 0.01 to 0.05 inches or from 0.025 to 0.035 inches. In one embodiment, R1 is 0.03 inches. However, it should be appreciated that other textured surfaces may be used, such as small dimples, square, diagonal, or zig-zag indentations/protrusions. As seen in FIG. 4, the textured surface may span only a part of the rim. A portion of the rim having width P may remain untextured. In some embodiments, P may range between 0.03 to 0.06 inches or 0.04 to 0.05 inches. In one embodiment, P is 0.045 inches. The user may manually remove the cap from the container by hand, or by using a hand tool. One example of such a hand tool is a pair of pliers 70, shown in FIGS. 9A-9B. In some cases, the user may couple rubber grips 72 to the pliers 70 to avoid or decrease the scraping of lead particles from the surface of the cap. Grips 72 typically conform to the shape and size of the indentations on textured surface 23 to provide an interlock between surface 23 and grips 72. The cap 20 can be removed by twisting the cap 20 in either direction relative to the container body 10 while pulling the cap 20 away from the container body. Typically, a quarter turn of the cap 20 is used to remove the cap and to seal the cap. According to another aspect of the invention, the container 1 is arranged to attenuate radiation emitted by the radioactive fluid located within the container. In some embodiments, the container 10 is made of a material that substantially comprises a radiation shielding material. In one embodiment, the container body 10 and cap 20 are made predominantly of lead. The container body 10 and cap 20 may also contain other materials as well. In one embodiment, the container body 10 and cap 20 are made of about 96 to 97.3% lead and about 2.5 to 3.5% antimony, about 0.1 to 0.3% tin, about 0.1 to 0.2% arsenic and trace amounts of copper, bismuth, silver, nickel and sulfur. In other embodiments, the container body 10 and cap 20 may be made of other radiation shielding materials such as actinium, antimony, barium, bismuth, bromine, cadmium, cerium, cesium, gold, iodine, indium, iridium, lanthanum, lead, mercury, molybdenum, osmium, platinum, polonium, rhenium, rhodium, silver, strontium, tantalum, tellurium, thallium, thorium, tin, tungsten, uranium or zirconium. The process for manufacturing the container will now be discussed. In one embodiment, the container body 10 and the cap 20 are formed using a casting process. In other embodiments, the container body 10 and cap 20 may be formed using extrusion, forging, machining, or any other suitable process. The cap 20 is formed with a plug 24 preferably having a circumferential groove 26. The groove 26 may be formed simultaneously with the formation of the cap 20 (e.g., the mold used to create the cap includes a protruding ring geometry that forms the groove), or the groove 26 may be later milled or etched or otherwise formed after the cap 20 has been formed. The O-ring 30 is coupled to the cap by expanding the O-ring 30 to a diameter greater than that of plug 24 and placing the O-ring around plug 24 and preferably in groove 26. In some embodiments, portion 16 of inner wall 14 is burnished using a specialized burnishing tool 50. In one embodiment, as shown in FIG. 10, tool 50 has a burnishing portion 52 that is inserted into the chamber 11 and a coupling portion 54 that is used to couple the burnishing tool 50 to a machine that rotates the burnishing tool at a high rate of speed about its longitudinal axis. Burnishing portion 52 has a leading end 51 and a trailing end 53. In some embodiments, the burnishing portion 52 is tapered such that the diameter of the burnishing portion increases from the leading end 51 to the trailing end 53. As such, the leading end 51 has a smaller diameter than the trailing end 53. The tapered burnishing portion 52 can be used to create a tapered portion 16 of wall 14 (i.e., such that the inside diameter of the chamber 11 increases in a direction toward the chamber opening 12 along at least a portion of wall 14. As seen in FIG. 10, the burnishing tool 50 may have an abutment 56 adjacent to the trailing end 53 of the burnishing portion 52. The abutment 56 may be a step, i.e., a sudden increase in diameter relative to the diameter of the trailing end 53. In some embodiments, the abutment 56 may serve as a stop that controls the depth of insertion of burnishing portion 52 into the container chamber. That is, when the burnishing portion 52 is inserted into the container chamber 11, the abutment 56, due to its large diameter, may abut against the opening rim of the chamber 11, preventing the burnishing tool from being further inserted into the chamber 11. As such, abutment 56 limits the maximum depth of insertion of the burnishing portion 5 into the chamber 11, which then sets the depth of portion 16. The burnishing tool 50 may be held within a holder 60 shown in FIG. 11, and the holder 60 may be coupled to a machine that rotates the holder 60 and the burnishing tool 50 at a high speed, such as a drill, a lathe or lathe-like machine, or the like. In some cases, the coupling portion 54 couples the burnishing tool 50 to the holder 60. In other cases, the coupling portion 54 may be directly coupled to the machine. In one embodiment, the burnishing tool is made of S7 tool steel. With the O-ring 30 coupled to the plug 24, the plug 24 is inserted into the opening 12 of the chamber 11 until the plug 24 is fully received within the chamber opening 12 and the O-ring 30 is seated against the burnished portion 16 of the inner wall 14 of the chamber to form a fluid tight seal, and is particularly suited to form gas tight seal. In some cases, the cap 20 is rotated relative to the container body 10 while inserting the plug 24 into the chamber opening 12. Such a motion may help to avoid rolling, twisting, kinking, unseating or otherwise negative behavior of the O-ring 30 during capping of the container 1. In one embodiment, the cap 20 is twisted one quarter-turn relative to the container body 10 while the cap plug 24 is inserted. Capping of the containers may be accomplished by hand, with a hand tool, or with an automatic capping machine. Also, as described herein, the container 1 may be used for containing and shielding other radioactive substances, including other gaseous materials, liquids or solids. Having thus described several aspects of at least one embodiment of this invention, it is to be appreciated that various alterations, modifications, and improvements will readily occur to those skilled in the art. Such alterations, modification, and improvements are intended to be part of this disclosure, and are intended to be within the spirit and scope of the invention. Accordingly, the foregoing description and drawings are by way of example only.
summary
summary
046631160
description
DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 shows an embodiment of the invention which is constructed based upon the conventional boiling-water reactor. The feature of this embodiment resides in that a drain conduit 5 of a recirculation system 2 has an inner tube 10 formed at an end portion thereof, a long outer tube 14 is disposed to surround the inner tube 10 maintaining a gap therebetween thereby forming a double tube 11, the double tube being inserted in the pressure vessel 12 from the upper direction, an opening 7 at the end of the inner tube 10 is located slightly below the level 13 of cooling water in the pressure vessel but at an upper position of the reactor core 1, an opening 15 at the upper end of the outer tube 14 is located above the water level 13, and an opening 16 at the lower end of the outer tube 14 is located at a lower position of the pressure vessel 12. With this construction, in case the drain conduit 5 in the recirculation system 2 is broken, the final water level in the pressure vessel 12 remains at a position of opening 7 at the end of the inner tube 10 and drops no more. Operation of the drain conduit 5 equipped with the double tube 11 will be described below with reference to FIGS. 2 and 4. FIG. 2 is a diagram which schematically illustrates the operation of the drain conduit 5 in the recirculation system equipped with the double tube 11, and FIG. 3 illustrates a relation between a flow rate F of drain water which flows through the drain conduit 5 and an internal water level 17 surrounded by the outer tube 14 and the inner tube 10. Under ordinary operation condition, the velocity of flow V.sub.2 of the cooling water flowing through the outer tube 14 increases with the increase in the flow rate F of drain water, and the pressure loss between the lower end 16 of the outer tube and the end 7 of the inner tube increases in proportion to the square power of the velocity of flow V.sub.2. Consequently, the pressure P.sub.1 decreases at the end 7 of the inner tube, and the internal water level 17 drops between the inner tube 10 and the outer tube 14. It is, however, possible to so design the system that the internal water level 17 is maintained above the end 7 of the inner tube under steady-state operation condition as indicated as a rated flow rate in FIG. 3. Therefore, the cooling water of a low temperature in the lower portion of the pressure vessel 12 can be taken in through the exhaust tube 5 from the lower end 16 of outer tube 14. If the drain conduit 5 in the recirculation system breaks outside the pressure vessel, the cooling water gushes abruptly through the broken portion due to high pressure in the pressure vessel. In such an event, the flow rate F of water through the drain conduit 5 increases strikingly, the pressure loss increases at the inlet port 18 of the tube, and the internal water level 17 drops according to a curve of FIG. 4. As the internal water level 17 becomes lower than the opening 7 at the end of the inner tube, water vapor above the water level 13 is sucked by the inner tube 10. Therefore, flow rate F of the cooling water reduces drastically, and the pressure reduces in the pressure vessel. The phenomenon of flow in the double tube 11 will be described below in detail in conjunction with FIGS. 4 and 5. Diagrams (1) to (8) of FIG. 4 illustrate the flow in the double tube 11 with the lapse of time after the drain conduit is broken, and FIG. 5 illustrates the flow rate of water through the drain conduit as a function of time. if the drain conduit 5 breaks, the flow rate of water through the broken portion increases abruptly as mentioned above, and the pressure decreases at the end portion 7 of the inner tube. Therefore, the internal water level 17 of the diagram (1) drops and reaches the end portion 7 of the inner tube (diagram (2)). The internal water level 17, however, continues to further drop due to the inertial force of water head in the double tube. Therefore, the water vapor above the water level 13 flows into the inner tube as shown in the diagram (3) of FIG. 5, and the water in the vessel does not flow into the inner tube. The pressure therefore increases at the end 7 of the inner tube, and the internal water level 17 rises again and reaches the end portion 7 of the inner tube. The internal water level 17, however, continues to further rise due to the inertial force, and the water flows out again through the inner tube (diagram (4)). As the water flows out again, the pressure decreases at the end portion 7 of the inner tube because of the reasons mentioned above, and the internal water level 17 drops (diagram (5)). Thus, the internal water level 17 vibrates like in a U-tube and finally settles at a position of end portion 7 of the inner tube (diagrams (6) and (7)). In this case, vapor and water are sucked simultaneously and, hence, the flow rate becomes small compared with the conventional art as shown in FIG. 7. The reasons are as mentioned below. Because of the difference of density between water and water vapor, the flow rate which the water gushes out of the system is determined by the flow rate of water. The flow rate at which the water flows out assumes such a value that a pressure loss, which corresponds to the difference in position between the water level 13 in the vessel and the internal water level 17, generates in a flow path between the inlet port 16 of the double tube and the end portion 7 of the inner tube. According to the embodiment of the present invention, therefore, the flow rate at which the water flows out is restrained compared with the conventional art according to which the flow rate at which the water flows out is determined by the absolute pressure in the pressure vessel 12. As shown in the diagram (8) of FIG. 4, furthermore, the cooling water flows out no more when the water level 13 in the vessel drops to the position of end portion 7 of the inner tube. Therefore, the water level finally settles at the position of end portion 7 of the inner tube 10. In other words, the cooling water flows out in small amounts compared with the conventional art. The embodiment of the invention and the conventional art are compared below concerning the change of pressure in the pressure vessel and the water level of cooling water in the pressure vessel, i.e., water level in the reactor, with the lapse of time. FIG. 6 illustrates the change of pressure in the reactor (pressure in the pressure vessel) after the conduit is broken, and FIG. 7 illustrates the change of water level in the reactor. Immediately after the breakage, pressure in the pressure vessel changes in the same manner even in the embodiment of the present invention or in the conventional art. Difference, however, develops between the two after some periods of time have passed. This difference stems from the fact that in the embodiment of the present invention, vapor is sucked as shown in FIG. 5. Thereafter, the pressure in the reactor decreases abruptly in the embodiment of the present invention. This is because the water level 13 in the reactor drops lower than the end portion 7 of the inner tube 10 of double tube, and the water vapor is released suddenly. According to the embodiment of the invention, therefore, the pressure in the vessel decreases at an early time compared with the conventional art. Therefore, water boils under reduced pressure to promote the effect for cooling the reactor core. Further, it becomes possible to operate the system for inserting an emergency reactor core at an early time, as well as to replenish the water in the nuclear reactor at an early time. Moreover, since water flows out in small amounts, the emergency system for cooling the reactor core needs bear a reduced burden, and the cost for installation can be reduced. Compared with the conventional art as will be obvious from FIG. 7, furthermore, the cooling water according to the embodiment of the present invention is left in the reactor in amounts sufficient to surround the reactor core at all times, making it possible to cool the reactor core by way of natural circulation. Further, since the reactor core is cooled as mentioned above, the emergency system for cooling the reactor core needs bear a reduced burden as mentioned above, and the cost for facility can be reduced. FIG. 8 shows another embodiment of the invention. According to this embodiment, the lower end of outer tube of double tube assumes the shape of a funnel flaring downwardly, and making a difference from the above-mentioned embodiment in which the lower end of the outer tube is straight. In FIG. 2, the difference .DELTA.h in height between the water level 13 in the reactor and the internal water level 17, is determined by a pressure P.sub.0 in the pressure vessel acting on the water surface and a pressure P.sub.2 in the tube, i.e., given by a relation, EQU .DELTA.h=P.sub.0 -P.sub.2 (1) The pressure P.sub.2 in the tube is determined by the total water head H in the outer tube, velocity of flow V.sub.2, and density .rho. of water, i.e., expressed as, EQU H=P.sub.2 +1/2.rho.V.sub.2.sup.2 (2) Loss of water head caused by the shape of the lower portion of the outer tube is expressed as, EQU h.sub.e =.zeta.V.sub.2.sup.2 /2g (3) where g denotes a gravitational acceleration and .zeta. denotes a loss coefficient. When the end of the outer tube has a straight tubular, .zeta. is 0.5. When the end of the outer tube has the shape of a funnel, .zeta. is 0.05. The total water head H of the equation (2) is reduced by an amount corresponding to the loss of water head of the equation (3). In the embodiment of FIG. 8, therefore, the total water head H becomes larger than that of the embodiment shown in FIGS. 2 and 3, and hence the pressure P.sub.0 in the pressure vessel increases according to the equation (2). From the equation (1), therefore, the difference .DELTA.h in height becomes small. That is, the embodiment of FIG. 8 makes it possible to make the position of opening 7 at the end of the inner tube shallow compared with the embodiment of FIGS. 1 and 2 and, accordingly, to increase the amount of water remaining in the reactor. FIG. 9 illustrates a further embodiment of the first invention. According to this embodiment, the outer tube has an increased diameter in the upper portion, and the inner tube has the shape of a funnel in the lower portion flaring downwardly. Therefore, the flow path widens, and the velocity of flow V.sub.1 becomes smaller than V.sub.2. The total water head H and the density .rho. remain the same. From the equation (2), therefore, P.sub.2 &lt;P.sub.1. From the equation (1), therefore, the difference .DELTA.h in height decreases correspondingly. Even in this embodiment, therefore, it becomes possible to make the position of lower end of inner tube more shallow, and to increase the amount of water which remains in the reactor. FIG. 10 shows a still further embodiment of the first invention. According to this embodiment, helical fins are provided in the outer tube below the opening 7 at the lower end of the inner tube 10. In the event the conduit is broken, therefore, the cooling water which is quickly sucked into the inner tube flows being rotated due to the presence of the fin. Due to the centrifugal force, therefore, the internal water surface 17 becomes low in the central portion and high toward the circumference of the outer tube. Therefore, the water vapor is sucked in large amounts by the inner tube, and the effect for reducing the pressure is promoted as mentioned in the first embodiment. Further, the opening at the lower end of the inner tube can be located at a position higher than that of the first embodiment, so that the water level remains high even in case the conduit is broken, contributing to increase safety performance of the nuclear reactor. FIG. 11 shows a further embodiment of the first invention. The feature of this embodiment resides in that a double tube 11 consisting of an inner tube 10 which is a portion of conduit 19 and an outer tube 14, is provided at an end portion 20 on the drain side of the conduit in a system 18 for purifying the water of nuclear reactor of the type of natural circulation, and that the opening at the lower end of the double tube is located at a lower position of the pressure vessel 12. In the reactor of the type of natural circulation, precipitates such as sludge accumulates in the bottom portion 21 of the pressure vessel 12. It is therefore necessary to take out the precipitate from the pressure vessel 12 and remove it through the purifying system 18. For this purpose according to the conventional art, the intake at the end of the conduit on the drain side had been formed in the bottom 21 of the pressure vessel. With this construction, however, the water level in the reactor finally drops to the position of the intake in case the conduit 19 in the purifying system 18 is broken, and the reactor core burns without the cooling water. To maintain the safety, therefore, it is indispensable to install an emergency system for cooling the reactor core, requiring increased manufacturing cost. According to the embodiment of the present invention, on the other hand, the final water level in the reactor is maintained at the end portion 7 of inner tube 10 in the double tube 11 in case the conduit is broken. Consequently, the reactor core is not burned in the absence of cooling water, and the emergency system for cooling the reactor core needs bear a reduced burden, making it possible to increase the safety performance of the nuclear reactor. Yet a further embodiment of the invention is shown in FIG. 12. The feature of this embodiment resides in that the same double tube 11 as that of the aforementioned embodiments is provided on the intake side of a conduit 22 in the spray system for controlling pressure in the nuclear reactor of the type of natural circulation, and the opening at the lower end of the double tube 11 is located on the bottom 21 of the pressure vessel 12. In the pressure control spray system, the water vapor which exists in the upper portion 23 of the pressure vessel 12 is condensed by spraying water, thereby to control the pressure. Therefore, the water to be sprayed must be taken from the reactor and must have a low temperature. According to this embodiment, the water of a low temperature in the bottom 21 of the pressure vessel 12 can be sprayed for this purpose. Furthermore, the reactor core can be maintained under safe condition because of the same reasons as the above embodiments. In the embodiments of FIGS. 11 and 12, use can be made of double tubes of the shapes shown in FIGS. 8 to 10. FIG. 13 illustrates another embodiment of the invention. The feature of this embodiment resides in that a drain conduit 5 in the recirculation system 2 of the boiling-water reactor is inserted in the pressure vessel 12 from the upper side, the opening at the end of the drain conduit 5 is located at the lower portion of the pressure vessel 12, and one or more lateral holes 24 for sucking gas are formed in the drain conduit 5 at a position between the water level 13 in the reactor and the upper portion of the reactor core 1. According to this construction, in case the drain conduit 5 in the recirculation system is broken, the final water level in the pressure vessel 12 is maintained at a position of lateral holes 24 for sucking gas formed in the drain conduit 5, and does not drop any more. Operation of the thus constructed drain tube 5 will be described below in conjunction with FIGS. 14 and 15. FIG. 14 shows the condition of water level 13 and the drain conduit 5 under steady-state operation condition. The lateral hole 24 for sucking gas is so small that the water flows in small amounts into the conduit 5 under the steady-state operation condition; i.e., most of the water is sucked through an intake port 16' at the lower end of the conduit 5, and the steady-state operation condition is not interrupted. However, in case the drain conduit breaks, the cooling water gushes out of the pressure vessel through a broken portion since the pressure is high in the reactor. Hence, the amount of cooling water decreases in the reactor, and the water level drops in the reactor. However, as the water level 13 in the reactor drops to a position of lateral hole 24 for sucking gas as shown in FIG. 15, the vapor above the water level 13 is sucked into the drain conduit 5 through the hole 24. Further, since the ratio of flow resistance of water to vapor in the hole 24 varies in proportion to the ratio of densities, the flow resistance which the vapor receives when it passes through the hole 24 can be neglected. That is, the vapor is emitted in large amounts from the reactor through the gas-sucking hole 24. Accordingly, the pressure in the reactor (pressure in the pressure vessel) drops, and the emergency system for cooling the reactor core is operated at an early time. Moreover, since the vapor is emitted in large amounts out of the pressure reactor through the gas-sucking lateral hole 24, the water level 13 in the reactor is maintained at a position of the hole 24. Hence, the cooling water remains in the pressure vessel in amounts sufficient for cooling the reactor core, and there is no probability of burning the reactor core without the cooling water. Thus, the emergency system for cooling the reactor core needs bear a reduced burden, and the facility can be constructed requiring reduced cost. According to the present invention as explained in the foregoing, in case the drain conduit in the cooling water circulation system is broken in the nuclear reactor in which the cooling water has a free liquid level in the pressure vessel, the final water level in the reactor can be maintained at a level of end portion of the inner tube of the double tube or at a level of lateral hole for sucking gas. Further, the water vapor emits at an early time, the cooling water remains in the reactor in amounts sufficient to cool the reactor core, the water which boils under reduced pressure promotes the effect for cooling the reactor core, the pressure which reduces in the reactor at an early time makes it possible to operate the emergency core-cooling system at an early time, the emergency core-cooling system needs bear a reduced burden, and cost for constructing facilities can be reduced. In addition, construction of the nuclear reactor can be very simplified.
description
This application claims the benefit of U.S. Provisional Application No. 61/625,740, filed Apr. 18, 2012. U.S. Provisional Application No. 61/625,740, filed Apr. 18, 2012, is hereby incorporated by reference in its entirety into the specification of this application. The following relates to the nuclear reactor arts, nuclear power generation arts, nuclear reactor control arts, nuclear reactor human-machine interface (HMI) arts, and related arts. Nuclear power plants are highly complex and include numerous systems to ensure safe operation. By way of illustrative example, a typical nuclear power plant employing a pressurized water reactor (PWR) includes: the nuclear reactor containing a nuclear reactor core comprising fissile material (e.g. 235U) immersed in primary coolant water and ancillary components such as a pressurizer and reactor coolant pumps (RCPs); a control rod drive system including control rods, control rod drive mechanisms (CRDMS) and ancillary components designed to insert neutron-absorbing control rods into the nuclear reactor core to extinguish the nuclear chain reaction (either during normal shutdown, e.g. for refueling, or in response to an abnormal condition, i.e. a scram); a steam generator in which primary coolant heats secondary coolant to generate steam; a turbine driven by the steam; an electric generator turned by the turbine to generate electricity; a complex switchyard providing the circuitry to couple the output of the generator to an external electric grid; a condenser for condensing the steam; piping with valving and ancillary components for conducting feedwater and steam between the various components; one or (typically) more house electrical systems for providing electrical power to the RCPs and other electrically driven components; backup power sources (typically diesel generators and batteries); an emergency core cooling system (ECCS) to dissipate residual heat still generated by the nuclear reactor core after shutdown of the chain reaction; ancillary cooling water systems supplying components such as the condenser; and so forth. A boiling water reactor (BWR) is similar, except that in a BWR primary coolant boils in the pressure vessel and directly drives the turbine. These numerous systems interact with one another. A malfunction of one component may trigger responses by other systems, and/or may call for the operator to perform certain operations in response to the malfunction. Existing control rooms for nuclear power plants typically include a control panel for each component, sub-system, or other operational unit. The resulting layout is unwieldy, including numerous control panels with typically dozens of video display units (VDUs) along with additional indicator lights, and various operator controls such as touch-screen VDU interfaces along with switches, buttons, and so forth. The control panels are arranged to form a horseshoe-shaped arc of about 90° or larger, and inside of this arc further control panels are installed as bench boards. These vertical and bench-mounted control panels include readout displays, indicators, and controls for all components, valves, electrical switches, circuit breakers, piping, and so forth. The arced configuration enables an operator at the controls (OATC) to view all controls simultaneously or with a small turn to the left or right. Substantial effort has been expended in optimizing control room ergonomics, for example by placing the most critical and/or frequently used control panels near the center of the arc. The VDUs are typically designated as safety- or non-safety related, with usually around a dozen safety-related VDUs near the center of the arc or at centrally located bench boards, and the two dozen or more non-safety related VDUs distributed around the periphery. Nonetheless, the control room is complex. A staff of five or more human operators is usually required around the clock. Response to a given situation may require accessing several control panels, which may be located at different places along the vertical arc and/or at different bench boards. When an abnormal situation arises, it typically results in numerous alarms being set off at various control panels associated with the various components affected by the abnormal situation. One (or possibly more) alarm indicates the “root cause” of the abnormal situation, while the other alarms indicate various automated responses to the root cause, consequent operational deviations, or additional problems triggered by the root cause. For example, a failure of the condenser will cause automated shutdown of the turbine, interrupts the steam flow, trips the reactor and brings the ECCS online; and, as further consequences reactor pressure and temperature likely will rise and various electrical systems may also react. Each of these events is unusual and generates an alarm, and this cascade of alarms occurs over a relatively short time interval, with some alarms activating almost simultaneously from the operators' point of view. The on-site human operators then confer to decipher the sequence of events that have led to these alarms, and agree upon appropriate remedial action. In making the diagnosis, operators may need to move around the control room to review various control panels. Yet, operator response should be swift to alleviate the abnormal situation. Any error in diagnosing the root cause may result in incorrect remedial action which can delay resolution of the root cause and may possibly introduce further problems. Disclosed herein are improvements that provide various benefits that will become apparent to the skilled artisan upon reading the following. In accordance with one aspect, a reactor control interface comprises a home screen video display unit (VDU) configured to display: blocks representing functional components of a nuclear power plant including at least (i) blocks representing functional components of a normal heat sinking path of the nuclear power plant and (ii) blocks representing functional components of at least one remedial heat sinking path of the nuclear power plant, and connecting arrows of a first type connecting blocks that are providing the current heat sinking path wherein directions of the connecting arrows of the first type represent the direction of heat flow along the current heat sinking path. In accordance with another aspect, a method operates in conjunction with video display units (VDUs) of a reactor control interface wherein the VDUs include a group of safety VDUs and an additional VDU that is not a safety VDU. The method comprises: detecting a malfunctioning safety VDU, the remaining safety VDUs being functioning safety VDUs; shifting the displays of the functioning safety VDUs to free up one of the functioning safety VDUs wherein the shifting transfers the display of one of the functioning safety VDUs to the additional VDU that is not a safety VDU; and transferring the display of the malfunctioning safety VDU to the functioning safety VDU freed up by the shifting. In accordance with another aspect, a non-transitory storage medium stores instructions executable by an electronic data processing device in communication with a video display unit (VDU) to perform a method comprising: displaying a home screen representing a nuclear power plant, the home screen including blocks representing functional components of the nuclear power plant including at least (i) blocks representing functional components of a normal heat sinking path of the nuclear power plant and (ii) blocks representing functional components of at least one remedial heat sinking path of the nuclear power plant, and connecting arrows of a first type connecting blocks that are providing the current heat sinking path wherein directions of the connecting arrows of the first type represent the direction of heat flow along the current heat sinking path; and in response to the nuclear power plant transitioning to a different heat sinking path, updating the connecting arrows of the first type by deleting and adding connecting arrows of the first type so that the updated connecting arrows of the first type represent the different heat sinking path. Disclosed herein are improved control room designs that substantially enhance the effectiveness of the nuclear power plant operators. In existing control rooms for nuclear power plants, a large number of VDUs (e.g. 30, 40, or even more VDUs) are employed in order to ensure that all relevant data are displayed at all times. However, it is recognized herein that the large number of VDUs can actually reduce operator effectiveness because it is not possible for the operator (or even a crew of five, six, or more operators) to monitor all VDUs simultaneously. Moreover, the large area over which this large number of VDUs must be distributed requires operators to move about the control room in order to view the various VDUs. In control room embodiments disclosed herein, this large multiplicity of VDUs is replaced by a smaller number of VDUs, e.g. about 5-7 VDUs. To accomplish this, it is necessary to employ hidden windows. In other words, not all the information of the conventional 30, 40, or more VDUs can be displayed on the 5-7 VDUs of the disclosed control room embodiments. Nonetheless, all vital information must be displayed so that it is guaranteed that there is no possibility that the operator at the controls (OATC) will miss a safety-related event. To achieve this fail-safe display of all vital information, it is disclosed herein to provide a main display that focuses operator attention on the overriding concern of maintaining a safe heat sinking path for the nuclear reactor core. It is recognized herein that this single aspect of nuclear power plant operation captures all possible safety-related events. In normal operation, the heat sinking path for a pressurized water reactor (PWR) is the following steam cycle (where “RCS” is “reactor coolant system”, “PC” is “primary coolant”, and “SC” is “secondary coolant”):Nuclear core→RCS→SC feedwater→SC steam→turbine→condenserwhere the condenser converts the secondary coolant steam back to secondary coolant feedwater while rejecting heat to circulating water. Heat is also rejected to the electric generator by action of the turbine—a portion of this heat is converted to electricity while the remainder is converted to heat in the generator. A small portion of heat is also rejected in the turbine itself, resulting in some steam condensation inside the turbine, and the condensate is also fed back to the secondary coolant feedwater system. The steam cycle of a boiling water reactor (BWR) is similar, except that there is no for steam generator and primary coolant boiled in the pressure vessel directly drives the turbine:Nuclear core→RCS→PC steam→turbine→condenser In any deviation from normal operation, a safe heat sinking path must be maintained. For example, if the primary coolant exceeds a safe threshold, the reactor scrams and the emergency core cooling system (ECCS) takes over to reject residual heat from the shut-down nuclear reactor to an ultimate heat sink (UHS) in the form of a large body of water, cooling tower, or so forth. Here the safe heat sinking path (for both PWR and BWR) is:Nuclear core→RCS→ECCS→UHSNote that here the heat being generated in the reactor core is not due to an operating nuclear chain reaction (that having been extinguished by the scram and possibly by other measures such as injection of soluble boron neutron poison), but rather is due to residual decay heat produced as short half-life reaction byproducts decay. As another example, in the case of a loss of coolant accident (LOCA) the reactor again scrams, and the safe heat sinking path for the residual decay heat is:Nuclear core→RCS→Containment→ . . . →(UHS or ambient)In this situation, the LOCA vents primary coolant steam into the containment. The containment prevents any radiological release. Some type of containment cooling system (indicated by the ellipsis “ . . . ” in the heat sinking path) transfers heat from containment to either the ultimate heat sink or to the ambient air (or both). This heat sinking path may operate in parallel with the heat sinking path through the ECCS. In one nuclear reactor design currently under development (the B&W mPower™ small modular reactor) another contemplated safe heat sinking path employs an auxiliary condenser (“AUX”):Nuclear core→RCS→Steam generator→AUX→ambientIn this design, the auxiliary condenser is located outside containment (e.g., a roof-mounted condenser) and is air-cooled by battery-operated fans. The auxiliary condenser is connected with the steam generator, which is internal to the pressure vessel in the mPower™ design (i.e., an integral PWR), so that it provides passive cooling using secondary coolant trapped in the steam generator when main feedwater and steam line valves are shut. In some event scenarios it is contemplated to employ this heat sinking path without scram. It is also contemplated to employ this heat sinking path in combination with heat sinking via the ECCS. The disclosed control room embodiments employ a main or “home” display that is always maintained on a designated VDU. The home display is a functional display of the heat sinking path. The home display does not attempt to show individual valves or other details (although it is contemplated in some embodiments to include one or more principal valves, e.g. main steam and feedwater valves), but rather represents functional blocks. By way of illustrative example, the turbine system is suitably represented as a single block labeled “Turbine” (or another intuitive label). Similarly, the steam system (piping, valves, et cetera) conveying steam from the steam generator to the turbine is represented by a functional block labeled “Steam”, without attempting to display individual pipes or valves. Any noteworthy excursion of the heat sinking path away from its normal operational envelope is highlighted on the home display by a distinctive color and/or another attention-grabbing visual effect (e.g., flashing, boldface, et cetera). This highlighting identifies the functional component that is in an abnormal condition. Components that perform a normal remedial response are highlighted in a different color (and/or other different visual effect) to emphasize that they have responded. In this way, the operator at the controls can immediately identify the root cause of the operational excursion, and can also readily recognize components that are responding normally to the excursion. Additional VDUs of the disclosed control room embodiments provide additional information. In the illustrative embodiments, these additional VDUs provide alarm displays and trend displays. Further VDUs of the disclosed control room embodiments provide control capability. In the illustrative embodiments, these include a procedures/components display and a system mimic display. The procedures/components display enables operations at the procedure-level or component system level, and displays only those procedures that can be performed given the current operational state of the nuclear power plant. The system mimic display provides lower-level control of individual valves and so forth. These VDUs are optionally touch-sensitive or include a pointer-based user input device (e.g. mouse, trackpad, et cetera) and operatively interconnected so that, for example, by touching (or selecting via a mouse) the “Turbine” block on the VDU displaying home screen the turbine mimic is brought up on the mimic display. Optionally, one or more further VDUs provide human-machine interfacing for non-safety related components and systems. In one embodiment, a “non-safety related” component or system is one in which any event occurring in that component or system cannot result in a safety-related operational excursion for at least one hour. Because the disclosed control room embodiments rely upon only a few VDUs, failure of a VDU can be problematic. In some disclosed embodiments, this is addressed using a VDU-shifting scheme by which the display of the failed VDU is shifted to another VDU. Starting with reference to FIG. 1, some illustrative embodiments are described. An illustrative nuclear reactor 1 is of the pressurized water reactor (PWR) type, and includes a pressure vessel 2 comprising an upper vessel and a lower vessel joined by a mid-flange. The pressure vessel 2 houses a nuclear reactor core 4 comprising fissile material, e.g. 235U immersed in primary coolant water. Reactivity control is provided by a control rods system that includes control rod drive mechanisms (CRDMs) 6 and control rod guide frame supports 8. The illustrative CRDMs 6 are internal CRDMs disposed inside the pressure vessel and including CRDM motors 6m disposed inside the pressure vessel; however, external CRDMs with motors mounted above the pressure vessel and connected via tubular pressure boundary extensions are also contemplated. The pressure vessel of the operating PWR contains circulating primary coolant water that flows upward through the nuclear reactor core 4 and through a cylindrical central riser 10, discharges at the top of the central riser 10 and flows back downward through a downcomer annulus 12 defined between the pressure vessel and the central riser to complete the primary coolant circuit. In the illustrative PWR, primary coolant circulation is driven by reactor coolant pumps (RCPs) 14 which may be located where illustrated in FIG. 1 or elsewhere; moreover, natural circulation or the use of internal RCPs disposed inside the pressure vessel is also contemplated. Pressure inside the pressure vessel of the illustrative PWR is maintained by heating or cooling a steam bubble disposed in an integral pressurizer volume 16 of an integral pressurizer 17; alternatively, an external pressurizer can be connected with the pressure vessel by piping. The illustrative PWR is an integral PWR in which a steam generator (or plurality of steam generators) 18 is disposed inside the pressure vessel, and specifically in the downcomer annulus 12 in the illustrative PWR; alternatively, an external steam generator can be employed. In the illustrative integral PWR, secondary coolant in the form of feedwater is input to the steam generator 18 via a feedwater inlet 20, and secondary coolant in the form of generated steam exits via a steam outlet 21. In the alternative case of an external steam generator, the ports 20, 21 would be replaced by primary coolant inlet and outlet ports feeding the external steam generator. The PWR 1 is disposed inside a primary containment 22, which is suitably a steel structure, steel-reinforced concrete structure, or the like. With continuing reference to FIG. 1, the steam outlet 21 of the nuclear reactor delivers steam to a steam line 24 that drives a turbine 26 that turns an electric generator 28 so as to generate electricity that is delivered to an electrical switchyard 30 that feeds an electrical grid (not shown). Steam flows from the turbine 26 into a condenser 32 that condenses the steam to generate feedwater that is delivered by a feedwater line 34 to the feedwater inlet 20 of the steam generator 18 of the integral PWR so as to complete the steam cycle. Condensate generated inside the turbine 26 is also recaptured and added to the feedwater, as indicated by an arrow running from the turbine 26 to the feedwater line 34. The turbine 26, electric generator 28, and condenser 32 are typically housed inside a turbine building 36 (although in some embodiments the condenser may be mounted on top of the turbine building, and other variants are contemplated). In addition to feeding the switchyard 30, the electric generator 28 also delivers house electricity for running pumps, monitors, and other components of the nuclear reactor plant. In the diagrammatically illustrated BOP, the generator 28 feeds a medium voltage a.c. power system 40 which in turn powers a low voltage a.c. power system 42, which in turn powers a d.c. power system 44 that drives a vital a.c. power system 46. It is to be understood that the illustrative nuclear power plant of FIG. 1 is an illustrative example. The disclosed nuclear power plant control room designs are suitably employed in conjunction with an integral PWR-based plant (as illustrated), or with a PWR-based plant employing an external generator, or with a boiling water reactor (BWR) based plant. In the case of a PWR with an external steam generator, the steam generator is typically housed inside containment with the pressure vessel so that the steam line 24 and contents of the turbine building 36 remain as illustrated. In the case of a BWR, there is no steam generator; instead, primary coolant boils inside the pressure vessel and is ported out the steam line. In the case of a BWR, the turbine and other steam-handling components are constructed to accommodate potential radioactive contaminants in the steam, which is primary coolant water in the BWR case. With continuing reference to FIG. 1, the nuclear power plant is controlled via a control room 50. FIG. 1 is diagrammatic and does not show the actual physical layout of the nuclear power plant; however, in a typical embodiment a reactor building (not shown) houses the containment 22 (which in turn houses the PWR 1) and the control room 50, while the turbine building 36 is spatially separated by some distance, e.g. a few meters to a few tens or hundreds of meters. As the steam and feedwater lines 24, 34 run between containment 22 and the turbine building 36, keeping the separation relatively short reduces thermal losses in these lines. In the control room, an operator at the controls (OATC) is a human operator who performs control functions via a control station that includes six video display units VDU1, VDU2, VDU3, VDU4, VDU5, VDU6. The six video display units VDU1, VDU2, VDU3, VDU4, VDU5, VDU6 are suitably disposed on an arced table 52 or other arced support that partially encircles the OATC, so that the OATC has ready access to any of the six units. VDU5 shows the home screen providing a functional diagram of the nuclear power plant that highlights the heat sinking path and operational status of functional blocks. VDU3 and VDU4 are control units that enable the operator to control systems of the power plant. VDU3 is the system mimic display and enables low level control of individual components, while VDU4 is a procedures and components display that enables initiation of procedures performed by systems or groups of systems. The procedures available to be performed are stored in a procedures database 54, and the procedures and components display shows only those available procedures that can be safely performed given the current operational state of the nuclear power plant. VDU2 shows data trends. VDU1 is an alarm display, and in some embodiments sorts alarms by both time-of-occurrence and by priority. VDU6 is an optional unit that displays non-safety related subject matter. In some multiple-reactor nuclear power plants, VDU6 displays common control functions that are shared by both reactors. The subject matter displayed on VDU6 may be under control of someone other than the OATC; additionally or alternatively, if the OATC does control subject matter shown on VDU6 then this is lower priority subject matter. With reference to FIG. 2, the home display shown in VDU5 is presented. Each functional system of the illustrative nuclear power plant of FIG. 1 is represented by a block or icon, e.g. a box with rounded corners in the illustrative home screen of FIG. 2. Thus (and comparing with FIG. 1), in the illustrative example: a block labeled “Fuel” represents the nuclear reactor core 1. A block labeled “Nuclear instrumentation” represents the in-core instruments (not shown in FIG. 1). A block labeled “Control rod drives” represents the complete control rod drives system including the illustrated CRDMs 6 with their motors 6m and the control rods and connecting elements, e.g., spiders, connecting rods (not shown in FIG. 1). A block labeled “Reactor coolant system” represents the reactor coolant system which includes the primary coolant water and its containing pressure vessel 2 along with ancillary components such as the RCPs 14 and the pressurizer 16, 17 that control flow and pressure of the primary coolant. A block labeled “Containment” represents the function of the containment 22. For mnemonic purposes, the containment 22 is also diagrammatically indicated in the home display, but this is optional. The block labeled “Containment” represents the containment in the functional sense, for example its role in the heat sinking path Nuclear core→RCS→Containment→ . . . →(UHS or ambient). Further, a block labeled “Reactor coolant inventory” represents the Reactor coolant inventory and purification system (RCIPS) as a functional unit. A block labeled “Component cooling water” represents the functional system that provides component cooling water to the RCIPS and other components. A block labeled “Chilled water” represents the chilled water supply. A block labeled “Emergency Core Cooling” represents the emergency core cooling system (ECCS). (None of these components are shown in FIG. 1.) With continuing reference to FIG. 2 and compared with FIG. 1, a block labeled “Turbine” represents the turbine 26 as a system. A block labeled “Steam” represents the functional system that generates and conveys steam from the nuclear reactor to the turbine. Thus, the block labeled “Steam” encompasses the steam generator 18, the steam pipe 24, and ancillary valves. A block labeled “Generator” represents the electrical generator 28. A block labeled “Condenser” represents the condenser 32. A block labeled “Switchyard” represents the switchyard 30. The electrical systems 40, 42, 44, 46 diagrammatically indicated in FIG. 1 are represented by corresponding blocks labeled “Medium voltage a.c. power”, “Low voltage a.c. power”, “d.c. power”, and “vital power”, respectively. Additionally, the home screen of FIG. 2 includes a block labeled “Auxiliary a.c. power” that represents the diesel generators and/or batteries that provide emergency power if the generator 28 is not operating. The home screen of FIG. 2 further includes blocks labeled “circulating water” that represents circulating water that provides the cold water flow for the condenser 32, and a “Turbine control” block representing control systems that control the turbine 26 and generator 28. The home screen of FIG. 2 also includes a block labeled “Auxiliary condenser” representing the auxiliary generator (AUX) of the proposed mPower™ small modular reactor, including the condenser itself and associated cooling fans and control circuitry. (None of these components are shown in FIG. 1.) It should be noted that the illustrative blocks of FIG. 2, which employ textual labels, could be otherwise labeled. For example, in some embodiments a system of three-letter acronyms is employed to label blocks of the home screen, e.g. “CND”=“Condenser”, “RCS”=“Reactor coolant system”, and so forth. It is also contemplated to employ representative symbolic icons, either instead of or in addition to textual or acronym labels. The home screen displayed by VDU5 is a functional block diagram including the blocks representing functional systems as just described, along with arrows selectively connecting blocks. In the illustrative home screen, there are two types of connecting arrows: solid arrows and dotted arrows. The solid arrows represent the heat sinking path of the nuclear power plant in its current operational state. That is, the solid connecting arrows interconnect the displayed blocks that are providing the current heat sinking path, and the directions of the solid connecting arrows represent the direction of heat flow along the current heat sinking path The dotted arrows are optional, and if included indicate other connections between the displayed functional blocks. FIG. 2 shows the home screen during normal operation of the nuclear power plant of FIG. 1. (More generally, connecting arrows of a first type, e.g. solid connecting arrows, represent the current heat sinking path, and arrows of a second type (or of second and third types, et cetera), e.g. the dotted connecting arrows, connect blocks to represent other functional associations between functional blocks but do not represent the current heat sinking path. The normal operational heat sinking path in the form of the steam cycle:Nuclear core→RCS→SC feedwater→SC steam→turbine→condenseris represented by solid arrows in FIG. 2. Specifically, solid arrows from “Nuclear instrumentation” to “Control rod drives” and from “Control rod drives” to “Reactor coolant system” represents the path portion Nuclear core→RCS. Explicit inclusion of “Nuclear instrumentation” and “Control rod drives” in this path portion allows for the home screen to highlight abnormal operation of the reactor core, as indicated by the in-core instruments, or of the control rod drives which control reactivity of the core. In the home screen of FIG. 2, a solid arrow from “Reactor coolant system” to “Steam” represents the path portion RCS→SC feedwater→SC steam in which heat from the reactor coolant system boils secondary coolant feedwater in the steam generator 18. A solid arrow from “Steam” to “Turbine” and from “Turbine” to “Generator” represents the path portion SC steam→turbine in which the generated steam flows from the nuclear reactor 1 to the turbine 26 via the steam pipe 24. (The arrow from “Turbine” to “Generator” specifically denotes the rejection of heat to the generator 28 in this path portion). A solid arrow from “Turbine” to “Condenser” represents the path portion turbine condenser in which the steam flows from the turbine 26 to the condenser 32 where it is condensed back to form feedwater. An additional solid arrow in the home screen of FIG. 2 running directly from “Turbine” to “Feedwater” represents portion of steam that condense in the turbine 26 and is returned to the feedwater system. With continuing reference to FIG. 2, the dotted connecting arrows indicate other operative connections between functional components that are not directly part of the heat sinking path. For example, the dotted arrows from “Generator” to “Switchyard” and from “Generator” to “Medium voltage a.c. power” denote distribution of the electricity produced by the electric generator 28. These functional connections are important and hence are shown on the home screen to inform the OATC that these connections are in effect, but they do not directly impact the heat sinking. As also seen in FIG. 2, certain functional blocks include numeric annotations. For example, the “Reactor coolant system” block is annotated “2064 PSIG” indicating measured pressure of the primary coolant water in the pressure vessel 2. The “Steam” block includes the annotation “840 PSIG” indicating the measured steam pressure. The “Turbine” block is annotated “100%” indicating the turbine is presently running at 100% capacity. The “Generator” block is annotated with the present electrical power output level “158 MWe”. The “Feedwater” block is annotated with the measured feedwater temperature “325° F.”. The “Medium voltage a.c. power” and “Low voltage a.c. power” blocks are annotated with the current rms voltage levels “4176 VAC” and “483 VAC”, respectively. By providing these annotations on the home screen, the OATC is immediately aware of these parameters which are indicative of the current state of the corresponding annotated functional blocks. With reference to FIG. 3, the home screen shown on VDU5 is presented after a failure of the condenser 32 and a consequential trip of the turbine 26 and shutoff of the electrical generator 28. The condenser is the root cause of this abnormal operating condition, and so the “Condenser” block is highlighted by a first highlighting format indicated in FIG. 3 by double-crosshatching. In practice, VDU5 is preferably a color display and the “Condenser” block is preferably highlighted in red, as red is an attention-grabbing color, although other colors and/or a flashing display are also contemplated. Thus, the OATC immediately knows that the root cause of the abnormal condition relates to the condenser 32, although the specific mechanism of the condenser failure is not apparent from the home screen. The “Turbine” block is shown with a different highlighting format, represented in FIG. 3 by single-crosshatching. This highlighting, which may in practice be a different color (e.g. green) indicates to the OATC that this component (the turbine 26) is in an abnormal operating condition, but that this abnormal operating condition was caused by something outside of the turbine 26 (namely, caused by the condenser failure in this example). Additionally, the illustrative reactor responds to this condition by bringing the auxiliary condenser online—accordingly, the “Auxiliary condenser” block is highlighted by yet another highlighting format (indicated by wide single-crosshatching in FIG. 3, but in practice preferably by yet another color, e.g. yellow). This third highlighting format informs the OATC that the component is performing a remedial action in accordance with its intended operation. The auxiliary condenser is not in an abnormal operating state, but the fact that it is operating is associated with an abnormal state. The “Auxiliary a.c. power” block is also highlighted by wide single-crosshatching, indicating powering of the fans of the auxiliary condenser system by auxiliary a.c. power (e.g. diesel generators and/or batteries). This highlighting informs the OATC that auxiliary a.c. power is active in accordance with its intended operation. Moreover, the solid arrows have changed to indicate the new heatsinking path, namely Nuclear core→RCS→Steam generator→AUX→ambient. The solid arrows connecting to the “Turbine”, “Condenser”, and “Feedwater” lines are removed as these components are no longer part of the heat sinking path. The solid arrow connecting “Reactor coolant system” to “Steam” remains so as to indicate the RCS→Steam generator path portion which continues to operate, and new solid arrows are shown connecting the “Steam” block to the “Auxiliary condenser” block and connecting the “Auxiliary condenser” block to the “Reactor coolant system” block. These new arrows represent steam flow from the steam generator to the auxiliary condenser (where heat is rejected to atmosphere) and from the auxiliary condenser back to the steam generator (where it is reheated by the RCS). The home screen of FIG. 3 informs the OATC that the condenser has failed (shown by double-crosshatching, e.g. red color, highlighting), and that the turbine has tripped (shown by single-crosshatching, e.g. green color, highlighting), and that the auxiliary condenser has been brought online (shown by wide single-crosshatching, e.g. yellow color, highlighting of both “Auxiliary condenser” and “Auxiliary a.c. power” blocks). Furthermore, the updated solid connecting arrows inform the OATC that a (new) safe heat sinking path is in operation, namely through the auxiliary condenser. For simplicity, FIG. 3 does not include the block annotations shown in FIG. 2; however, they generally remain visible during abnormal operation. In the state shown in FIG. 3, if the auxiliary condenser is unable to provide adequate heat sinking then the pressure annotation of the “Reactor coolant system” block will begin rising reflecting a rising primary coolant pressure. With reference to FIG. 4, the home screen is shown after the primary coolant pressure has risen above a first threshold. This pressure violation is indicated by applying the first highlighting format (double-crosshatching, e.g. red) to the “Reactor coolant system” block. Although this pressure violation is not technically a “root cause” of an abnormal state (the condenser failure is the root cause), it is not an expected consequence of the condenser failure. Rather, in some instances the auxiliary condenser will provide adequate heat sinking and the pressure violation will not occur. The fact that the pressure violation has occurred can therefore be thought of as a new or supplemental root cause—it leads to the expected response of scramming the reactor, i.e. dropping the control rods to extinguish the nuclear chain reaction. This is indicated in the home screen by coloring the “Control rod drives” block with the second highlighting effect (single crosshatching, e.g. green). In an alternative embodiment, the “Control rod drives” block is colored with the third highlighting (wide single-crosshatching, e.g. yellow) since the scram is a remedial action performed in accordance with its intended operation. However, since scram is something that it is desired that the OATC immediately notices, using the more aggressive second highlighting effect, as illustrated in FIG. 4, is advantageous. In the illustrated response sequence, the scram does not immediately lead to bringing the ECCS online. In the illustrative reactor, it is hoped that by scramming and hence extinguishing the nuclear chain reaction, the auxiliary condenser may thereafter be able to handle rejection of the residual decay heat, so that the ECCS may not need to be brought online. However, if the auxiliary condenser is not able to keep up with the residual decay heat, then the primary coolant pressure will continue to rise in the state shown in FIG. 4. With reference to FIG. 5, the home screen is shown after the continually rising primary coolant pressure has risen above a second threshold that is higher than the first threshold. This pressure violation is “supplemental” to the violation of the first threshold, so the “Reactor coolant system” block merely remains with the first highlighting format (double-crosshatching, e.g. red). The ECCS is brought online responsive to violation of the second pressure threshold, and this is indicated in FIG. 5 by coloring the “Emergency Core Cooling” block with the second highlighting effect (single crosshatching, e.g. green). Again, in an alternative embodiment, the third highlighting (wide single-crosshatching, e.g. yellow) could instead be used since the ECCS is performing a remedial action in accordance with its intended operation. Additionally, a new solid connecting arrow is added, running from the “Reactor coolant system” block to the “Emergency Core Cooling” block. This solid arrow indicates activation of another heat sinking pathway: Nuclear core→RCS→ECCS→UHS. Note that the illustrative home screen does not include a functional block representing the UHS (i.e. ultimate heat sink). However, it is contemplated to include such a functional block, in which case a further solid connecting arrow would suitably run from the “Emergency Core Cooling” block to the UHS block. In the illustrative example, the auxiliary condenser remains online after the ECCS is brought online, and so the solid connecting arrows indicating the heat sinking path involving the auxiliary condenser remain in FIG. 5. Alternatively, if the auxiliary condenser is taken offline concurrently with bringing the ECCS online, then these arrows for the auxiliary condenser heat sinking path would be turned off in FIG. 5. The sequence of FIGS. 2-5 illustrates how the home screen provides the OATC with a rapid and accurate assessment of the root cause of the problem and its consequences. With reference to FIG. 6, the alarm register display on VDU1 is shown for the system in the state shown in FIG. 5. In other words, the alarm register display of FIG. 6 is displayed on VDU1 concurrently with the display of the home screen of FIG. 5 on VDU5. The illustrative alarm register includes two sortable alarms lists: the list in the left window shows alarms listed in reverse chronological order, that is, by reverse time sequence (with the most recent alarm on top; alternatively, the list can be in chronological order, i.e. with the oldest alarm on top) The list in the right window shows the alarms ordered by priority. The alarm register uses the same highlighting formats as are used in the home screen. Thus, for example, the alarm indicating the condenser is offline is in the first highlight format, e.g. in red color, as this is the highest priority alarm. The alarm indicating turbine trip is in the second highlight format, e.g. in green color. The alarm indicating auxiliary condenser online is in the third highlight format, e.g. in yellow color. And so forth. The (left-hand) list in reverse chronological order is advantageous in tracing the sequence of events, while the (right-hand) list sorted by priority allows the OATC to identify the most urgent alarms. To assist in tracing the alarm history it is contemplated to label the alarms by time-of-occurrence in the left hand reverse chronological view (time stamps not shown in FIG. 6). It is noted that the (left-hand) list in chronological order includes two RCS overpressure alarms—the first occurred when the RCS pressure exceeded the lower first threshold (triggering scram), and the second occurred when the RCS pressure exceeded the higher second threshold (triggering placement of the ECCS online). In the (right-hand) list by priority, only the second alarm (RCS pressure exceeding the second threshold) is listed, since this alarm subsumes the alarm for RCS pressure exceeding the first threshold. In some embodiments, alarms are removed from the (right-hand) priority list when the underlying condition is remediated. It will be appreciated that the order of the lists can be reversed, i.e. the priority list can be on the left and the chronologically ordered list on the right. It is also contemplated to provide operator controls (not shown) to allow the OATC to sort the alarms shown in the right-hand window by various sorting criteria. VDU1 has its screen split vertically into two alarm registries which display the same information, but in different formats. The left side of the display shows alarms chronologically organized, e.g. listed in reverse chronological order with the most recent alarm on top, and optionally including time-stamps. In this example, sorting, filtering, and other visual manipulations disabled in the left hand window, so that the OATC must view all alarms. The right side of the display shows alarms sorted by priority, with the highest priority alarms at the top. Optionally, the OATC has the ability to sort, filter, or re-arrange alarms in the right-hand window in order to display meaningful data to the current task. With reference to FIG. 7, an illustrative configuration for the multi-trend display on VDU2 is shown. The illustrative configuration employs “hidden” windows that are operator-selectable using selection tabs at the bottom of the view (suitably selected by touch if VDU2 is a touch-sensitive screen, or using a mouse pointer, or so forth). The illustrative selection tabs include: “PWR”; “LOW PWR”; “EOP”; “SOP”; “REFUEL”; “START-UP”; and “SHUT-DOWN”. Additional or other tabs are also contemplated for different situations. The illustrative multi-trend view includes a relatively larger central window surrounded by relatively smaller peripheral windows. For each view (corresponding to a selected tab) the trends displayed in the various peripheral windows are in a fixed arrangement. Thus, in the illustrative example, the “PWR” tab is selected and “Trend 4” is displayed in the upper right peripheral window. This is then done consistently—in the “PWR” view the upper right peripheral window always displays “Trend 4”, and the operator cannot reorder the peripheral windows (e.g., using a drag-and-drop process). In this way, it is ensured that for a given tab (e.g. the “PWR” tab) the OATC always sees the same arrangement of trends in the multi-trend display on VDU2. In this way, the OATC can gain familiarity with this layout and, with experience, immediately knows that the upper right peripheral window is displaying “Trend 4”. The relatively larger central window, on the other hand, displays an operator-selected trend. For example, at the instant shown in FIG. 7 the larger central window is displaying “Trend 8”. Selection of the view to display in the central window is suitably done by touch (for a touch-screen) or mouse selection of the peripheral view. Thus, by clicking the mouse cursor on the peripheral window showing “Trend 8” the OATC can display “Trend 8” in the central window (as shown). This allows the OATC to select a particular trend for inspection in the central window, while still seeing all of the other trends of that view in the peripheral windows. Note that in order to maintain the fixed pattern of peripheral windows, if no data is available for a given trend the corresponding peripheral window continues to be dedicated to that unavailable trend, as is the illustrative case for “Trend 9” in the lower left peripheral window of FIG. 7. In the illustrative example of FIG. 7, VDU2 can show up to twelve real-time graphs in the peripheral window based on the current plant state (additional or alternative to being based on an OATC-selected tab as in FIG. 7; also note that in the view shown in FIG. 7 only ten of the possible twelve peripheral windows are being used to show trends with the bottom rightmost two available peripheral window slots being unused in the illustrative “PWR” view). Graphs are arranged around the perimeter of the screen with a blank center area, and the OATC can select a graph to display in the center blank area. When a graph is displayed in the center, it is enlarged (while maintaining the aspect ratio) to enhance visibility for the operator Graphs may contain one or more trends. Each graph can zoom, pan, pause, display historical data, or so forth. The OATC optionally may choose to ‘stack’ multiple graphs in the center area, and stacked graphs are aligned by the x-axis (time) so that trends may be compared with respect to time. Tabs or buttons are optionally displayed horizontally across the bottom of the screen (as per FIG. 7) to display the trends relative to that plant state. The multi-trend display suitably defaults to the tab that corresponds with the current plant state and display the graphs associated with that tab. VDU3 shows a system mimic display. This display provides low-level control (e.g. of individual valves, switches, or so forth) for a given system. VDU3 employs “hidden” windows insofar as the OATC can select the system whose mimic is displayed. In some embodiments, this can be done by touching (or mouse-clicking) the corresponding system block in the home view of VDU5—for example, touching or mouse-clicking the “Turbine” block brings up a turbine control mimic on VDU3. To access lower-level components (e.g. a particular part of the turbine 26) a drill-down approach can be performed on VDU3, e.g. by clicking on a part of the turbine mimic an enlarged view of the selected area is shown. Other known graphical user interface (GUI) navigation techniques can additionally or alternatively be employed, such as having a set of tabs for different components. With reference to FIG. 8, an illustrative embodiment of VDU3, which displays the system mimic, is shown. This screen displays a mimic 60 of a current system (selected by the operator) in a simplified form. Mimics suitably consist of components such as piping, valves, pumps, heat exchangers, tanks, et cetera. Graphical components of a mimic are suitably drawn in diagrammatic form and extraneous information removed to increase salience of mission critical components. In one suitable configuration, the current system mimic is displayed in the center of the screen with narrow columns 62, 64 on far left side and right side, respectively, for navigation to interfacing systems, and navigation aids are displayed in color corresponding to the current system state. In some embodiments, a narrow row across the bottom of the screen contains navigation aids 66 to sub-systems that support the current system. These sub-system mimics provide more detailed information about a specific component or section of the mimic. VDU4 displays provides an interface via which the OATC can select to run various pre-defined procedures stored in the procedures database 54. Each procedure has a defined operational space of primary coolant pressure, valve settings, and so forth within which the procedure is allowed to run, and VDU4 preferably displays only that sub-set of procedures that are allowed to run for the current state of the nuclear power plant. In some embodiments, the list of procedures may be further refined by selecting a particular system by touching or mouse-clicking the block representing that system in the home view shown in VDU5. Other known GUI navigation techniques can additionally or alternatively be employed to select the procedure. In some embodiments VDU3 and VDU4 operate in concert, in that a given procedure that is running may stop to request that the OATC perform some low-level operation using VDU3. In such a case the executing procedure causes VDU3 to display the appropriate mimic via which the OATC can perform the low-level operation. Conversely, the procedure running on VDU4 may interlock VDU3 so that the OATC cannot perform a dangerous low-level operation via VDU3 during the procedure. With reference to FIG. 9, an illustrative embodiment of VDU4, which presents the components/procedures display, is shown. In this embodiment, the components/procedures display area is divided into three main sections: (1) a live video feed 70; (2) component data 72; and (3) computer-based procedure 74. The live video feed 70 is, in the illustrative embodiment of FIG. 9, located in the top right corner; and displays two live video feeds for the current system selected (other numbers of live video feeds are also contemplated, e.g. one feed, two feeds, three feeds, et cetera, and the number may be selectable by the OATC, who also has controls for audio, video, play, pause, rewind, rotate, tilt, zoom). The component data section 72 is suitably in the bottom right corner, and displays live data values for a selected component. Tabs 76 may be displayed horizontally across the bottom allow the OATC to select a different component and its associated data. Vertical tabs (not shown) inside the component live data view allow the OATC to select either a tabular display of live data values or live data trends. Vertical tabs aligned to the right of the data display allow the OATC to select either a tabular display of live data values, live data trends, or a component tag task. Optionally, the component data section also allows the OATC to electronically tag or untag components from this tag tab for tag-out, tag-caution, tag-test, and tag-maintenance. For example, when a component is tagged out, it is deemed unavailable by the control room. (For safety, such electronic tagging should be accompanied by physical tagging of the actual component. Also, to ensure accuracy, the tagging options are only displayed for the current component state). The computer-based procedure section 74 in the illustrative embodiment of FIG. 9 occupies the entire left side of the screen. A title at the top of the screen designates the currently selected system, and applicable tasks are listed for the current state of the system. The OATC can select a task to perform and view the task steps required. All task steps are disabled until the OATC acknowledges the component associated with the current step on the system mimic screen shown on VDU3 (e.g. FIG. 10) by touching or mouse-clicking on the component in VDU3. After acknowledgement, the task step is enabled and performed. The process is repeated with each step thereafter. The OATC has the option of reverting to the previous stable condition of the system once a task has been selected or begun. The OATC can also “auto-complete” a task in the event that attention is needed elsewhere. When a task is completed, the list of available system tasks reflects the new current state of the system. In another contemplated option, the OATC can touch or mouse-click a component in the system mimic screen of VDU3 to filter the task list for only those which involve the selected component. The home screen shown in VDU5 has been described with reference to FIGS. 2-5, and provides high level indications of the plant status (except balance-of-plant systems). Each system is represented as a rounded rectangle or other diagrammatic block and is arranged on the home screen according to the functional relationships with other blocks. The functional system blocks indicate the state of the system through color coding, e.g. gray to indicate steady state, red to indicate alarm (i.e., the first highlighting format of the example of FIGS. 3-5), yellow to indicate caution (i.e. the third highlighting format of the example of FIGS. 3-5), and green to indicate expected responses (i.e. the second highlighting format of FIGS. 3-5). Relationships between the systems are designated by arrows, with arrowheads designating the direction of the relationship between the two systems connected (that is, input versus output). Input and output functional relationships between the systems are determined based on the state of the plant and vary as the plant state changes. While the example of FIGS. 2-5 employs textual labels for the blocks, in another embodiment each system block is labeled with a three letter acronym for the system. System blocks provide navigation by a touch or mouse-click for the OATC to quickly view the system-level mimic on the system mimic screen of VDU3. Navigation links are provided between home screen (VDU1), computer based Procedures screen (VDU4), and the system mimic screen (VDU3). The home screen (VDU5) is used as a primary starting point for system-system navigation and provides the corresponding system mimic on the system mimic screen (VDU3) and the applicable procedures and component data on the computer-based procedure screen (VDU4). In some embodiments, the computer-based procedure screen (VDU4) is an end-point navigation path (i.e., no navigation paths out of VDU4 are provided in the human-machine interface (HMI) design, only paths that drive information to be displayed on VDU4). The system mimic screen (VDU3) functions as a two-way navigation path from system-to-system as well as system-to-subsystem. The sortable alarm register screen (VDU1) and the multi-trend screen (VDU2) are each independent and provide no navigation to any other screen. System mimics (VDU3) reflect the actual response of the system or component from the action performed by the OATC. Control feedback that does not comply with the expected response of the component/system is indicated through an alarm/warning condition on VDU1 and VDU5. In further regard to navigation, and with brief returning reference to FIGS. 2-5, it will be noted that all functional blocks are shown in all illustrative home views of FIGS. 2-5. This is true even when the system corresponding to a functional block is not operative, e.g. the “Switchyard” block represents the electrical switchyard which is offline for the examples of FIGS. 3-5—nonetheless, the “Switchyard” block remains displayed (albeit with no connecting arrows). This is done because the home view is also a system selection tool. In the foregoing example, although the switchyard is offline, the OATC might want to view certain information about the switchyard, and can select to do so by touching or mouse-clicking the “Switchyard” block. Various sequential action guidance approaches are contemplated. Auto-complete can be used when the current task needs to be completed, but another task takes higher priority for the attention of the OATC. Preferably, each task provides an option for the OATC to “undo” the task steps completed at any point and return the system to the previous safe/stable state. The OATC also has the option of assuming manual control of a component through the component faceplate control in the system mimic screen (VDU3). Computer-based procedures are displayed on computer based procedure screen (VDU4), and control is directly driven from the computer based procedures. The available procedures are stored in the procedures database 54 (see FIG. 1), and only applicable procedures for the current selected system are displayed for the current plant mode and system status. A list of procedure titles is displayed as links to navigate to the procedure steps. The list of procedures is optionally filtered by touching of mouse-clicking on a component on the mimic screen (VDU3) to reduce procedure list to tasks that impact that component. In a suitable embodiment of the procedures section of VDU4, all steps of a procedure are visible from the time the procedure is selected until it is completed. Each step is inactive until the previous step is completed. A procedure step is disabled and cannot be performed until the OATC acknowledges the component receiving the action by touching or mouse-clicking on the component in the system mimic VDU3 (to improve situational awareness). When a procedure step is enabled by clicking the component in the system mimic, a checkbox or other selection (e.g. an “OK” button) beside the step on VDU4 is activated and the OATC is able to “check” the box by touch or mouse-click and the action is performed. When a procedure is completed, the final procedure step is to return to the system task menu. As already mentioned, only applicable procedures for the current selected system are displayed for the current plant mode and system status. A procedure is selected by touching or mouse-clicking on the procedure title, similar to selection of a hyperlink on a web page. When a procedure is completed, the list of available procedures will be updated to reflect the change in the system state from the previous procedure completion. Because the number of VDUs is relatively small, e.g. 5-7 VDUs in some preferred embodiments, and 6 VDUs in the illustrative example, it is advantageous to accommodate the possibility that a VDU may malfunction and become inoperative. One approach is to have redundant VDUs on hand; however, it would take time to switch out a defective monitor with a new monitor, and this may be unacceptable. With reference to FIGS. 10 and 11, an approach for addressing an inoperative VDU is illustrated. In illustrative FIG. 10, VDU4 has failed (as indicated by the large “X” crossing out VDU4). VDU4 ordinarily displays the components/procedures display—its unavailability would be a serious problem. To resolve this problem, the functions of the various VDUs shift, as shown in FIG. 11. Thus, VDU3 which formerly displayed the mimic display now displays the components/procedures display. Similarly, VDU2 which formerly displayed the multi-trend display now displays the mimic view. VDU1 which formerly displayed the alarms register now displays the multi-trend display. This leaves the alarms register, which has effectively “shifted off the end”. As seen in FIG. 11, this is accommodated by showing the alarms register on VDU6, which normally displays non-safety information or other “less important” information. To allow the OATC to still access that information, VDU6 also provides a command via which the OATC can temporarily switch VDU6 to show the non-safety information. In the illustrative example of FIG. 11, this is done by pressing the <F1> function key, and a suitable instruction is shown at the bottom of the alarms register displayed on VDU6 in FIG. 11. Because displaying the alarms register is generally more important than displaying the non-safety information, VDU6 is preferably programmed to “time out” the display of the non-safety information and return to the alarm register display if the OATC does not interact with the non-safety display for a certain time interval. By way of illustrative example, the time-out period may be one minute, i.e. when <F1> is pressed the non-safety screen replaces the alarms register on VDU6, and thereafter if no further action is taken VDU6 switches back to the alarm register display after one minute has passed. The defective monitor VDU4 is shown in FIG. 11 displaying the message “Display failure”. This (or a similarly informative) message is advantageously displayed on the defective VDU if the VDU is indeed capable of displaying a textual message. (Of course, if the defect of the defective VDU renders it incapable of displaying anything, then nothing is displayed on the defective VDU). By the disclosed approach of shifting the VDU screens as per illustrative FIGS. 8 and 9, the OATC continues to see something close to the usual arrangement of screens, with the exception that the alarms register is now on the rightmost VDU and VDU4 is blank. This is advantageous since it reduces likelihood of operator confusion. In order for the disclosed VDU shifting scheme to work, the VDUs should all have the user interfacing capability of the VDU with the most complex user interface. For example, VDU1 may not ordinarily need user input capability, since it ordinarily displays the alarms register (as in FIG. 8). However, when the VDU shift shown in FIG. 9 is executed, VDU1 then displays the mimic display, which does require user input (e.g., a touch screen, and/or a mouse, or so forth). Thus, all six VDUs should have the same user interfacing capacity, and indeed are preferably interchangeable. In the illustrative example with six VDUs, failure of more than one VDU cannot be accommodated by the shifting scheme. However, if a seventh monitor (e.g., a second non-safety related monitor) is added then up to two defective monitors can be accommodated. If an eighth monitor is added then up to three defective monitors can be accommodated. In some embodiments, the total number of VDUs is between 5 and 8. Additionally, it is contemplated to include a large (e.g. wall-mounted) overview display that is visible to the shift supervisor and other personnel in the control room, and/or the shift supervisor may have an additional monitoring VDU via which the supervisor can monitor the OATC. Moreover, it is to be appreciated that while the illustrative embodiment includes six distinct VDUs, it is alternatively contemplated to employ a single large-aspect ratio VDU spanning the display area of the illustrative six VDUs, with the functionality of the six individual monitors being provided by six windows displayed on the large-aspect ratio monitor. Said another way, there does not need to be physical separation between the display areas of VDU1-VDU6. The disclosed control room embodiments include a reactor control interface that includes the illustrative VDU1-VDU6 (or some other number of VDUs, e.g. in a range 5-8 VDUs) and a computer or other electronic data processing device (not shown) in communication with electronic data networks and with VDU1-VDU6 and programmed to generate the disclosed displays and to receive and process user inputs as described herein, and to send control signals to various components of the nuclear power plant (in accord with user inputs and/or in accord with automated procedures displayed on VDU4 and executed by the computer or other electronic data processing device). The computer or other electronic data processing device suitably includes or has access to a hard drive or other electronic storage medium that stores the procedures database 54 (see FIG. 1). The computer or other electronic data processing device optionally comprises an interconnected plurality of computers or other electronic data processing devices. For example, in one contemplated embodiment each of VDU1-VDU6 comprises a desktop computer running software implementing the control room. In this approach, the six desktop computers (in the illustrative case of six VDUs) are interconnected via the electronic data network in order to perform intercommunication between the VDUs as described herein. For example, the desktop computer implementing VDU5 suitably communicates selection of a functional block to VDU3 and VDU4 and in response the desktop computers implementing those VDUs display the appropriate component mimic and procedures list, respectively. From the monitor shift example described with reference to FIGS. 10-11, it is apparent that the desktop computer normally implementing VDU3 (the system mimic) must also include software to implement VDU4 (the procedures/components display), and so forth for the other desktop computers. To achieve maximum redundancy in this embodiment, it is advantageous for each desktop computer to include the entirety of the control room software so that the monitor shift described with reference to FIGS. 10-11 can be performed. This also allows swap-out of desktop computers to permanently replace a defective VDU. Indeed, in one implementation of this approach, each desktop computer includes a VDU_type or other indicator as to which VDU the desktop computer implements, and the VDU shift of FIGS. 10-11 then amounts to updating the VDU_type values for the (illustrative six) desktop computers. In another approach, the control room software executes on a central computer not particularly associated with any of VDU1-VDU6, and that central computer generates and transmits the displays to the six VDUs which in this embodiment are “dumb” terminals. In either illustrative embodiment (i.e., the embodiment employing six interconnected desktop computers; or, the embodiment employing a central computer connected with six dumb terminals), the control room computer or interconnected computers are preferably connected with an electronic data network with suitable security provisions. For example, the electronic data network is preferably an isolated network that is connected with the various components of the nuclear power plant in order to receive alarm signals, send control signals, and so forth, but that is preferably not (at least directly) connected with the Internet or other wider area network. If required by the applicable nuclear regulatory agency, the electronic data network may be an entirely wired network; alternatively, if permissible under local nuclear regulations it is contemplated to employ a wireless network or a hybrid wired/wireless network. The disclosed control room embodiments may also be embodied as a non-transitory storage medium storing instructions that are executable by the VDUs comprising a central computer controlling dumb terminals, or alternatively comprising a set of interconnected desktop computers, or alternatively comprising another suitable configuration of display devices and electronic data processing devices, to perform the disclosed control room operations including displaying the various screens (e.g. the home screen, alarms register display, et cetera) and receiving user inputs as described. The non-transitory storage medium may, for example, comprise a hard disk, RAID disk array, or other magnetic storage medium, an optical disk or other optical storage medium, a FLASH memory or other electronic storage medium, various combinations thereof, or so forth. Still further, it is to be appreciated that various disclosed aspects of the illustrative embodiments can be implemented without other disclosed aspects. For example, the disclosed home screen of VDU5 may be implemented as described in the illustrative embodiments (or variants thereof) while the control interfacing may be implemented using techniques other than the disclosed operation of VDU3 and VDU4. Similarly, the disclosed home screen of VDU5 may be implemented as described in the illustrative embodiments (or variants thereof) while the alarm register and/or data trends are/is shown using a format different from that employed in described VDU1 and/or VDU2. As yet another example, the disclosed control room screens (i.e., VDU1-VDU6) can be implemented without the VDU-switching capability described with reference to FIGS. 10-11. Conversely, the VDU-switching capability described with reference to FIGS. 10-11 may be employed with a set of VDUs displaying control room subject matter formatted differently than that described for VDU1-VDU6. The preferred embodiments have been illustrated and described. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
claims
1. A multi-element light source with an adjustable color temperature, comprising: a first light source, the first light source producing light over a first wavelength band; a second light source, the second light source producing light over a second wavelength band; a control system, the control system able to adjust the ratio of light produced by the two light sources, the control system configured to switch between at least two preset ratios of light where each preset ratio corresponds to a different color temperature, and where both the at least two preset ratios have both light sources producing light. 2. The multi-element light source of claim 1 where at least one of the light sources is an LED. claim 1 3. The multi-element light source of claim 1 where at least one of the wavelength bands is narrow. claim 1 4. The multi-element light source of claim 1 were the multi-element light source is powered by a battery. claim 1 5. The multi-element light source of claim 1 where the multi-element light source is portable. claim 1 6. The multi-element light source of claim 1 where the multi-element light source is configured to mount on a camera. claim 1 7. A multi-element light source with an adjustable color temperature, comprising: a first light source, the first light source producing light over a first wavelength band; a second light source, the second light source producing light over a second wavelength band; a third light source, the third light source producing light over a third wavelength band; a control system, the control system able to adjust the ratio of light produced by the three light sources, the control system configured to switch between at least two preset ratios of light where each preset ratio corresponds to a different color temperature, and where both the at least two preset ratios have all three light sources producing light. 8. The multi-element light source of claim 7 where at least one of the wavelength bands of the light sources is narrow. claim 7 9. The multi-element light source of claim 7 where the first light source produces red light, the second light source produces green light, and the third light source produces blue light. claim 7 10. The multi-element light source of claim 7 where the first light source produces amber light, the second light source produces green light, and the third light source produces blue light. claim 7 11. The multi-element light source of claim 7 where at least one of the light sources is an LED. claim 7 12. The multi-element light source of claim 7 were the multi-element light source is powered by a battery. claim 7 13. The multi-element light source of claim 7 where the multi-element light source is portable. claim 7 14. The multi-element light source of claim 7 where the multi-element light source is configured to mount on a camera. claim 7 15. The multi-element light source of claim 7 further comprising: claim 7 a fourth light source, the fourth light source producing light over a fourth wavelength band. 16. A method of adjusting the color temperature of a multi-element light source, comprising: determining the color temperature of the ambient light in a scene; selecting a color temperature for the multi-element light source ( 602 ) that most closely matches the color temperature of the ambient light in the scene; adjusting the ratio of light outputs of a first light source component with respect to the light output of a second light source component such that the ratio of the light outputs of the two light source components generates the color temperature for the multi-element light source, the first light source component producing light over a first wavelength band and the second light source component producing light over a second wavelength band; repeating the above steps for a different scene. 17. The method of claim 16 where one of the light source components is a light emitting diode (LED). claim 16 18. A method of adjusting the color temperature of a multi-element light source, comprising: determining the color temperature of the ambient light in a scene; selecting a color temperature for the multi-element light source that most closely matches the color temperature of the ambient light in the scene; adjusting the light output of a first light source, the first light source producing light over a first wavelength band; adjusting the light output of a second light source, the second light source producing light over a second wavelength band; adjusting the light output of a third light source, the third light source producing light over a third wavelength band, such that the ratio of the light output of the three light sources generates the color temperature of the desired multi-element light source. 19. The method of claim 16 where at least one of the light sources is a light emitting diode (LED). claim 16 20. The method of claim 16 where one of the LED""s is a red LED, one of the LED""s is a green LED, and one of the LED""s is a blue LED. claim 16 21. The method of claim 20 where one of the LED""s is an amber LED, one of the LED""s is a green LED, and one of the LED""s is a blue LED. claim 20 22. A method of adjusting the color temperature of a multi-element light source, comprising: determining the color temperature of the ambient light in a scene; selecting a color temperature for the multi-element light source that most closely matches the color temperature of the ambient light in the scene; adjusting the light output of a first light source, the first light source producing light over a first wavelength band; adjusting the light output of a second light source, the second light source producing light over a second wavelength band; adjusting the light output of a third light source, the third light source producing light over a third wavelength band; adjusting the light output of a fourth light source, the fourth light source producing light over a fourth wavelength band, such that the ratio of the light output of the four light sources generates the color temperature of the desired multi-element light source. 23. The method of claim 16 where the ambient light in the scene is measured to determination of the color temperature the ambient light in the scene. claim 16 24. The method of claim 16 where selecting a choice from a list of light sources determines the color temperature of the ambient light in the scene. claim 16 25. The method of claim 18 where the ambient light in the scene is measured to determination of the color temperature the ambient light in the scene. claim 18 26. The method of claim 18 where selecting a choice from a list of light sources determines the color temperature of the ambient light in the scene. claim 18 27. The method of claim 22 where the ambient light in the scene is measured to determination of the color temperature the ambient light in the scene. claim 22 28. The method of claim 22 where selecting a choice from a list of light sources determines the color temperature of the ambient light in the scene. claim 22 29. A multi-element light source with an adjustable color temperature, comprising: a first light source, the first light source producing light over a first wavelength band; a second light source, the second light source producing light over a second wavelength band; a control system, the control system able to adjust the ratio of light produced by the two light sources, the control system configured to switch between at least two preset ratios of light where each preset ratio corresponds to a different color temperature, and where one of the at least two preset ratios corresponds to the color temperature of an incandescent light. 30. The multi-element light source of claim 29 where at least one of the light sources is an LED. claim 29 31. A multi-element light source with an adjustable color temperature, comprising: a first light source, the first light source producing light over a first wavelength band; a second light source, the second light source producing light over a second wavelength band; a control system, the control system able to adjust the ratio of light produced by the two light sources, the control system configured to switch between at least two preset ratios of light where each preset ratio corresponds to a different color temperature, and where one of the at least two preset ratios corresponds to the color temperature of a fluorescent light. 32. The multi-element light source of claim 31 where at least one of the light sources is an LED. claim 31
041697596
abstract
A new part length rod is provided which may be used to not only control xenon induced power oscillations but also to contribute to shutdown reactivity when a rapid shutdown of the reactor is required. The part length rod consists of a control rod with three regions. The lower control region is a longer weaker active portion separated from an upper stronger shorter poison section by an intermediate section which is a relative non-absorber of neutrons. The combination of the longer weaker control section with the upper high worth poison section permits the part length rod of this invention to be scrammed into the core. When a reactor shutdown is required but also permits the control rod to be used as a tool to control power distribution in both the axial and radial directions during normal operation.
claims
1. Method for reducing interference and crosstalk in a double optical tweezers apparatus for measuring forces applied to beads, comprising a single laser source, the method comprising the sequential steps of:a. splitting the laser beam by polarization into a first laser beam and a second laser beam,a1. shifting the frequency of the first laser beam to a value different from the frequency of the second laser beam by a frequency shift,b. passing the first and second laser beams through a trapping objective and then through a condenser objective,c. reflecting one of the first and second laser beams so as to select a beam to be imaged, andd. imaging using back focal plane interferometry the selected laser beam on a position sensitive detector having a bandwidth smaller than the frequency shift. 2. Method according to claim 1, wherein, before step a, the laser beam is expanded, and, before step b, the first and second laser beams are steered. 3. Double optical tweezers apparatus for measuring forces applied to beads, comprising:a single laser source;a laser beam splitter for sequentially splitting a laser beam from the single laser beam source into a first laser beam and a second laser beam, wherein the laser beam splitter comprises an optic frequency shifter that shifts the first laser beam to a value different from the frequency of the second laser beam by a frequency shift;a trapping means for passing the first and second laser beams through a trapping objective and then through a condenser objective;a polarizer configured to select one of the first and second laser beams; anda position sensitive detector configured to image using back focal plane interferometry the selected laser beam, wherein the position sensitive detector has a bandwidth smaller than the frequency shift. 4. Apparatus according to claim 3, wherein, the splitter further comprises a piezoelectric tilt mirror, the optic frequency shifter is positioned before the piezoelectric mirror. 5. Apparatus according to claim 3 or 4, wherein the apparatus further comprises a beam expander and a beam steering.
claims
1. A radiation-shielding assembly for holding a container, the assembly comprising:a body comprising radiation shielding material and at least partially defining a cavity having first and second opposite ends and an axis extending between the first and second ends; anda spacer adapted to be at least partially received in the cavity, the spacer being selectively adjustable to change a space between a container support surface in the cavity and the first end of the cavity for use in positioning containers of different heights in a substantially similar location relative to the first end of the cavity, the spacer being slidable along the axis of the cavity to adjust the space between the support surface and the first end of the cavity. 2. The assembly of claim 1, wherein the support surface is defined by a radiation shielding element adapted to limit escape of radiation through the second end of the cavity. 3. The assembly of claim 1, wherein the spacer is adapted to move the support surface along the axis of the cavity upon rotation of the spacer. 4. The assembly of claim 3 further comprising:a detent adapted for being fixed relative to the body, wherein the spacer has a helical channel defined therein, the detent being received in the channel. 5. The assembly of claim 4 wherein the helical channel is a first helical channel, the spacer having a second helical channel therein, the first channel being adapted for use with a first container and the second channel being adapted for use with a second container, the first container having a different height than the second container, the assembly being adapted to permit selective movement of the detent between the first and second helical channels. 6. The assembly of claim 4 wherein a plurality of recesses are formed in a bottom of the helical channel, and wherein the detent is adapted for selective engagement with the recesses for indexed movement of the spacer relative to the detent. 7. The assembly of claim 1, wherein the body defines an opening at the second end of the cavity, the assembly further comprising a base releasably secured to the body at the second end of the cavity, the base defining a receptacle that adjoins the opening when the base is secured to the body, the spacer being adapted to be at least partially received in the receptacle, a detent of the spacer being mounted on the base so that the detent is substantially fixed relative to the body when the base is secured to the body. 8. The assembly of claim 1 wherein the spacer has a plurality of markings thereon corresponding to the different heights of the containers, the markings being positioned relative to a viewing window of the assembly so that a marking indicating a particular height of a container in the cavity is in registration with the window when the spacer is adjusted to make the space between the support surface thereof and the first end of the cavity substantially correspond to a height of the container of that particular height. 9. A method of using a radiation-shielding assembly, the method comprising:disposing an adjustable spacer of a radiation-shielding assembly a first distance from an open end of a cavity defined in the radiation-shielding assembly to define a first volume for holding a container; andmoving the spacer along an axis of the cavity to dispose the spacer a second distance from the open end of the cavity to define a second volume for holding a container, the second distance being different than the first distance and the second volume being different from the first volume. 10. The method of claim 9 further comprising releasably locking the spacer in position relative to the cavity. 11. The method of claim 9, wherein the moving comprises rotating the spacer relative to at least another portion of assembly. 12. The method of claim 9, wherein the assembly comprises a detent and defines a channel in which the detent is disposed, wherein the moving comprises moving at least one of the detent and the channel relative to the other of the detent and the channel. 13. The method of claim 9, further comprising:placing a first container of a first height in the cavity prior to the moving;removing the first container from the cavity prior to the moving; andplacing a second container of a second height different from the first height in the cavity subsequent to the moving. 14. The method of claim 9, wherein the moving comprises moving the spacer from a first indexed position to a second indexed position.
050323512
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to nuclear fuel bundles and more particularly to spacers used with the nuclear fuel bundles. 2. Brief Description of the Relevant Art Nuclear reactors have fuel bundle arrays constructed of individual fuel bundles. These individual fuel bundles have a plurality of side-by-side fuel rods. The individual fuel bundles typically include a lower fuel rod supporting tie plate, an upper tie plate for maintaining the fuel rods in upstanding relation, and a fuel bundle channel for enclosing the fuel rods between the tie plates. Water flows into the fuel bundle through the lower tie plate, is confined to a flow path immediately about the fuel rods by the bundle enclosing channel, and flows out the top of the bundle in a steam water mixture. In the assembly, transport, and operation of the fuel bundle, there is a tendency of the individual fuel rods to move from their vertical side-by-side disposition along the 160" length fuel bundle. When the fuel bundles are installed and operating within a boiling water nuclear reactor, such movement causes unwanted vibrations, interferes with the designed nuclear efficiency and is otherwise generally undesirable. In order to prevent such movement of the fuel rods, five to eight spacers are utilized at selected elevations along the length of the fuel bundle. It is the function of such spacers to confine the fuel rods with respect to the channel as well as to maintain the designed side-by-side spacing of the fuel rods along the total length of the fuel bundle. There have been developed over the years many kinds of spacers. While these spacers are desirable for controlling flow along the fuel rods, the spacers constitute neutron absorbing materials. This absorption of neutrons is detrimental to the nuclear economy and efficiency of the fuel within the fuel bundle. Consequently, it is always desired to maintain the least amount of neutron absorbing material in the spacers and yet have the spacers still function to perform their desired fuel rod separation function. Spacers of the prior art have commonly been constructed of two materials. One material is the metal Inconel.RTM., a registered trademark of the International Nickel Company. The metal is an alloy of iron, chromium and nickel. This metal is strong, elastic and forms an ideal spring material. Unfortunately the metal has a relatively high neutron absorbing cross section. The remaining material from which spacers are constructed is Zircaloy. Zircaloy is an alloy of zirconium with small amounts of iron, tin and other alloy metals. This metal has lower neutron absorbing cross sections but does not have the same strength of material properties and is unsuitable as a spring material. Consequently, most Zircaloy spacers have Inconel.RTM. springs incorporated to their structure. The present invention is an improvement to a prior art spacer sometimes known as a "cross point spacer." This spacer has the majority of its structure fabricated from Zircaloy with Inconel.RTM. springs for the biasing of the fuel rods to the desired positions within the matrix of the spacer. The cross point spacers are formed out of a lattice of vertically aligned tube members interconnected by strips. The tubes and strips fastened together form a continuous grid. The tube members typically form stops against which the fuel rods can be biased. This grid has individual spring members fastened to the tube interconnecting strips. The springs bias the fuel rods extending through the spacer onto tube stops formed integrally from the cylinders. The combination of the grid with its matrix of tube stops and strip fastened springs assures the uniform spacing of the fuel rods at selected elevations within a fuel bundle. So-called cross point spacers have been proposed in a variety of configurations. In one configuration, the spatial relation or pitch between all of the fuel rods within the fuel bundle is maintained uniform. In another configuration, spacing or pitch between the individual fuel rods is varied. In either case, the improved method of spacer construction set forth herein is applicable. It is also known to construct spacers with so-called swirl vanes incorporated to the spacers. In such construction, twisted pieces of material-twisted in the order of at least 90.degree., and more frequently in the order of 180.degree. to 360.degree., function to partially separate water and steam passing through the spacer. Specifically, water and steam rising through the swirl vane portion of the spacer have rotating momentum imparted. Water is thrown outwardly and onto the fuel rods where the more efficient generation of steam can occur. Steam within the rising steam water mixture maintains a more central flow path. For an example of a spacer incorporating such so-called swirl vanes, attention is invited to U.S. patent application Ser. No. 323,075, filed Mar. 14, 1989 entitled Swirl Vanes Integral with Spacer Grid, now U.S. Pat. No. 4,913,875, issued 4/3/90. SUMMARY OF THE INVENTION An improved spacer and method of making a spacer is disclosed for use in a nuclear fuel bundle wherein a plurality of fuel rods enclosed within a channel are maintained in parallel side-by-side relation by a plurality of the spacers. Each spacer is placed within the fuel bundle at selected elevations between upper and lower tie plates. The improved spacer is a member of the class of spacers wherein solid strips of material are welded at interstitially placed tube members between the fuel rods to form the continuous spacer grid. The improvement constitutes forming separate upper and lower reduced section grids from separate, normally aligned, first and second parallel sets of grid members. One grid is formed for the top of the spacer; the remaining grid is formed for the bottom of the spacer. Tube members placed interstitially between the fuel rods are used to interconnect the grids. The tube members themselves are in turn notched; the notches are at the upper portion of the tube members to receive the upper grid and at the lower portion of the tube members to receive the lower grid. Grids are placed within the notched tube members and fastened, typically by welding to the top and bottom of the tubes to form a unitary spacer structure. Thereafter, the excess material of the grid crossing the interior of the tube members is drilled out of the tube members to eliminate excess neutron absorbing material, and to reduce the hydraulic resistance of the spacer. There results in the disclosed spacer, two interconnected grid members extending at the top and bottom of the spacer having less material than the single and continuous grid of the prior art. At the same time, the assembly of what is otherwise a difficult member to construct is simplified. Provision is made for applying the improved spacer to fuel bundles having differing pitch between the separated fuel rods. Additionally the incorporation of so-called swirl vanes in some grid locations in substitution for the tube members is disclosed. OTHER OBJECTS, FEATURES AND ADVANTAGES An object to this invention is to disclose a simplified technique for the fabrication of a cross point spacer for use in a nuclear fuel bundle. According to this aspect of the invention, paired upper and lower grids are constructed from normally aligned parallel arrays of confronting strips of metal. These upper and lower grids are fastened to tube members, the tube members functioning to form the point of interconnection between the upper and lower grids. Each tube member is notched at the upper and lower ends so as to receive the grids. Thereafter, the grids are fastened to the notches of the tube members. Once attachment of the upper and lower grid members has occurred, the interior of the respective tube members is drilled out and excess neutron absorbing material removed. An advantage of the disclosed spacer construction is that less Zircaloy material is used for the spacer. The spacer is not constructed of a solid grid extending the full depth between the tube members forming the vertical interconnecting members of the spacer. Instead, the upper and lower grid members extend only partially the thickness of the spacer. In the medial region of the disclosed spacer construction, the spacer is open. As a result, less neutron absorbing material is present. A further object to this invention is to utilize the disclosure construction to form a variable pitch spacer of the type known in the prior art. According to this aspect of the invention, the upper and lower grids are formed with varied pitch. In the preferred variation of pitch here used within a 9.times.9 matrix of fuel rods, eight subgroups of nine fuel rods each are clustered about a central water rod. The individual rods of the subgroup have a first relatively close spacing. The spacing between the subgroups is at a second and relatively more distant dimension. The resultant spacer thus conforms to fuel designs having variable pitch between the spaced fuel rods. An additional object to this invention is to incorporate swirl vanes into the constructed spacer. According to this aspect of the invention, certain of the tube members are replaced with twisted strips of metal. These respective twisted strips of metal cooperate at their upper and lower portions to become integral with the disclosed grid construction.
abstract
A method for the manufacture of a medical wire includes manufacturing a fluororesin-coated wire and irradiating with infrared radiation. The fluororesin-coated wire is manufactured with a fluororesin-containing liquid, or fluororesin powder body being applied to the outer circumference of a superelastic alloy wire or of a resin-coated superelastic alloy wire. The fluororesin-coated wire is irradiated with a defined wavelength of infrared radiation for a defined period of time.
053032756
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS In accordance with the present invention, a FCBWR system 100 comprises a reactor pressure vessel 102 and its internals, as shown in FIG. 1. Heat is generated within a core 104 of FCBWR 100, which includes fuel bundles 106 of fissionable material. Water circulated up through core 104 is at least partially converted to steam. A steam separator assembly 108 separates steam from water, which is recirculated through a fluid return path. Steam separator assembly 108 serves as a chimney in supporting a driving head to promote natural circulation of water within vessel 102. Residual water is removed from the steam by steam dryers 110. The steam then exits reactor through a steam exit 112 near a vessel head 114. The amount of heat generated in core 104 is regulated by inserting and withdrawing control blades 116, and by varying core flow. To the extent that a control blade 116 is inserted into core 104, it absorbs neutrons that would otherwise be available to promote the chain reaction that generates heat in core 104. Control rod guide tubes 118 below core 104 maintain the vertical motion of control blades 116 during insertion and withdrawal. Hydraulic control rod drives 120 effect the insertion and withdrawal of control blades 116. Control rod drive housings 122 extend through a bottom head 124 of vessel 102, where they are welded to stub tubes 126, which are in turn welded to vessel bottom head 124. Fuel bundles 106 are supported from below by a fuel support casting 128 mounted on a core support plate 130 located at the base of core 104. A top guide 132 helps align fuel bundles 106 as they are lowered into core 104. Vessel 102 is mounted on a concrete pedestal 134. The recirculation path within vessel 102 is upward through core 104, upward through stand pipes 136 of separator assembly 108, upward, outward and then downward through steam separators 137 of separator assembly 108, radially outward toward a downcomer 138, downward through downcomer 138, radially inward through a core inlet plenum 140, and back up to core 104. A shroud 142 encircles core 104 so as to define an inner radial wall of downcomer 138, isolating the fluid flowing downward through downcomer 138 from the steam/water mixture rising through core 104. Shroud 142 extends below core 104 to form a boundary between downcomer 138 and core inlet plenum 140. Shroud 142 extends down to a pump deck 144, on which ten electric pumps 146 (one shown, 6-10 are typical) are mounted. During forced circulation, the primary flow path from downcomer 138 to core inlet plenum 140 is through the inlet suction annulus of pumps 146. Shroud 142 is supported by shroud supports 148. In accordance with the present invention, the flow cross section between downcomer 138 and core inlet plenum 140 is augmented by natural circulation through fluidic diodes 202 (one shown in FIG. 2) when forced circulation is stopped. For each fluidic diode 202, the flow resistance in the upstream direction (counter to normal circulation flow) is at least twice the flow resistance in the downstream direction (in the normal direction of coolant flow). Each fluidic diode 202 includes radially nested cylinders 204 (shown as cylinders 204a, 204b, and 204c) that are flared inwards to define diverters 206 (including diverters 206a, 206b, and 206c), as shown in FIGS. 2, 3, and 4. Each diverter 206 is described by an elliptical radius of curvature that increases from the circumference of the cylinder toward the center of the cylinder, as shown in FIG. 2. Rib supports 208 space and support cylinders 204, as seen in FIG. 2. Ten fluidic diodes 202 are located between respective pairs of adjacent pumps 146 (as indicated in FIG. 3), and are attached by welds 210 to pump deck 144. When pumps 146 are not operating, coolant flows downstream through pumps 146, as indicated by arrow 402 in FIG. 4. This natural circulation flow is driven by the differential pressure between downcomer 138 and the chimney action of steam separator assembly 108. However, since the flow cross section of pumps 146 is limited, they provide for only limited natural circulation. The total natural circulation flow cross section through pump deck 144 is augmented by fluidic diodes 202, each of which includes a respective central aperture 212, as shown in FIGS. 2, 3, and 4. For each fluidic diode 202, diverters 206 funnel natural circulation to the respective aperture 212, as indicated by flow arrow 404. Diverters 206 are configured to minimize the turbulence imposed on the natural circulation flow so as to impose minimal resistance to this flow. When operating, pumps force water through pump deck 144, as indicated by flow arrow 406. The forced flow creates a positive pressure differential across pump deck 144. In other words, the fluid pressure downstream of deck 144 is greater than the fluid pressure upstream of deck 144. This positive pressure differential induces a backflow 408 toward fluidic diode apertures 212, as indicated in FIG. 4. This backflow 408, if unrestricted, would undesirably diminish the net efficiency of the forced circulation. However, much of this backflow is trapped between cylinders 204, as indicated by flow arrows 410, and then diverted radially inward and in a downstream direction by diverters 206, as indicated by flow arrows 412. The diverted backflow generates eddy currents 414 and crossflow 416 across aperture 212. Eddy currents 414 and crossflow 416 mix and interfere with backflow 408, causing turbulence that impedes backflow 408. The net backflow 418 through fluidic diode 202 is thus substantially diminished relative to what it would be through aperture 212 without the asymmetric action of fluidic diode 202. The distance between vessel wall 102 and shroud 142 is 26". Diverters 206 extend so that central pathway 212 has a constant diameter of 6" so that the 10 fluidic diodes 202 pass the desired amount of augmented natural circulation flow. Thus, when fluidic diode 202 is viewed from above pump deck 144, as shown in FIG. 5, only topmost diverter 206a of outer cylinder 204a is visible. Viewed from below, the concentric structure of cylinders 204 is apparent, as seen in FIG. 6. Rib supports 208 are also shown in FIG. 6. A method 700 of the present invention includes a conditional branch step 701, depending on whether or not pumps 146 are operating. When they are not operating, fluid is permitted to flow downstream through fluidic diodes 202 with minimal resistance to augment natural circulation, at step 711. When pumps 146 are operating, backflow through fluidic diode 202 is diverted radially and downstream at step 721 to produce crossflow 416. Eddy currents 414 and crossflow 416 mix with backflow 408 at step 722, inducing turbulence and concomitant resistance to the backflow. Those skilled in the art can recognize that other embodiments are provided for. Different fluidic diode diameters can be used depending on flow requirements and the number of fluidic diodes actually employed. The diameter of central pathway 212 need not be constant. An alternative fluidic diode has diverters arranged to narrow the backflow pathway progressively. Fluidic diodes of other design can be used. In particular, cascade diodes, Tesla diodes, scroll diodes, momentum flueric diodes, vortex diodes, and vortex amplifiers, are provided for. See, e.g., B. E. A. Jacobs and P. J. Baker, "The Cascade Diode," Proceedings of the Third Cranfield Fluidics Conference, Paper No. K5, British Hydromechanics Research Association, Cranfield, Bedford, United Kingdom, 1968, pp. 63-82; E. Sher, "Theoretical and Experimental Study of the Scroll Diode Characteristics under Steady Conditions," The Journal of Fluid Control, Vol. 12, No. 4, Debridge Publishing Co., Cupertino, Calif., December, 1980, pp. 57-70; N. Syred and J. R. Tippetts, "A High Gain Active Diode--The Reverse Flow Vortex Amplifier," Proceedings of the Sixth Cranfield Fluidics Conference, Paper No. J4, British Hydromechanics Research Association, Cranfield, Bedford, United Kingdom, 1974, pp. 55-67; and Frank W. Paul, "Fluid Mechanics of the Momentum Flueric Diode," Proceedings of the November, 1968, IFAC Symposium on Fluidics, Paper A1, Peter Peregrinus Ltd, London, 1969, pp. 1-15. Generally, any means that allows asymmetric flow, with low impedance in one direction and high impedance in the other, can be used to enhance natural circulation in accordance with the invention. While the illustrated boiling-water reactor includes internal electric pumps, the present invention applies as well to reactors employing jet pumps. While the described embodiments are presented in the context of boiling-water reactors, the present invention also applies to other forced-circulation reactors capable of limited recirculation by natural circulation. Thus, dual-phase reactors other than boiling-water reactors are provided for, since such reactors have a vapor phase that can serve as a buoyancy head for natural circulation. These and other modifications to and variations upon the described embodiments are provided for by the present invention, the scope of which is limited only by the following claims.
051715201
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS With reference to the drawings wherein like numerals represent like parts throughout the figures, a fuel rod having an enhanced wear resistant coating in accordance with the present invention is generally designated by the numeral 10. Fuel rod 10 is employed in a nuclear reactor and includes a zirconium-alloy cladding tube 20 which contain pellets 30 of fissionable material such as for example, UO.sub.2. The tube is commonly made of zirconium-tin alloy such as Zircolay-2 or Zircolay-4. The fuel rods 10 are conventionally mounted in parallel fashion to a support structure including a support grid 12 of a fuel assembly 14 as schematically illustrated in FIG. 1. The lower portions of the fuel rods are in effect cantilevered into the flow of water which commonly contains debris comprising metallic particulate matter such as stainless steel or Inconel alloy steel (not illustrated). The debris particles are often hardened by irradiation and are known to rapidly fret the tubes 20 as the water flows in the direction indicated by the arrow. In accordance with the invention, a coating 50 is applied to the exterior surface of the cladding tube 20. The cladding tube coating 50 is comprised of a matrix of a ceramic material 52 and a glass binder 54, both of which are schematically illustrated. The relative dimensions of coating 50 is exaggerated in FIG. 2 for description purposes. The ceramic material preferably has a high degree of hardness, a high degree of thermal conductivity and a thermal expansion which is approximately equal to that of the zirconium-alloy substrate of the cladding tube 20. A preferred ceramic material is zircon which in a powder form has a particulate diameter on the order of approximately 10-60 microns. The ceramic material 52 is mixed with a glass 54 which also has a thermal expansion compatible with the zirconium-alloy cladding tube. A number of glass compositions are suitable. The selected glass should have a long term resistance to very hot water which for the reactor environment typically is on the order 400.degree. C. Calcium zinc borate, calcium magnesium aluminosilicate, and sodium borosilicate are all suitable glasses. Thermal coefficients of expansion for the various materials are set forth in the following table: ______________________________________ Material CTE .times. 10.sup.-7 C.degree. ______________________________________ Zircaloy-4 48.9 Zircon 53 Calcium zinc borate 45-60 Calcium magnesium 40-70 aluminosilicate Sodium borosilicate 30-100 ______________________________________ The ceramic material 52 and the glass 54 are premixed in a ratio so that the there will be sufficient glass to bind the ceramic material and bond the ceramic material to the cladding tube substrate. The particles of the ceramic and glass material have diameters typically on the order of 10-60 microns. The glass particles are preferably significantly smaller than the ceramic particles so that the glass particles will heat rapidly and a large quantity of particles will be available for bonding to each ceramic particle. The zirconium-alloy cladding tube is heated to a temperature which is approximately in the range 300.degree. C. to 350.degree. C. It is naturally desirable to keep the processing temperature of the cladding tube below 400.degree. C. The mixture of the ceramic and glass particles is then flame sprayed onto the cladding tube. The flame spray characteristics are selected so that the glass particles will assume a semi-molten state while the ceramic particles are maintained in a non-molten state. The coating which is formed on the cladding tube substrate is primarily a ceramic composition with just enough glass to provide sufficient bonding of the ceramic material to the cladding tube. It is not required that the glass have a high degree of hardness, although glasses typically will be harder than metals. The ceramic particles are bonded to the tube by the glass. The glass which bonds the ceramic particles is primarily disposed on the back side of the ceramic particles where the glass is not subject to abrasion. Even if glass on the outer surfaces is abraided by metallic particles, it will eventually wear away to expose the ceramic substrate and will function as a wear resistant barrier to prevent further wear of the coating. The initial coating has some glass at the outer layer. The outer glass layer 56 may then be etched to remove the outer glass layer and expose the ceramic particles so that the outer surface 58 is substantially entirely composed of ceramic particles. While etching of the outer glass is not required, there may be some benefits to removing the glass since metallic particles of the debris could potentially strike the outer glass layer and initiate cracks and faults which would propagate throughout the glass matrix. The coating 50 can be applied to the cladding tube in a flame spray process which is efficient and cost effective. The thickness of the coating is preferably a few mils. For example, preferably the thickness of the coating is 5 mils or less. A relatively thick coating would potentially impede the coolant water flow around the cladding tubes. In addition, thicker coatings might undesirably function as a thermal barrier. EXAMPLE In one form of the coating 50, 6 kilograms of zircon ceramic material 52 having a nominal particle size diameter of 30 microns was premixed with 4 kilograms of calcium zirc borate glass 54 having a nominal particle diameter of &lt;10 microns. A zirconium-alloy tube having a nominal outside diameter of 0.4 inch was heated to a temperature of approximately 200.degree. C. The coating mixture was flame sprayed onto the outside surface of the cladding tube at a rate of 5 seconds per linear inch of tube so as to form an outer coating of approximately 5 mils in thickness. After the initial coating was applied, an etching solution of dilute HF acid was applied to remove the outer glass material. It should be appreciated that the coating 50--even though relatively thin in cross-section--provides an effective abrasion resistant coating for the cladding tube 20. The coating 50 is substantially harder than the metal particles present in the surrounding reactor debris. The coating 50 is resistant to the chemical environment in the reactor and does not impede the flow of coolant water around the tubes. The coating also does not constitute a significant thermal barrier. Moreover, the coating can be applied by a flame spray in a relatively inexpensive and cost effective manner which is suitable for mass production of the cladding tubes. While preferred embodiments of the foregoing invention have been set forth for purposes of illustration, the foregoing description should not be deemed a limitation of the invention herein. Accordingly, various modifications, adaptations and alternatives may occur to one skilled in the art without departing from the spirit and the scope of the present invention.
abstract
A particle isolation system includes a semiconductor process chamber; at least one member within the semiconductor process chamber wherein the member has at least a first position and a second position; and at least one isolation compartment having a plurality of walls, the isolation compartment defined by the plurality of walls, at least one of the plurality of walls of the isolation compartment defining at least one opening wherein the member in the first position permits particles to enter the isolation compartment from the semiconductor process chamber through the opening, and wherein the member in the second position substantially encloses the isolation compartment thereby substantially retaining the particles in the isolation compartment and substantially limiting movement of the particles between the semiconductor process chamber and the isolation compartment through the opening. An ion implant system is also provided.
abstract
A defective fuel bundle location system for use with a heavy water moderated nuclear fission reactor having a fueling machine, including a test tool defining an internal volume, the test tool being configured to be received within both the fueling machine and a corresponding fuel channel of the reactor, and a test container defining an internal volume, wherein the test container is configured to be received within the internal volume of the test tool and the internal volume of the test container is configured to receive primary fluid from the reactor when the test tool is disposed within the corresponding fuel channel of the reactor.
description
This application is a Divisional of co-pending application Ser. No. 10/394,219, filed on Mar. 24, 2003, and for which priority is claimed under 35 U.S.C. § 120; and this application claims priority of Application No. 02 03635 filed in France on Mar. 22, 2002 under 35 U.S.C. § 119. A co-pending application is being filed concurrently herewith under application Ser. No. 11/416,181. The entire contents of all of these applications are hereby fully incorporated by reference. The invention relates to a procedure and means for replacing a section of pipe in the primary circuit of a nuclear reactor cooled by pressurised water and in particular the replacement of a section of a cold leg in the primary circuit. Pressurised water reactors include a primary circuit through which circulates the water cooling the core of the nuclear reactor located within the reactor vessel so as to transfer the heat drawn from the core of the nuclear reactor to the feed water which is heated and vapourised within the steam generators. The primary circuit of a pressurised water reactor includes at least one loop and generally several loops (three or four) in each of which is provided a steam generator and a primary pump which circulates the primary coolant between the reactor vessel and the steam generator. Each of the loops in the nuclear reactor's primary circuit comprises three main large diameter pipes, each of which connect to components of the primary circuit. A first large diameter pipe, or hot leg, is connected to the vessel on the one hand and a primary part of the steam generator on the other at an inlet compartment to the water chamber of the steam generator, and transfers water heated in contact with the core in the reactor vessel to the steam generator. A second pipe, called the cross-over leg, links an outlet compartment from the water chamber of the steam generator to an inlet pipe for the volute of the primary pump. A third large diameter pipe or cold leg provides a link between the outlet pipe from the volute of the primary pump and the reactor vessel. Cooling water cooled in the steam generator and drawn in by the primary pump is delivered to the reactor vessel to cool the core through the cross-over leg, the primary pump and the cold leg. Auxiliary and back-up circuits are associated with the primary circuit to perform particular functions, either during normal operation of the nuclear reactor, or during shutdowns, or again in the course of incidents or accidents. In particular a chemical and volume control circuit (RCV circuit) is connected to the pipes of the primary circuit to control the quantity of water present in the primary circuit, in particular by making periodical make-up injections, and the chemical composition of the reactor cooling water, which contains various additives, by sampling the primary water and re-injecting, into the primary circuit, water containing additives in the requisite quantity to ensure the required composition of the cooling water. Among the back-up circuits associated with the primary circuit, the safety injection circuit (RIS) injects a large flow of pressurised water into the primary circuit if there is a major water loss which cannot be compensated for by the RCV circuit. The auxiliary and back-up circuits associated with the primary circuit comprise pipes having a very much smaller diameter than the diameter of the primary pipes, and these are connected to the pipes of the primary circuit through branch connections. In particular, the RCV circuit, which consists of three inch (76 mm) pipe, is connected to the cold leg by a branch connection in a part of the cold leg located close to the outlet pipe from the volute of the primary pump. Water in the RCV circuit is reinjected into the primary circuit through the cold leg branch connection with the result that the inner surface of the cold leg in this reinjection area is subjected to flows of water at possibly very different temperatures depending upon the operating status of the reactor and the RCV circuit. Some defects have been observed on the inner surface of the cold leg in these cold leg reinjection areas due to the circulation of flows of water at different temperatures, these defects being known by the name of “crazing”. Although these defects only affect a superficial part of the inner surface of the cold legs, for safety reasons it has been felt necessary to sample sections of the cold leg affected by crazing for expert assessment, and for isolated or possibly systematic replacement of cold leg sections in the light of the results of the expert assessments. The sections of cold leg which are sampled have a first end adjacent to the outlet pipe from the volute of the primary pump and a second end providing a connection between two successive welded sections forming the cold leg. A section of this type bounded as described above is approximately 3500 mm long and includes various branch connections in addition to the branch connection for a feed pipe to the RCV circuit, in particular a branch connection to the auxiliary spray line and the spray circuit of the pressuriser which controls pressure in the primary circuit. Conversely the section which has to be replaced does not include the connection to the RIS circuit, the cut opposite the end of the connection to the volute of the pump being made upstream of the connecting pipe to the RIS circuit. Procedures for replacing the components of a primary circuit of a nuclear reactor and in particular procedures for replacing steam generators in which the pipes of the primary circuit are cut and the pipes of a new replacement steam generator are connected to the cut pipes of the primary circuit by welding are known. After the pipes have been cut and the connecting surfaces between the pipes and the replacement steam generator pipes have been machined, the steam generator is placed in position so that the connecting surfaces form bevels between them, through the full thickness of the pipes and branches, into which weld metal is laid on the outside of the pipes and the bevel using a process of the orbital TIG type. Generally narrow bevel welding is performed, that is to say metal is deposited on a bevel of small width, generally less than 15 mm, in which the opposite surfaces form a very small angle, less than 5°, between them. Where such a component replacement is made, the welds are made under conditions which are the same as those used when a steam generator is initially fitted and they do not therefore have any special features which require additional inspection or machining over and above those performed in the operation of incorporating a steam generator into a nuclear power station when under construction. Conditions are completely different when a section of pipe, and in particular a section of a cold leg, is replaced in the primary circuit which is an operation involving restoration of part of the pipe itself. Hitherto no procedure and means have been known to ensure optimum conditions for replacing a section of a primary pipe. The purpose of the invention is therefore to provide a procedure for replacing a section of the primary circuit pipe of a nuclear reactor cooled by pressurised water connecting a first and a second component in the primary circuit of the nuclear reactor in which identification of the cuts which have to be made, cutting of the section at its ends, removal of the section, bevelling of the joining ends of the part remaining after the section of the primary circuit pipe has been cut out, adjustment of the new replacement section for length and bevelling of the joining ends of the new replacement section, and fitting and bevel welding the joining ends of the replacement section to the ends of the remaining parts of the pipe are effected from outside the pipe, this process ensuring perfect quality in the junction zones of the replacement section. With this object machining and inspection of an inner part of the joining ends which are welded together is also carried out within the pipe, by remote control and by remote operation or in a programmed way, by introducing and inserting means for working within the pipe from one of the first and second components of the primary circuit. The invention also relates to a procedure for the repair of a defective section of pipe in the primary circuit of a nuclear reactor cooled by pressurised water connecting a first and a second component of the primary circuit of the nuclear reactor, wherein the work of inspection, machining and filling by welding is also carried out within the pipe, by remote control and remote manipulation or in a programmed way, by introducing and inserting means for working within the pipe from one of the first and second components of the primary circuit. Finally the invention relates to means which make it possible to implement the procedure according to the invention, in particular for carrying out the operations of machining by grinding, inspection and if necessary repair of the junction zones of a replacement section for a primary circuit pipe of a pressurised water reactor. FIG. 1 shows the containment building 1 of a pressurised water reactor housing primary circuit 2 which in the situation illustrated in FIG. 1 is a circuit having three loops, each of the loops having connecting pipes from a steam generator 3 and a primary pump 4 to reactor vessel 5 enclosing the core comprising fuel assemblies. Each of the loops in the primary circuit has a first pipe 6, called the hot leg, which provides a link between the reactor vessel and the inlet to the primary part of steam generator 3, a second pipe 7, or cross-over leg, providing a link between the outlet from the primary part of steam generator 3 and the inlet to volute 9 of primary pump 4, and a third pipe 8, or cold leg, providing a link between the delivery outlet from volute 9 of the primary pump and the reactor vessel. Water cooling the reactor circulates within the vessel in contact with the core assemblies, which causes it to be heated. The heated water is delivered by hot legs such as 6 to the primary part of the steam generator to be distributed to the exchanger tubes of the steam generator bringing about the heating and vapourisation of secondary feed water and cooling of the primary water. The cooled primary water is recovered in the outlet part of a water chamber of the steam generator, and then via cross-over legs 7 in which the cooling water is drawn along by primary pump 4. The cooling water drawn into volute 9 of the primary pump is delivered to cold leg 8 through the delivery pipe of volute 9 to be reintroduced into a part of the vessel which ensures that cooling water is delivered to the core of the nuclear reactor. Above volute 9, in which the pump's impeller is fitted, the primary pump has a drive assembly for the pump impeller comprising an electric motor and means for cooling and insulating the motor. On one of the loops the primary circuit also has a pressuriser 11 which ensures that the pressure and temperature of the cooling water in the primary circuit are maintained. The water in the primary circuit is at a pressure of the order of 155 bars and a temperature of 320° C. while the nuclear reactor is in operation. The reactor building, within safety containment 1, incorporates different means of access to the components of the primary circuit and different handling means such as revolving crane 12 which is revolvingly mounted on a circular beam to the top of the reactor building. FIG. 2 shows in plan view and horizontal cross-section part of the primary circuit comprising the loop in which a section 10 of primary leg 8 has to be replaced, and the concrete bunkers, 13 and 14 respectively, bounded by concrete walls within the reactor building within which steam generator 3 and primary pump 4 in the loop of the primary circuit are housed. Hot leg 6, cross-over leg 7 and cold leg 8 connecting the outlet of the primary pump to reactor vessel 5 are shown in plan. FIG. 2 also shows the pipes of the auxiliary or back-up circuits of the nuclear reactor which are connected to the cold leg at a branch connection or which follow a route passing above the cold leg. At least some of these pipes in the nuclear reactor's auxiliary and back-up circuits will have to be cut in order to allow section 10 of the nuclear reactor's cold leg to be replaced. In the cold leg three branch connections 16a, 16b and 16c which make connections between the pipes of the auxiliary and back-up circuits and cold leg 8 are located at the top of the cold leg in the vicinity of the pipe connecting to volute 9 of the primary pump. Branch connection 16a provides a connection to a three inch diameter line of the chemical and volume control (RCV) circuit of the nuclear reactor. Branch connection 16b provides a connection for a pressuriser spray line of the nuclear reactor having a diameter of four inches and branch connection 16c provides a connection to a two inch diameter line providing a by-pass between cross-over leg 7 and cold leg 8. Branch connection 16a connected to the pipe of the RCV circuit is used to reintroduce water which has been processed in the RCV circuit into the primary circuit. The injection of a flow of water into the cold leg at a temperature which is different from the operating temperature of the primary circuit can give rise to some deterioration, such as crazing of the inner surface of the cold leg in the part close to branch connection 16a, as a result of which it may be necessary to remove a section 10 from the cold leg extending on either side of branch connection 16a for expert examination, the results of which examination may result in the systematic replacement of sections of the cold legs in a set of nuclear reactors. A branch connection 17 of a size substantially larger than branch connections 16a, 16b and 16c is also attached to the cold leg to provide a connection for a pipe of the reactor safety injection circuit which has a diameter of 12 inches (approximately 300 mm). Section 10 which is to be replaced in the cold leg generally lies between the delivery pipe of volute 9 of the primary pump to which the cold leg is connected and a part of the cold leg located slightly upstream from branch connection 17 for the safety injection circuit. The second end part of section 10 is selected in such a way that branch connection 17 for the safety injection circuit lies on a remaining part of the cold leg and cutting and remachining of the connecting end of the remaining part are carried out in a zone in which two parts of the cold leg are connected by welding. In this situation the length of the cold leg which has to be replaced is approximately 3500 mm long, this length making it possible to replace the entire zone of the cold leg which is likely to have suffered damage through crazing of its inner surface. The pipes in the auxiliary circuits of the nuclear reactor which interfere with the procedure for replacing section 10 of the cold leg also include a pipe 18 of the RCV circuit whose path runs over one end of section 10 which is to be replaced. The pipes in the primary circuit and the pipes in the auxiliary and back-up circuits are protected and thermally insulated and in particular are surrounded by lagging. Before any work can be done on the primary circuit and on the pipes of the auxiliary and back-up circuits all the lagging around the cold leg and the auxiliary and back-up pipes mentioned above has to be dismantled in a first stage of the replacement procedure according to the invention. It is also necessary to ensure that the lagging surrounding the primary motor/pump unit comprising the volute and the drive motor of primary pump 4 is dismantled. These operations for starting the replacement procedure according to the invention are carried out after cold shutdown of the nuclear reactor, the primary circuit being filled with cooling water at a temperature which is the ambient temperature of the reactor building. Before carrying out the work on the nuclear reactor according to the invention, the reactor site is provided with all the components which have to be replaced in the auxiliary and back-up circuits, and in particular the seals. All scaffolding necessary for work in bunkers 13 and 14 of the reactor building is also installed. Various operations are also necessary to ensure that the electrical cables and in particular the measurement cables connected to probes or sensors in the primary circuit in the zone being worked on are disconnected. The positions of the various pipes on which work has to be carried out and the volute of the primary pump are surveyed by a topographic survey. FIGS. 3 and 4 illustrate the environment in which work has to be done on cold leg 8 within bunker 14 for primary pump 4. The primary pump has been illustrated only as the shape of volute 9, the drive motor unit and the pump impeller having been dismantled so that the top of primary pump 9 comprises the plane of the horizontal joint 9a of volute 9. For simplification, the cross-over leg connected to the inlet pipe of volute 9 is also not shown. At the top of bunker 14 the reactor building has a concrete wall 21 which will be used for the attachment of handling means for implementing the procedure according to the invention, as illustrated in FIG. 5. A concrete beam 20 of the reactor building which is in a horizontal position is also located above a part of the cold leg, in the vicinity of branch connections 16a and 16b. The part of the cold leg facing the exit from primary pump bunker 14 includes a branch connection 17 providing a connection to injection line 17′ and a second branch connection 19 for a pipe of the safety injection circuit. Various floors or floor gratings are located within space 14 and in particular a platform 22 is provided above the joint plane 9a of volute 9 of the primary pump. As can be seen in FIG. 5, a monorail 24 is secured in a horizontal position above the primary pump through a plate 23, and on this monorail is fitted a hoist 24a which can lift and convey loads of a mass up to 10 tonnes within primary pump room 14. Monorail 24, which is parallel to cold leg 8 and which is located vertically above the cold leg, comprises a cantilevered portion above the exit from primary pump room 14, so that the monorail will allow hoist 24a which is mounted on a carriage to move along beam 24 to the end of beam 24 constituting the monorail vertically above the end of section 10 of cold leg 8 which has to be replaced. Hoist 24a and monorail 24, having a capacity of 10 tonnes, in particular make it possible to handle the section of the cold leg which has to be replaced. After monorail 24 has been fitted, tests are performed to check that it is in satisfactory working order and will bear to the loads which will have to be handled. The auxiliary and back-up lines of the reactor circuit connected to the cold leg or located in the vicinity of the cold leg are then supported and the positions of the cuts which have to be made on these auxiliary and back-up lines are then marked before cutting with an automatic cutting machine. In particular pipe 18 passing over cold leg 8 is cut to permit subsequent operations for the support of cold leg 8. After making cuts in the auxiliary and back-up pipes which are necessary in order to implement the procedure according to the invention, the cut ends are then blanked off to prevent any cooling water from exiting via the cut zones, the primary circuit being still full of cooling water. After making measurements, the replacement pipe sections for the auxiliary and back-up circuits are prefabricated. A dimensional survey is then carried out on the part of the primary circuit on which the work is to be done, and in particular on the cold leg, and the cold leg is supported in the position identified by the topographic survey. FIGS. 2 and 6 show the elements supporting the cold leg which in particular comprise horizontal supporting columns and supporting members 25a, 25b and 25c shown in FIG. 2 which support volute 9 of the primary pump in position. FIG. 6 shows the supporting components for cold leg 8, at the end of section 10 which has to be replaced, comprising a supporting column 26 and supporting members 27a, 27b, 27c and 27d. Supporting members 27a, 27b, 27c and 27d and supporting column 26 are located in a zone of cold leg 8 which is slightly upstream from branch connection 17 and pipe 17′ of the RIS circuit and slightly downstream from the welded zone of these two parts of the cold leg in the vicinity of which one of the cuts in the section which has to be replaced will be made. Supporting members 25a and 25c for volute 9 of the primary pump provide support in the direction of the cold leg and member 25b provides support in a direction at a high inclination in relation to the direction of the cold leg. The supporting members are supported on the concrete walls of primary pump room 14 or on beam 20 which passes beneath the cold leg. Supporting member 25 a which is placed between concrete beam 20 and the connection of cross-over leg 7 of the primary circuit make it possible to move the pump volute in the direction of the cold leg. The components wedging the volute of the primary pump are dismantled and a supporting jack is fitted beneath cold leg 8 bearing on beam 20. A very accurate determination of the cuts which have to be made is then performed, for example using a highly accurate metrology tool. The locations of the cuts which have to be made in order to separate the section 10 of cold leg 8 which has to be replaced are illustrated in FIG. 3. A first cut 28a has to be made in the weld zone between the two sections making up the cold leg and a second cut 28b has to be made in the connecting plane between cold leg 8 and the delivery pipe of volute 9 of the primary pump. The cutting planes are marked on the cold leg, in an orientation at right angles to axis 8′ of cold leg 8. A new replacement section of cold leg 8 of the primary circuit approximately 350 mm long, fitted with branch connections similar to branch connections 16a, 16b and 16c in the section of cold branch 8 which has to be replaced and a temperature sensor boss 16d having a diameter of 1 inch located between branch connections 16b and 16c, is then provided. Branch connection 16a is constructed by forging the wall of replacement section 10 for cold leg 8 and branch connections 16b and 16c and boss 16d are positioned on and welded to the new replacement section 10 of cold leg 8. An orbital cutting machine of the standard type is used to make the cuts on the cold leg. This standard cutting machine is normally used to connect pipes of the primary circuit with narrow bevel welding, this cutting machine being generally called a mobile narrow bevel machining unit. All the pipes or ducting which might impede the work of cutting out and removing the section of the cold leg which has to be replaced are then cut and removed, and then the primary circuit is drained. The work of cutting the section of the cold leg which has to be replaced is carried out with the supporting components for the pump volute and the cold leg in place. The cutting machine of the standard type is placed on the primary leg in the cutting plane 28a, on the side of the weld between the two sections of cold leg 8. As illustrated in FIG. 7, various operations are performed on the top of volute 9 of the primary pump at the level of joint plane 9a. First of all a survey is performed to check that the joint plane of pump volute 9 is horizontal, then an interface ring 29 is fitted to protect the plane of the volute joint and to provide further support for the components required when implementing the procedure according to the invention. A cover 30 which is of one piece with a water-filled container 30′ designed to provide biological protection for the personnel working above the top opening of volute 9 of the primary pump is fitted onto interface ring 29. As can be seen in FIG. 8, a transfer surface 31 incorporating a horizontal frame which provides for the movement of loadbearing carriages is fitted above the pump volute on interface ring 29. A container which is designed to collect radioactive material originating from the cuts made in the various pipes and ducts is also fitted on transfer surface 31. A topographic survey of all the pipes in the auxiliary and back-up circuits which have not yet been cut is then performed and the cuts which are to be made are accurately marked out. The cuts are made and the cut sections, which can be placed in the container, are removed. The cutting lines on the pipes in the auxiliary and back-up circuits are established on the basis of the parts of these pipes which will be recovered and the parts which will actually be replaced. After the cuts have been made in the auxiliary and stand-by circuit pipes they are blanked off and then the pipe ends to which the replacement sections will be connected by welding are bevelled. A platform 22 is fitted above the volute of the primary pump and section 10 of the cold leg which has to be replaced is then cut along cutting plane 28a on the side of the weld of the cold leg sections. Containment is provided for the cut zone of the cold leg and supporting members are welded to the remaining part of the cold leg on the side of reactor vessel 5. The cutting machine is mounted on cutting plane 28b on the side of volute 9 of the primary pump and the second cut is made in section 10 which has to be replaced. Containment is also provided for the second cutting zone. Hoist 24a of monorail 24 is located above section 10, which is slung onto the lifting cable of the hoist. A storage container for section 10 of the primary leg which has to be replaced is then brought into the reactor building and the container is set down on transfer surface 31 resting on the volute of the primary pump. The storage container is moved laterally to bring it into line with the axis 8′ of cold leg 8, vertically above section 10 which has to be replaced. Section 10 which has been cut out is lifted using hoist 24a of monorail 24 and cut section 10 is moved laterally above transfer surface 31. Section 10 of the cold leg is placed in the storage container resting on transfer surface 31. The ends of section 10 cut from the cold leg can be blanked off to provide biological protection and temporary containment and lead sheeting providing biological protection can be placed on the section to confine the section within the storage container. The container is moved laterally on the transfer surface by a distance of approximately 400 mm and the lid of the container is fitted, closed and locked. The section confined in the container is removed from the nuclear reactor using polar crane 12. The supporting jack for the section located on concrete beam 20 is removed. The worn-out section is removed from the reactor building and the cutting machines are also removed from the spaces in the reactor building. The remaining parts of the cold leg on the vessel side and on the primary pump piping side can be temporarily blanked off. The ends of the remaining part of the cold leg on the vessel side and the primary pump delivery pipe side are then decontaminated, together with the inner surface of the volute. For this purpose temporary blanking off means are fitted to the remaining part of the cold leg on the reactor vessel side and the pipes of the volute. Decontamination containment is then placed around the components which have to be decontaminated and a chemical etching solution is caused to circulate in contact with the surfaces requiring decontamination. After decontamination the decontamination containment is dismantled together with the temporary blanking off means for the cold leg and the volute and, as shown in FIG. 9, a protective basket 32 is fitted within the volute in the suction pipe of the primary pump. A final operation to decontaminate the inner surface of the volute is then performed by hand. After all the equipment required for decontamination has been dismantled and removed a pipe 33 providing biological protection and mechanical protection for the surfaces of the volute is fitted into the inner part of diffuser 34 of the primary pump to form an access opening through the top of the volute of the vertical axis pump. Vinyl containment 35 is also placed around diffuser 34 to ensure its containment. Dose monitoring is performed to determine whether it is possible to gain access to the site for further operations. The ends of the remaining parts of the cold leg are inspected by radiography. Any repairs are made by filling if there are any defects or lack of thickness in the parts. A machine for bevelling pipe ends is then located on the end of the remaining part of the cold leg on the vessel side and a bevel is made on this end, which will form one of the two narrow bevel surfaces for welding the end of the replacement section. A check is made to ensure that the original weld between the two sections of the cold leg where the cut was made along cutting plane 28a has been completely removed. A bevel is then made on the delivery pipe of the primary pump volute and a dimensional check is then made on the two bevels using penetrating dye. After the bevelling machines (BM) have been removed, means to isolate the connecting ends of the remaining parts of the cold leg are fitted on the vessel side and the primary pump volute side. It is then a question of fitting and welding a new section of the primary leg, similar to section 10 which has been cut out and removed, into position and welding it to the ends of the remaining parts of the primary leg. As indicated above, the new section incorporates branch connections for the auxiliary and back-up circuit pipes connected to the section of the cold leg and is of excess length in comparison with the section which has to be replaced. Reference and measurement operations are first of all performed. A target-holding jig is located on each of the machined bevels of the remaining parts of the cold leg, on the vessel side and the volute side. A topographical survey of the relative initial positions of the two bevels is performed. The volute of the primary pump is moved to a theoretical abutting position, taking into account the predetermined welding shrinkage for each of the welds. A second topographical survey of the relative positions of the bevels is performed. Two supporting and guide rings are then fitted onto the ends of the remaining parts of the cold leg and a topographical survey is made of the new replacement section. To begin with the new replacement section is adjusted for length on the basis of the topographical survey of the relative positions of the bevels on the remaining parts of the cold leg. Bevels are machined at the ends of the new replacement section so that the bevelled surfaces made form two narrow connecting bevels for replacement section 10 with the bevelled surfaces of the ends of the remaining parts of the cold leg when the replacement section is fitted into place. After the end bevels of the replacement section have been machined, the new replacement section which is equipped with a supporting and guide ring at each end is placed in position. The volute of the pump is placed in the abutting position using the supporting device described above. Before being fitted into place the new replacement section is equipped with internal means to provide an argon chamber for welding. As can be seen in FIGS. 10A and 10B, two supporting struts 36 which are held in place by a plate 36′ fixed to beam 20 (see in particular FIG. 11) are positioned beneath the zone where the new replacement section of the cold leg will be received close to concrete beam 20. The rest of the primary leg is supported by supporting strut 26 which was first placed in position together with supporting means 27. As can be seen in FIG. 10A, the new replacement section 10 is lowered into the reactor building from an entry airlock into the reactor building by the rotating crane as shown by arrow 39a, until it rests on a docking surface 38 set down on transfer surface 31. The docking surface is then moved laterally (arrow 39b) to place new replacement section 10 in axial alignment with the remaining parts of primary leg 8. The new replacement section is of one piece with a cradle of docking surface 38. The unit comprising the new replacement length, the cradle and docking surface is picked up using hoist 24a to set it down on struts 36 and beam 20 as shown in FIGS. 10B and 11. The corresponding movements are shown by arrows 39c and 39d in FIG. 10A. Volute 9 of the primary pump is moved by a maximum amount established by calculation to ensure that the new replacement section can be inserted. The connecting ends of the new replacement section and the ends of the remaining parts of the cold leg are accurately offered up to each other. The new replacement section of the cold leg which, as shown in FIG. 11, includes supporting and guide rings 37a and 37b respectively at each end is adjusted and secured in position. The means supporting pump volute 9 are released for adjustment and final positioning. Replacement section 10 is placed and secured in position as shown in FIG. 11. The ends of replacement section 10 are then welded to the ends of the cold leg which have remained in situ using a narrow bevel orbital TIG (NBOT) welding procedure. As shown in FIG. 11, the NBOT welding machine is positioned and adjusted on each of supporting and guide rings 37a, 37b at the ends of replacement section 10. The two ends of the replacement section are then welded to a thickness corresponding to a fraction of the total thickness of the primary pipe forming the cold leg, by partial filling of the narrow bevels with weld metal, the welded thickness being for example from 15 mm to 25 mm. Shrinkage at the joints is monitored by moving volute 9 with the help of the supporting means throughout the welding operation. After the new replacement section has been partly welded at its ends, topographic surveys of the positions of the bevels, the branch pipes and unconnected pipes of the auxiliary and back-up circuits of the nuclear reactor are performed so that the replacement pipes can be adjusted for length. Any means which may be within the cold leg on the primary pump side are dismantled to leave space available for inserting a machine for carrying out work within the cold leg. In fact, as indicated above, in order to obtain a perfect condition of the internal surface of the primary leg at the welds connecting the replacement section it is necessary to perform a grinding machining operation on the inner part of the joint welds, within the primary leg, using means whose movements and positioning are remote controlled and which are preprogrammed to carry out a grinding operation on the inner part of the joining welds. FIGS. 12 and 13 show the components located above or within the volute which make it possible to insert and move means for working within the cold leg of the primary circuit in which the new replacement section has been partly welded. FIGS. 12 and 13 show volute 9 the primary pump into which means 40 for carrying out work are inserted into the delivery pipe 9b of volute 9 to which the replacement section (not shown) is connected by a partial weld. As indicated above, a protective pipe 33 which rests on interface ring 29 at the top of volute 9 has been fitted within diffuser 34 of the pump. A protective basket 32 has also been fitted in the suction pipe of the volute. Transfer surface 31 rests on interface ring 29 and permits movement in a horizontal direction by a lift 41 carried by a moving table 42 moving in a direction in the horizontal plane parallel to the cold leg. A gangway 43 to receive means 40 for carrying out work has also been fitted within the volute so as to extend the lower surface of the inlet part of pipe 9b communicating with the cold leg. Means 40 for carrying out work supported by a supporting plate of lift 41 is positioned on gangway 43 at the inlet to pipe 9b by vertical movements of lift 41 and horizontal movements of table 42, as shown by double arrows 44a and 44b. FIG. 14A shows means 40 for carrying out work which includes three main parts, a programmed and remote controlled working robot 45, a crawler 46 (shown separately in FIG. 14B) and a support 47. As can be seen in FIG. 14B, crawler 46 is constructed in such a way that the means for carrying out work can be moved within a pipe. Means 46 incorporates two sets of wheels 46a and 46B whose spacing and inclination with respect to a median plane of crawler 46 can be adjusted so as to adjust the crawler to pipes of different inside diameter. The crawler has a platform 48 which is designed to receive support 47 onto which robot 45 is secured. Each of the sets of wheels 46a and 46b of crawler 46 include an independent drive motor 49 mounted on the axis of one of the wheels in the sets of wheels. Motor 49 is remote controlled to move the crawler and the means for carrying out work within the pipe in which the work is carried out. Each of the sets of wheels may comprise two pulleys rotatably mounted on the mechanical structure of the set of wheels and a belt of toroidal shape passing over the two pulleys. Each of the wheels in the sets of wheels may also constitute an independent wheel incorporating a toroidal tire. Support 47 comprises a structure 47a in the form of a plate which can be placed and secured on plate 48 of crawler 46. Two shoes 47b associated with jacks acting in a direction to extract or retract the shoes and a locking shoe 47c associated with a jack which can be activated in a direction parallel to and in a direction opposite to the direction of action of shoes 47b are mounted on the structure 47a of support 47. When crawler 46 reaches the area where work has to be carried out, movement of the crawler being remote controlled, the crawler is stopped and the jacks of the supporting device are activated so as to place means 40 for carrying out work in a fixed position within the pipe, supporting shoes 47b bearing against part of the pipe, for example a lower part, and locking shoe 47c bearing against an opposite part of the pipe, for example an upper part. Structure 47a of supporting means 47 comprises rapid connection means for robot 45 which may for example comprise dovetailed means of assembly. The structure of the supporting means which is of one piece with plate 48 of the crawler also supports a tool magazine from which robot 45 can successively choose the working tools necessary, for example in the case of an operation which requires several successive stages using different tools. Robot 45 is an arm of the anthropomorphic type having six axes of motor-driven rotational movement, the arm incorporating an end part 45a bearing a rapid attachment device for tools of the automatic type which can be operated remotely to ensure that a tool is picked up or replaced in the course of a complex operation within the pipe. Such a robot arm of a standard type has for example been described in French patent 2,780,907 filed by the FRAMATOME company. As indicated above, before carrying out work within the pipe, for example an operation of machining the inner part of the welds joining the replacement section of the cold leg, it is necessary to insert the means for carrying out work into the entrance to the pipe and then to control its movement within the pipe to the area where the work has to be done and finally to secure it in its working position through the shoes of the supporting device. When work is carried out within the cold leg of the primary circuit it is possible, as indicated above, to insert means 40 through the volute 9 of the primary pump. However, in the case of nuclear reactors having a power of 900 MWe, because the pump diffuser is kept in place, the means for carrying out work cannot be lowered and located at the entry to the pipe connecting the volute to the cold leg in a single piece. In this case, initially robot 45 is located on support or gangway 43 at the entry to the pipe and then the carriage and the support are lowered into the volute. An operator then descends within the volute and ensures that robot 45 and support 47 are coupled and that the assembly is located at the entrance to pipe 9b of the volute. Robot 45 is lowered on the plate of lift 41 borne by a carriage which permits it to move as far as gangway 43. Coupling of robot 45 to support 47 is very quick, so that the work by the operator within the volute only requires a very short time of less than one minute. The assembled means 40 for carrying out work in position at the entrance to the delivery pipe of the primary pump is remote controlled to move it within the cold leg. In order to do this means 40 is connected to a set of cables and conduits which provide a power supply to independent drive motors 49, the jacks of the support, the rotational movement motors of the components making up the robot arm and the tools fixed to the end 45a of robot arm 45. The fact that drive motors 49 for wheel sets 46a and 46b of crawler 46 are independent makes it possible to move the crawler along a route which is not necessarily straight. When means 40 for carrying out work moved within the pipe by crawler 46 reaches the area where work has to be done, crawler 46 is caused to stop by remote control and shoes 47b and 47c of supporting device 47 are placed in a supporting position. Means 40 for carrying out work is thus positioned within the pipe in an area where work is required. It would be possible to position means 40 within the cold leg in a single piece, by introducing the means comprising the robot arm, the support and the crawler assembled together in an open end of the cold leg within the nuclear reactor vessel. Means 40 could be lowered into the vessel by a lift similar to lift 41 and placed on an access gangway at the entrance to the pipe in the vessel which connects to the cold leg. In any event means 40 for carrying out work is positioned in such a way that an operation to machine the inside part of the junction welds for the replacement section of the cold leg can be carried out. The junction welds are machined and inspected on the pump volute side and the vessel side one after the other. FIG. 15 shows part of the joint in the primary pipe in which means 40 for carrying out work has been positioned and secured in place as described previously. So that means 40 for carrying out work can be seen within primary leg 8 only a very short section of the remaining part of the cold leg to which replacement section 10 has been fixed by welding as described previously is shown. Supporting shoes 47b are in the low position and bear against the lower part of the inner surface of the primary leg, while a locking shoe 47c is extended to bear against the upper part of the inner surface of the cold leg. In this way means 40 for carrying out work is perfectly supported in a working position within the cold leg. A tool 50 comprising a grinding milling cutter and motor drive is secured to the end 45a of the robot arm which makes it possible to orient the milling cutter of tool 50 and place it in a position from which the inner surface of junction weld 51 between the replacement section on one of the remaining parts of the cold leg can be ground. In order to carry out grinding the tool is located and at all times held in a machining position by the robot arm which is caused to rotate by a half-turn about an axis in line with axis 8′ of cold leg 8 to grind a half-circumferential part of weld 51. Grinding is carried out in successive passes by causing the tool to rotate about axis 8′ of cold leg 8 in a single direction, the tool being returned to its initial position by the robot arm through high speed rotation at the end of each grinding pass. The operations of positioning the means for carrying out work and machining by grinding are continuously monitored on a screen located outside the working area on which images of the working area provided by a video camera associated with tool 50 carried by the robot arm are displayed. Initially the inner part of the partial welds on the replacement length of the primary pipe is therefore ground, these welds having been previously made by filling an inner part of the bevel made between the replacement section and the end of a remaining part of the primary pipe. Grinding of the inside part of the weld connecting the replacement section of the primary pipe is carried out in a programmed way, the various successive stages in grinding being performed automatically one after the other. After the operation of grinding the internal surface of a weld, the shape of the ground surface is inspected using a measuring tool which is taken up by the robot arm on the support after the grinding operation has been completed. This operation can also be performed in a programmed and automatic way. The weld area of the inner surface of the cold leg is then cleaned after grinding followed by inspection of the ground and cleaned inner surface of the weld using penetrating dye. In order to do this robot arm 45 is controlled so that its end part 45a is equipped with the tools used in succession to carry out the successive operations necessary to perform the inspection using penetrating dye. These successive operations comprise applying a penetrating dye to the area under inspection, removing the surplus dye by wiping, drying the area inspected, remote visual inspection of the inspected area to check that any surplus dye has been removed, applying a developer to the inspected area and remote visual inspection of the inspected area to determine whether any defects are present, the remote visual inspections being carried out by cameras associated with the tools used for the penetrating dye check and picked up in succession by end 45a of robot arm 45. The images displayed by the camera are displayed on a television screen in an inspection area at a distance from the area where the work is performed. Radiographic inspection of the partial welds of the replacement section in the cold leg is also performed before completing filling of the weld bevels of the two welded joints for the replacement section. Internal cleaning of the welds is then performed using the remote-controlled and preprogrammed means 40 for carrying out work within the primary pipe. The whole of the inside of the cold leg is also cleaned. Then the interior of the weld zones is inspected using the remote controlled and preprogrammed means for working within the primary pipe in the same way as when inspecting these weld zones after partial welding. After the welding means and their supports on the outside of the primary pipe have been dismantled, external grinding of the welds and then inspection of the welded zones using penetrating dye is performed on the outside of the primary pipe, it being possible for this inspection to be performed manually. Finally a radiographic inspection of the completed welds is performed. The operations carried out within the primary pipe for correcting the inner parts of the welds by grinding and the inspections and finishing operations on the inner part of the partial welds make is possible to ensure that the inner parts of these welds are of perfect quality and that there is a complete absence of defects on the surface which comes into contact with the primary water in the course of the operation of the nuclear reactor. Furthermore, inspection of the inner parts of the welds carried out by the tool makes it possible to check that no defect has been introduced as a result of the final welding operation. After the welds of the replacement section have been made and inspected, the tools used, such as the tools to support the cold leg and the positioning cradle and the struts supporting the replacement section, are removed. The lengths of the nuclear reactor auxiliary and back-up lines which had to be cut in order to fit and fix the replacement section of the cold leg are then replaced or repositioned. After all the auxiliary lines have been restored, various operations to dismantle and remove the components required for the work which have remained in position, such as the biological shield placed in the primary pipe on the vessel side and the transfer frame mounted on top of the pump volute, are carried out. The components inserted into the pump volute, such as the protective basket and the vinyl film protecting the diffuser, are also dismantled and removed. A remote visual examination of the interior of the primary pump volute is performed to check that the system is clean and then the pipe protecting the diffuser of the primary pump and the interface ring are dismantled before refitting the water guide within the volute. The joint plane of the volute is checked to ensure it is horizontal and the joint plane is cleaned before refitting the pump impeller and the pump drive motor. The final reassembly operations on the auxiliary and back-up circuit lines are performed before ensuring that the primary pump is reassembled. The primary circuit can then be placed back in service. The procedure according to the invention therefore makes it possible to replace a section of a cold leg in a nuclear reactor ensuring that the connecting welds for the replacement section are perfectly made. These results are achieved in particular using means for carrying out work which is inserted into the primary pipe to carry out machining and inspection operations. This means which can be inserted into the primary pipe via a component such as the primary pump or the reactor vessel can be controlled wholly automatically by remote control, in particular for positioning the means in the working position within the primary pipe. The operations performed in the working area are generally carried out in a programmed and automatic way following a predetermined or remotely operated sequence. In the case of remote operation using a robot such as the robot arm described above, the latter accurately locates its connecting support to the structure of the supporting means in space in an initial stage using a position sensor fixed to the end part 45a of the arm. The invention is not restricted to the embodiment which has been described. Thus the means for carrying out work can be used to carry out operations other than grinding and inspection of the inner part of the welds of the replacement section for the primary pipe. The means for carrying out work may be constructed in a manner other than that described and may incorporate any means for carrying out work, remote control or programming to carry out any machining or inspection work on the inner parts of the welds of the replacement section. The means for carrying out work may also perform other operations such as the filling of defective zones within the primary pipe after machining. In this case, instead of replacing a defective section of the primary pipe, a defective section of the primary pipe which incorporates defective areas on its internal surface can be repaired. After the defective areas have been identified through an inspection operation, the defective areas are machined and filled by welding, followed by final inspection. In order to perform these operations within the primary pipe the means for carrying out work described above is used, and this is used in the same way as in the case of machining, inspecting and finishing the welds for a replacement section. In general, the procedure and the means according to the invention may be used not only to effect the replacement of a section of a cold leg but may also be used to effect the replacement of a section of any leg in the primary circuit of the nuclear reactor. In the case of a leg which incorporates curved parts, like the cross-over leg, it is possible to use the means for carrying out work described, inasmuch as the crawler which incorporates two sets of independent motor-driven wheels can be moved within the curved parts of the pipe. The invention applies to any nuclear reactor incorporating pipes of large dimensions used for the circulation of a liquid cooling the reactor core.
summary
047088451
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIGS. 1 to 3, there is shown a nuclear fuel assembly, generally designated 10 for a boiling water nuclear power reactor (BWR), in which the improvement of the present invention is incorporated. The fuel assembly 10 includes an elongated outer tubular flow channel 12 that extends along substantially the entire length of the fuel assembly 10 and interconnects an upper support fixture or top nozzle 14 with a lower base or bottom nozzle 16. The bottom nozzle 16 which serves as an inlet for coolant flow into the outer channel 12 of the fuel assembly 10 includes a plurality of legs 18 for guiding the bottom nozzle 16 and the fuel assembly 10 into a reactor core support plate (not shown) or into fuel storage racks, for example in a spent fuel pool. The outer flow channel 12 generally of rectangular cross-section is made up of four interconnected vertical walls 20 each being displaced about ninety degrees one from the next. Formed in a spaced apart relationship in, and extending in a vertical row at a central location along, the inner surface of each wall 20 of the outer flow channel 12, is a plurality of structural ribs 22. The outer flow channel 12, and thus the ribs 22 formed therein, are preferably formed from a metal material, such as an alloy of zirconium, commonly referred to as Zircaloy. Above the upper ends of the structural ribs 22, a plurality of upwardly-extending attachment studs 24 fixed on the walls 20 of the outer flow channel 12 are used to interconnect the top nozzle 14 to the channel 12. For improving neutron moderation and economy, a hollow water cross, as seen in FIGS. 1, 2 and 4 and generally designated 26, extends axially through the outer channel 12 so as to provide an open inner channel 28 for subcooled moderator flow through the fuel assembly 10 and to divide the fuel assembly into four, separate, elongated compartments 30. The water cross 26 has a plurality of four radial panels 32 composed by a plurality of four, elongated, generally L-shaped, metal angles or sheet members 34 that extend generally along the entire length of the channel 12. The sheet members 34 of each panel 32 are interconnected and spaced apart by a series of elements in the form of dimples 36 formed therein and extending therebetween. The dimples 36 are provided in opposing pairs that contact each other along the lengths of the sheet members 34 to maintain the facing portions of the members in a proper spaced-apart relationship. The pairs of contacting dimples 36 are connected together such as by welding to ensure that the spacing between the sheet members 34 forming the panels 32 of the central water cross 26 is accurately maintained. The hollow water cross 26 is mounted to the angularly-displaced walls 20 of the outer channel 12. Preferably, the outer, elongated lateral ends of the panels 32 of the water cross 26 are connected such as by welding to the structural ribs 22 along the lengths thereof in order to securely retain the water cross 26 in its desired central position within the fuel assembly 10. Further, the inner ends of the panels together with the outer ends thereof define the inner central cruciform channel 28 which extends the axial length of the hollow water cross 26. Also, the water cross 26 has a lower flow inlet end 38 and an opposite upper flow outlet end 39 which each communicate with the inner channel 28 for providing subcoolant flow therethrough. Disposed within the channel 12 is a bundle of fuel rods 40 which, in the illustrated embodiment, number sixty-four and form an 8.times.8 array. The fuel rod bundle is, in turn, separated into four mini-bundles thereof by the water cross 26. The fuel rods 40 of each mini-bundle, such being sixteen in number in a 4.times.4 array, extend in laterally spaced apart relationship between an upper tie plate 42 and a lower tie plate 44. The fuel rods in each mini-bundle are connected to the upper and lower tie plates 42,44 and together therewith comprise a separate fuel rod subassembly 46 within each of the compartments 30 of the channel 12. A plurality of grids or spacers 48 axially spaced along the fuel rods 40 of each fuel rod subassembly 46 are composed of interleaved inner straps 49 and an outer strap 51 which maintain the fuel rods in their laterally spaced relationships. The lower and upper tie plates 42,44 of the respective fuel rod subasselmblies 46 have flow openings 50 defined therethrough for allowing the flow of the coolant fluid into and from the separate fuel rod subassemblies. Also, coolant flow paths provide flow communication between the fuel rod subassemblies 46 in the respective separate compartments 30 of the fuel assembly 10 through a plurality of openings 52 formed between each of the structural ribs 22 along the lengths thereof. Coolant flow through the openings 52 serves to equalize the hydraulic pressure between the four separate compartments 30, thereby minimizing the possibility of thermal hydrodynamic instability between the separate fuel rod subassemblies 46. The above-described basic components of the BWR fuel assembly 10 are known in the prior art, being disclosed particularly in the Doshi application cross-referenced above, and have been discussed in sufficient detail herein to enable one skilled in the art to understand the improved feature of the present invention presented hereinafter. For a more detailed description of the construction of the BWR fuel assembly, attention is directed to both of the above cross-referenced Barry et al and Doshi patent applications. Spacer and Fuel Bundle Modifications of Present Invention The improvements of the present invention relate to modifications made in the spacer and fuel bundle design described above which significantly improve the CHF characteristics of the BWR fuel assembly 10. The mechanical design modifications provided by the present invention for improved cooling performance are the following two. First, fuel rods 40a are provided at the corners of the spacers 48, as seen in FIGS. 4 to 7, which have a diameter less than that of the remaining interior and side fuel rods 40b,40c. The reduction in the diametric size of the corner fuel rods 40a requires an increase in the distance through which the protrusions formed on the inner and outer straps 49,51 of the spacer, e.g. the spacer dimples 54a and springs 56a, extend into the corner spacer cells 58a as compared to the extension of the remaining dimples 54b and springs 56b into the interior and side spacer cells 58b,58c. Such reduction in the diametric size of the corner fuel rods 40a results in an increase in the flow area per rod (i.e., equal to that for side and interior locations) for the four corner locations. An optimized diameter for the corner rod fuel rods 40a would result in providing a flow area per rod equal to the interior spacer cells 58b. In general, this is obtained from the following formula: EQU A.sub.I =A.sub.c.sbsb.1 +.pi./4(d.sub.c.sbsb.1.sup.2 -d.sub.c.sbsb.2.sup.2) or EQU d.sub.c.sbsb.2 =4/.pi.(A.sub.c.sbsb.1 -A.sub.I +.pi./4(d.sub.c.sbsb.1.sup.2))].sup.1/2 where, d.sub.c.sbsb.1 =corner rod diameter in present design PA1 d.sub.c.sbsb.2 =reduced corner diameter in proposed design PA1 A.sub.I =Flow Area per rod in interior spacer locations A.sub.c.sbsb.1 =Flow Area per rod for corner rod in present design Substituting the dimension values of a given spacer and rod design into the above formula, a reduced rod diameter of 0.431 inch for the corner rods were obtained, which is smaller than the diameter of 0.458 inch for the other non-corner rods. Second, openings or perforations 60 are made in the outer strap 51 of each spacer 48 at the corner and side spacer cells 58a,58c, as seen in FIG. 7. These perforations 60 reduce the amount of wall area of the spacer sides 62 adjacent to the corner and side fuel rods 40a,40c and increases access of coolant to these spacer locations. To summarize, the above-described first and second modifications respectively increase the flow area around the heated fuel rod and decrease the amount of unheated wall surface area adjacent to the heated fuel rod. Thus, correspondingly, coolant flow is increased in the areas of the spacer, the corners thereof, at which coolant normally encounters the highest resistance and pressure drop, and the clinging of coolant onto the spacer wall which lessens the amount of coolant provided to the heated fuel rod surface is reduced. While the first and second modifications ordinarily improve the CHF characteristics of the fuel assembly 10, a third modification of the present invention is desirable from a strategic standpoint. This is due to the fact that the mechanical design modifications outlined above can only reduce the detrimental impact of limiting spacer locations, but not eliminate them. Thus, a good nuclear design for the fuel bundle to avoid excessive rod peaking in the limiting locations becomes essential. In the third modification, the nuclear design of the fuel bundle (i.e., enrichment, burnable poison distribution, etc.) is changed in a manner which assures that rod peaking does not occur in the corner/limiting locations. The third modification is directed to the use of a uniform poison, such as boron, in the form of coatings on the inside of the majority of the fuel rods 40. More particularly, each of the fuel rods 40 includes an outer cladding tube 62 having an inner clad surface 64 and a plurality of fuel pellets 66 contained within the tube. As seen in FIG. 6, the uniform poison coating 68 is applied to either the outer surface of the fuel pellets 66 or to the inner clad surface 64 of each of the tubes 62 of the fuel rods 40 in the majority thereof. Typically, the cladding tube 62 in a BWR fuel assembly is composed of Zircaloy-2, whereas, in a PWR fuel assembly, the cladding tube 62 is composed of Zircaloy-4. It is anticipated that the technique for applying the boron coating on the inside of clad tube 62, or clad surface 64, would be accomplished in the same manner as applying the coating to the outside surface of the pellets. Basically, zirc di-boride is sputtered onto the pellets by hitting a zirc di-boride target in a vacuum chamber wherein the boron is sputtered onto the surface of the pellet. It should suffice to say that the boron coating technology is known by those skilled in the art and is not a part of this invention. A practical boron coating thickness would range from 0.0005 inch to 0.0015 inch, even 0.002 inch may be practical. A 0.0005 inch thick boron coating represents a 1.9 mg/inch of rod length B-10 poison loading on the pellet. Example Preliminary lattice transport theory calculations, using the PHOENIX code, were carried out to determine whether a fuel assembly can be neutronically designed in such a manner as to always assure that both the corner and side rods 40a,40c have pin powers below the lead rod in the bundle. The PHOENIX code is a two-dimensional X-4 transport theory code developed by ASEA-ATOM and licensed in the United States by the NRC to perform single or four-bundle physics calculations. These calculations include the calculation of the pin-wise power distribution, depletion of the fuel pins and burnable absorber pins and the generation of cross-sections homogenized over the assembly. The reference bundle design chosen as the starting point was a QUAD+ BWR bundle design for a C lattice (equal outer water gaps) plant with 2.912 w/o U-235 bundle-average enrichment and eight gadolinia rods of 3.2 w/o Gd203. The enrichment pattern and the pin-wise power distribution verses exposure are shown in Table IV. The bundle reactivity (k-inf) is tabulated verses exposure in Table I. The bundle reactivities and pin power distribution correspond to a 50% void depletion. A change to the U-235 enrichment pattern was made to assure that the four corner rods in each of the four QUAD+ mini-bundles comprising the assembly would never lead the bundle in pin power. The bundle average enrichment of 2.912 w/o U-235 was maintained. The gadolinium pattern and loading remained unchanged. The revised U-235 enrichment pattern is shown in Table V along with the pin-wise power distribution at various exposures. Table II compares the maximum pin powers for the lead pin in the bundle verses the highest-powered corner pin. The corner pins stay substantially below the lead pin in the bundle. In the 7 22 GWD/MTU burnup range where the bundle will be critical power ratio limited, the maximum corner pin power is 12-14% below the lead pin in the bundle. The corner pins never lead the bundle in pin power at any burnup as demonstrated in Table II. Thus, it is clearly demonstrated that if a fairly homogeneous bundle absorber is used and applied to the majority of the fuel rods, then it is possible to develop a U-235 enrichment pattern that always assures that neither side nor corner pins lead the bundle in pin power. Such a U-235 enrichment pattern corresponding to rod locations shown in FIG. 8, along with pin-wise power distribution in the bundle at various exposures, is given in Table II. Should the corner rods be found limiting from a critical power ratio viewpoint as a result of the spacer design, a bundle enrichment design can be developed that decreases the corner rod powers and correspondingly increases the pin powers of the remaining rods so as to balance out the critical power ratio performance of all the rods. Table I compares the bundle reactivity of this reduced corner rod power case against the reference case. In the 22-26 GWD/MTU exposure range, typical of the end-of-cycle core average exposure for reload cycles, Table I shows that there is only a 30-60 pcm difference in reactivity which is a trivial difference. Table III compares the maximum pin power of any side or corner rod verses the lead pin and confirms that neither the corner nor side fuel rods are ever the peak rod in the bundle until 38-42 GWD/MTU. Typically, the maximum corner/side rod is three to four percent lower in pin-power than the lead rod. This is estimated to be possible only with a uniform poison design, such as mentioned above. The design shown in FIG. 8 and Table VI maintains the corner/side rods at least three to four percent in rod power below the lead (interior) rod in the bundle. This difference in side/corner verses interior rod power can be adjusted through proper selection of the U-235 enrichment pattern to balance out the critical power performance of all the fuel rods. TABLE I ______________________________________ COMPARISON OF BUNDLE K-inf Difference Burnup (Reference-Corner Rod) (MWD/MTU) Case ______________________________________ 0 +622 pcm 2000 +255 4000 +61 6000 +134 8000 +176 10000 +146 14000 +118 18000 +90 22000 +62 26000 +34 30000 +6 34000 -25 38000 -43 42000 -58 ______________________________________ TABLE II ______________________________________ COMPARISON OF ROD-WISE LOCAL PEAKING FACTORS-CASE WITH CORNER RODS NEVER LIMITING FOR PIN POWER Burnup Peak RodPower ForRelative Peak Corner ##STR1## (MWD/MIU) In Bundle Rod Power 100% ______________________________________ 0 (no Xenon) 1.135 1.032 9.1% 0 (Equil. Xenon) 1.133 1.034 8.7% 500 1.126 1.032 8.3% 1000 1.116 1.028 7.9% 2000 1.097 1.014 7.6% 3000 1.081 0.996 7.9% 4000 1.067 0.979 8.2% 5000 1.056 0.962 8.9% 6000 1.047 0.949 9.4% 7000 1.068 0.941 11.9% 8000 1.087 0.938 13.7% 9000 1.093 0.938 14.2% 10000 1.094 0.939 14.2% 14000 1.089 0.943 13.4% 18000 1.083 0.946 12.7% 22000 1.076 0.950 11.7% 26000 1.067 0.955 10.5% 30000 1.056 0.963 8.8% 34000 1.050 0.974 7.2% 38000 1.041 0.984 5.5% 42000 1.028 1.000 2.7% ______________________________________ TABLE III __________________________________________________________________________ COMPARISON OF ROD-WISE LOCAL PEAKING FACTORS BOTH CORNER AND SIDE RODS NEVER LIMITING FOR PIN POWER Relative Power Max. Pin Power Difference (MWD/MIU)Burnup In BundleFor Peak Rod Side RodsFor Corner or ##STR2## __________________________________________________________________________ 0 (No Xenon) 1.106 1.026 7.2% 0 (Equil. Xenon) 1.103 1.029 6.7% 500 1.099 1.031 6.2% 1000 1.095 1.032 5.8% 2000 1.090 1.034 5.1% 3000 1.086 1.034 4.8% 4000 1.082 1.035 4.3% 5000 1.080 1.034 4.3% 6000 1.077 1.034 4.0% 7000 1.075 1.033 3.9% 8000 1.073 1.032 3.8% 9000 1.072 1.031 3.8% 10000 1.070 1.030 3.7% 14000 1.065 1.025 3.8% 18000 1.060 1.019 3.9% 22000 1.053 1.014 3.7% 26000 1.045 1.013 3.1% 30000 1.036 1.016 1.9% 34000 1.024 1.018 0.6% 38000 1.021 1.021 0.0% 42000 1.022 1.022 0.0% __________________________________________________________________________ TABLE IV ______________________________________ REFERENCE CASE (*IDENTIFIES LEAD INTERIOR PIN) ______________________________________ 0 MWD/MTU (NO XENON) RELATIVE POWER (W/CM.sup.2) WT % U-235 PER PIN 1.052 1.898 AVE: 2.9120 1.107 1.092 2.474 3.378 1.123 0.368 0.938 2.774 3.378 3.378 1.108 1.124* 1.095 1.066 2.474 3.253 3.253 2.774 8000 MWD/MTU O MWD/MTU (EQUIL. XENON) RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 1.054 0.938 1.107 1.089 0.982 1.052* 1.123* 0.374 0.936 1.020 1.003 0.967 1.109 1.122 1.093 1.065 0.994 1.042 1.002 0.959 14000 MWD/MTU 1000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 1.054 0.935 1.095 1.076 0.975 1.045* 1.109* 0.455 0.932 1.012 1.012 0.978 1.099 1.107 1.077 1.053 0.991 1.040 1.007 0.968 22000 MWD/MTU 4000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 0.996 0.938 1.033 1.056 0.968 1.036 1.059 0.768 0.943 1.003 1.010 0.994 1.043 1.067* 1.032 1.000 0.988 1.039* 1.017 0.982 ______________________________________ TABLE V ______________________________________ CORNER RODS NEVER LIMITING LOCATIONS (*IDENTIFIES LEAD INTERIOR PIN) ______________________________________ 0 MWD/MTU (NO XENON) RELATIVE POWER (W/CM.sup.2) WT % U-235 PER PIN 1.007 1.769 AVE: 2.9120 1.133 1.067 2.493 3.219 1.125 0.410 1.049 2.719 3.819 3.819 1.032 1.135* 1.105 0.995 2.219 3.219 3.219 2.493 8000 MWD/MTU MWD/MTU (EQUIL. XENON) RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 1.009 0.907 1.133* 1.065 0.990 1.020 1.125 0.416 1.045 1.011 1.087* 1.046 1.034 1.133 1.102 0.995 0.938 1.038 0.998 0.904 14000 MWD/MTU 1000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 1.011 0.911 1.116* 1.051 0.980 1.016 1.109 0.507 1.034 1.003 1.089* 1.050 1.028 1.115 1.084 0.986 0.943 1.035 1.002 0.920 22000 MWD/MTU 4000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 0.959 0.920 1.046 1.027 0.971 1.010 1.052 0.845 1.032 0.994 1.076* 1.057 0.979 1.067* 1.032 0.939 0.950 1.033 1.012 0.941 ______________________________________ TABLE VI ______________________________________ BOTH CORNER AND SIDE RODS NEVER LIMITING FOR PIN POWER (*IDENTIFIES LEAD INTERIOR PIN) ______________________________________ O MWD/MTU (NO XENON) RELATIVE POWER (W/CM.sup.2) WT % U-235 PER PIN 0.940 2.052 AVE: 2.9120 1.015 1.106* 2.628 3.532 0.974 1.057 1.010 2.628 3.532 3.532 1.026 0.972 0.932 0.991 2.628 2.928 2.928 2.928 8000 MWD/MTU O MWD/MTU (EQUIL. XENON) RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 0.946 0.976 1.017 1.103* 1.015 1.073* 0.975 1.053 1.005 0.983 1.033 0.993 1.029 0.971 0.931 0.991 1.032 0.976 0.942 0.997 14000 MWD/MTU 1000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 0.957 0.971 1.019 1.095* 1.006 1.065* 0.978 1.047 1.000 0.983 1.032 0.999 1.032 0.971 0.931 0.992 1.025 0.981 0.954 1.002 22000 MWD/MTU 4000 MWD/MTU RELATIVE POWER RELATIVE POWER (W/CM.sup.2) (W/CM.sup.2) 0.972 0.965 1.019 1.082* 0.994 1.053* 0.981 1.037 0.993 0.981 1.033 1.010 1.035 0.972 0.935 0.994 1.014 0.989 0.971 1.009 ______________________________________ It is thought that the invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof.
053612868
summary
FIELD OF THE INVENTION This invention generally relates to the cleaning of components in a boiling water reactor ("BWR"). In particular, the invention concerns the removal of scale buildup from the inlet mixers of a BWR. BACKGROUND OF THE INVENTION In a conventional BWR (see FIG. 1), the core of nuclear fuel is cooled by water. Feedwater is admitted into a reactor pressure vessel (RPV) 10 via a feedwater inlet 12 and a feedwater sparger 14, which is a ring-shaped pipe having suitable apertures for circumferentially distributing the feedwater inside the RPV. A core spray inlet 11 supplies water to a core spray sparger 15 via core spray line 13. The feedwater from feedwater sparger 14 flows downwardly through the downcomer annulus 16, which is an annular region between RPV 10 and core shroud 18. Core shroud 18 is a stainless steel cylinder which surrounds the core 20 comprising numerous fuel assemblies 22 (only two 2.times.2 arrays of which are depicted in FIG. 1). Each fuel assembly is supported at the top by top guide 19 and at the bottom by core plate 21. Water flowing through down-comer annulus 16 then flows to the core lower plenum 24. The water subsequently enters the fuel assemblies 22 disposed within core 20, wherein a boiling boundary layer (not shown) is established. A mixture of water and steam enters core upper plenum 26 under shroud head 28. Core upper plenum 26 provides standoff between the steam-water mixture exiting core 20 and entering vertical standpipes 30, which are disposed atop shroud head 28 and in fluid communication with core upper plenum 26. The steam-water mixture flows through standpipes 30 and enters steam separators 32, which are of the axial-flow centrifugal type. The separated liquid water then mixes with feedwater in the mixing plenum 33, which mixture then returns to the core via the downcomer annulus. The steam passes through steam dryers 34 and enters steam dome 36. The steam is withdrawn from the RPV via steam outlet 38. The BWR also includes a coolant recirculation system which provides the forced convection flow through the core necessary to attain the required power density. A portion of the water is sucked from the lower end of the downcomer annulus 16 via recirculation water outlet 43 and forced by a centrifugal recirculation pump (not shown) into jet pump assemblies 42 (only one of which is shown) via recirculation water inlets 45. The BWR has two recirculation pumps, each of which provides the driving flow for a plurality of jet pump assemblies. The pressurized driving water is supplied to each jet pump nozzle 44 via an inlet riser 47, an elbow 48 and an inlet mixer 46 in flow sequence. A typical BWR has 16 to 24 inlet mixers. The structure of a typical BWR inlet mixer 46 is shown in detail in FIGS. 2A and 2B. In flow sequence starting from the outlet of elbow 48, the inlet mixer comprises: a pre-nozzle section 50; a nozzle section including five nozzles 52 circumferentially distributed at equal angles about the inlet mixer axis; a throat section 54; a barrel section 56; a flare section 58; and a slip joint 60. Each nozzle is tapered at its outlet, so that the nozzle has a maximum diameter d.sub.1 and an exit diameter d.sub.2 which is less than d.sub.1 (see FIG. 2B). Five secondary inlet openings 62 are circumferentially distributed at equal intervals about the inlet mixer axis. These secondary inlet openings are situated radially outside of the nozzle exits. Therefore, as jets of water exit the nozzles 52, water from the downcomer annulus 16 is drawn into the inlet mixer via the secondary inlet openings, where it is mixed with water from the recirculation pump (not shown). Experience has shown that during reactor operation, scale forms on critical surfaces of the inlet mixers, including all surfaces from the end of slip joint 60 through 8 inches of the nozzle section. This scale buildup is a significant problem because it causes a loss of cooling flow and reduces reactor output, which is very costly to utilities using nuclear power. The annular volume between the core shroud 16 and the reactor pressure vessel 10, in which the inlet mixers are located, is difficult to access. Also the complex surfaces and radioactivity of the inlet mixers make mechanical cleaning nearly impossible. At this time, a chemical cleaning method has not been designed for this problem. In addition, the chemicals themselves present disposal problems to the extent that they are not allowed at many reactor sites. Currently, the only method available to eliminate scale buildup is to replace the inlet mixers with new units. However, replacing the inlet mixers is expensive and time consuming for the following reasons: (1 ) building new inlet mixers could take more than one year; (2 ) the reactor would have to be shutdown for a long period of time during installation of the inlet mixers; and (3 ) disposal of the old inlet mixers requires special handling and storage procedures because they are radioactive. SUMMARY OF THE INVENTION The present invention solves the foregoing problem by providing a method for cleaning inlet mixers while they remain in their operating position within the reactor ("in situ cleaning"). In accordance with the preferred embodiments of the invention, a water-powered cleaning tool is inserted by remote control into the inlet mixer via a secondary inlet opening. Following insertion of the cleaning tool, the internal surfaces of the inlet mixer are cleaned with a waterjet created from an ultra-high-pressure source and directed by controlled positioning of a cleaning head having a waterjet nozzle. The term "ultra-high pressure" ("UHP") as used herein means pressures equal to at least 20,000 psi. The cleaning method in accordance with the invention is carried out using a system comprising the following components: a nozzle cleaning tool and a throat/barrel/flare ("TBF") cleaning tool which can be interchangeably installed to perform two different cleaning operations; a set of interchangeable fixtures for guiding the cleaning tools into the correct position and orientation for insertion in the inlet mixer; a clamping fixture for supporting each of the interchangeable fixtures on the inlet mixer; a locating fixture for placing the clamping fixture at the correct azimuthal and axial positions; pumping systems for supplying UHP and low-pressure water to an installed cleaning tool; a launching system located at the top of the opened reactor vessel for feeding the water conduits (power), control cables, monitoring cables (instrument) and cleaning tool into and out of the inlet mixer; and a computerized process monitoring and control system for controlling and monitoring the cleaning process. The UHP water is used in waterjet cleaning to remove scale build-up on inner surfaces of the inlet mixer. The UHP water is supplied via a conduit to a cleaning head having a waterjet nozzle which scans the surface to be cleaned. The impact of the UHP waterjet removes scale from the internal surfaces on which the waterjet impinges. The nozzle cleaning tool is used to clean the internal surfaces of the inlet mixer nozzles. The TBF cleaning tool is used to clean the internal surfaces of the throat, barrel and flare sections of the inlet mixer.
040615332
abstract
A co-ordinated control system for a nuclear power producing unit having a pressurized water reactor, a plurality of once through steam generators through which the coolant from the reactor is circulated in parallel, and a turbine generator supplied steam from the steam generators for the production of electric power.
abstract
A system for controlling the power level of a natural circulation boiling water nuclear reactor (NCBWR) may include a heating subsystem for heating feedwater flowing into an annulus of the NCBWR to increase the temperature of recirculation water flowing through the core above a predetermined recirculation water operating temperature. Additionally the system may include a temperature sensor operable to sense the temperature of the feedwater flowing into the annulus. The temperature sensor is communicatively connected to a temperature controller operable to command the heating subsystem to increase the temperature of the feedwater flowing into the annulus to a requested temperature above a predetermined operating temperature of the feedwater flowing into the annulus. By increasing the temperature of the feedwater flowing into the annulus, the temperature of the recirculation water is increased above the predetermined recirculation water operating temperature causing a reduction in the power level generated by the NCBWR core.
description
The invention relates to X-ray engineering, more specifically, to X-ray sources with optical indication of radiation, and can be used in various measuring devices for parameters control and visualization of structure of industrial and biological objects. X-ray sources with optical indication provide information about the presence of x-ray radiation and the location of the radiation beam, which allows to improve the accuracy of measurements, convenience of work and operation safety. An X-ray source comprising an anode irradiated by the electron beam and means for optical indication of X-ray radiation is known [1]. The said means of optical indication include fluorescent in the optical range foil located on the periphery of the divergent beam of radiation, and an optical waveguide providing the external output of optical radiation flux from the zone of X-ray irradiation. The disadvantage of the above-mentioned device is low informativity since the proposed arrangement provides only signals about the presence or absence of X-ray beam. Also known is an X-ray source [2] comprising an anode irradiated by electrons and generating the divergent flux of radiation, and means for optical indication of X-ray radiation including a radiolucent optical mirror located outside the window to exit the X-ray radiation, and a laser. The laser radiation reflected by the mirror allows to indicate the location of the X-ray beam axis on the object of control. The main disadvantage of this device is the errors of alignment of optical and X-ray beams directions, occurring due to accidental displacement of elements of the optical and X-ray arrangement or due to their thermal drift. Another disadvantage of this device is the impossibility to control the intensity and location of the X-ray focus during operation of the source. The closest technical solution to the claimed invention is the X-ray source described in [3] The designated device comprises an anode irradiated by electrons and generating the divergent flux of radiation, an exit window for X-ray radiation, means for optical indication of X-ray radiation beam including a source of optical radiation and an optical mirror located behind the exit window of the X-ray source housing, coaxially arranged means of collimation and focusing of X-ray and optical radiation. The disadvantages of this device are as follows. The sources of optical and X-ray radiation and the trajectories of the optical and X-ray radiation coincide with the collimation system in the form of polycapillary and a mirror. When the axis of polycapillary deviates at a small angle from a predetermined direction, the X-ray beam passage through the collimation system can be disturbed. However, a small angular misalignment has little effect on the optical radiation passage through the said collimation system. Thus, the erroneous optical indication of the presence of the probing X-ray beam is possible. The intensity of optical radiation is determined mainly by brightness of an optical source and is independent of the energy and current of the electrons irradiating the anode of the source. It does not allow to control the intensity of X-ray radiation passed through the collimation system. In addition, with such arrangement of elements it is impossible to determine the position and size of the X-ray focus, that impedes the adjustment of the device. The object of the present invention is to improve the accuracy and informativity of optical indication of X-ray radiation parameters. This object is achieved in that in the X-ray source comprising the anode irradiated by electrons and generating the divergent radiation flux, an exit window for X-ray radiation, means of optical indication of the X-ray beam, including a source of optical radiation and an optical mirror located outside the exit window of the X-ray source, coaxially arranged means of collimation and focusing of X-ray and optical radiation, the anode is composite in the form of a thin film and an optically-transparent and radiolucent substrate luminescent in the optical range, with the substrate being the exit window of the X-ray source, and the optical mirror is located off-axis X-ray beam in the region of divergent radiation flux generated by the anode. This object is also achieved in that the radiolucent substrate is made of an optically activated synthetic diamond crystal. This object is also achieved in that the means of collimation and focusing of X-ray and optical radiation are made of radiolucent plastic material, such as polycarbonate. This object is also achieved in that the X-ray source further comprises means of visualization of the anode image reflected by the optical mirror. The chief matter of the proposed technical solution is as follows. The anode of the x-ray source is made composite in the form of a thin film and an optically-transparent and radiolucent substrate luminescent in the optical range. Upon irradiation of the said anode with a beam of electrons the optical and X-ray foci turn out to be spatially coincided. The luminescent substrate is optically transparent and is the exit window of the X-ray source. This provides the possibility of direct control by means of reflecting mirrors and video surveillance of the location and size of the X-ray focus and the intensity of X-ray radiation. The X-ray emitter (see FIG. 1) comprises a housing 1 made of glass or ceramics, a unit 2 of focusing of electrons, an anode 3, a cathode assembly 4, diaphragms 5, 6, a protective housing 7, an exit optical window 8, an optical mirror 9, a video camera 10 and a protective screen 11. The diaphragms 5, 6, the protective housing 7 and the screen 11 are made of absorbing X-ray radiation material, such as tantalum. The unit 2 of focusing of electrons, the anode 3, the cathode assembly 4 are in vacuum. High voltage accelerating the electrons is applied between the anode 3 and the cathode assembly 4. The anode 3 (see FIG. 2) is composite in the form of a radiolucent substrate whose surface is coated with a layer of metal. The substrate is predominantly an optically transparent diamond plate with a thickness of about 300 μm, providing at radiation with energy of >10 keV, the transmittance factor T>80%. The thickness of the metal layer is chosen depending on the maximum energy of electrons Em. For example, at Em≈40 keV the thickness of the metal layer of molybdenum is chosen equal to 0.8-1 μm. In more detail the design and principle of operation of the anode assembly are considered later. The device operates in the following way. The cathode assembly 4 emits a flow of electrons. By means of a system of electrostatic lenses located at unit 2, the electron beam 12 is formed, which focuses on the surface of the anode 3 in the spot size of 25-50 microns. In contact with the thin-film anode 3 a part of the high-energy electrons passes through the metal layer 15 and creates an excitation area 17 located both in the metal layer 15 and the substrate 16. Thus, the metal layer generates X-ray radiation 14, and the activated volume of the substrate generates optical radiation 13. When using a synthetic diamond as a substrate, optical activation providing a bright light in the optical range, is carried out by way of pre-irradiation of the substrate by electrons with energy of ˜1 MeV. This scheme of generation provides spatial alignment of the foci of X-ray radiation 14 and optical radiation 13. Therefore, the trajectories of optical and X-ray beams passed through the diaphragms 5, 6 are also spatially coincided that allows to visualize the X-ray radiation and the irradiated region on the object of control. In this respect, the change of the electron current at a fixed accelerating voltage between the anode 3 and the cathode assembly 4 proportionally changes the light intensity of the source in the optical and x-ray ranges, allowing to determine the intensity of X-ray radiation. Control can be carried out visually or by registering the optical radiation flux reflected from the optical mirror 9, by means of a photodetector or video camera 10 located opposite to the exit optical window 8. Also, the video camera 10 provides additional control of the position and size of the X-ray focus. This allows to determine continuously the said parameters directly in the process of the source operation. During adjustment of the visualization system the protective screen 11 is mounted in front of the diaphragm 6 opening. An embodiment of the collimation system is shown in FIG. 2. The optical unit 17 containing radiolucent plastic lenses 18 is mounted along the path of optical beam 13 and X-ray beam 14. The unit 17 is positioned in the center of the diaphragm 6, which ensures the coaxiality of the beams. Lenses 18 are made, for example, of polycarbonate which has high radiation resistance. The use of lenses allows to form a convergent optical beam, which creates a bright focal spot of small size on the surface of the object of control. This facilitates the use of the source during low power generation of radiation. Thus, during the source operation the possibility of erroneous indication of X-ray beam presence is excluded. 1. U.S. Pat. No. 5,081,663 G01D 18/00 (1992). X-ray apparatus with beam indicator. 2. Patent of Russia No. 2106619 G01N 23/00 (1998). . 3. U.S. Pat. No. 7,023,954 B2 G01N 23/223 (2006). Optical alignment of X-ray microanalyzers.
046844961
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also, in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a fuel assembly, represented in vertically foreshortened form and being generally designated by the numeral 10. The fuel assembly 10 is the type used in a pressurized water reactor and basically includes a lower end structure or bottom nozzle 12 for supporting the assembly on a lower core support plate 14 in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 16 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 18 axially spaced along the guide thimbles 16 and an organized array of elongated fuel rods 20 transversely spaced and supported by the grids 18. Also, the assembly 10 has an instrumentation tube 22 located in the center thereof and an upper end structure or top nozzle 24 attached to the upper ends of the guide thimbles 16. With such an arrangement of parts, the fuel assembly 10 forms an integral unit capable of being conveniently handled without damaging the assembly parts. As mentioned above, the fuel rods 20 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 18 spaced along the fuel assembly length. Each fuel rod 20 includes nuclear fuel pellets (not shown) and is closed at its opposite ends by upper and lower end plugs 26,28. The fuel pellets composed of fissile material are responsible for creating the reactive power of the reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through a plurality of flow openings 30 in the lower core plate 14 to the fuel assemblies. The bottom nozzle 12 of each assembly 10 has a series of flow holes 32 defined in its upper central adapter plate 34 through which the coolant flows upwardly through the guide thimbles 16 and along the fuel rods 20 of the fuel assembly in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods (not shown) are reciprocally movable in the guide thimbles 16 located at predetermined positions in the fuel assembly 10. Specifically, the top nozzle 24 includes a rod cluster control mechanism 36 having an internally threaded cylindrical member 38 with a plurality of radially extending flukes or arms 40. Each arm 40 is interconnected to a control rod such that the control mechanism 36 is operable to move the control rods vertically in the guide thimbles 16 to thereby control the fission process in the fuel assembly 10, all in a well-known manner. Debris Trap Mounted in Bottom Nozzle As mentioned above, fuel assembly damage due to debris trapped at the lowermost one of the grids 18 has been noticed in recent years. Therefore, to prevent occurrence of such damage, it is highly desirable to trap and remove this debris before it reaches the lowermost grid 18. The present invention relates to a debris trap, generally indicated by the numeral 42, mounted inside or within the bottom nozzle 12 adjacent to and below its upper central adapter plate 34 and between its corner legs 44, as illustrated in FIG. 1. The trap 42 is positioned across the path of coolant flow from the lower core plate openings 30 to the adapter plate holes 32 so as to capture debris, such as small loose parts or pieces, from the flowing coolant and thereby prevent it from entering the fuel assembly 10. Instead, the debris is retained within the trap 42 which permits removal of the debris along with the trap 42 and fuel assembly 10 at the next refueling. Turning now to FIG. 2, the debris trap 42 includes a structure 46 being composed of a plurality of straps 48 aligned with respect to each other in a cross-laced or crisscross interlocking arrangement. The straps 48 in such an arrangement define a plurality of interconnected wall portions 50 which form a multiplicity of cells, each being generally designated as 52, with any given interior one of the wall portions 50 being shared by two adjacent ones of the cells 52. Each four interconnected wall portions 50, which form a given one of the cells 52, define the cell 52 with open opposite ends and a central channel 54 extending generally parallel to the path of coolant flow for passage of coolant therethrough to the adapter plate 34 of the bottom nozzle 12. Preferably, each cell 52 has a length to width ratio that is less than one. As seen in FIGS. 1 and 2, the debris trap structure 46 has cross-sectional dimensions sized to allow the structure to fit within the peripheral skirt 56 of the bottom nozzle 12 between the corner legs 44 thereof and extend generally coplanar with the adapter plate 34 of the nozzle. Notches 58 are defined in the corners of the structure 46. One diagonal pair of the notches 58 provide adequate space for a diagonal pair of alignment pins 60 which extend upright from the lower core plate 14 and fit through openings 62 formed through flanges 64 of one diagonal pair of the corner legs 44. The other diagonal pair of the notches 58 provide adequate space for means in the form of a pair of leaf springs 66 disposed in the notches and anchored on the trap structure 46 to engage the flanges 64 of the other diagonal pair of corner legs 44 for locking the structure 46 within the bottom nozzle 12 upon installation of the trap 42 therein. The trap 42 is installed by lowering the fuel assembly 10 over the trap which has been positioned on a suitably raised fixture (not shown). The retaining or locking leaf springs 66 are deflected inwardly, as seen in FIG. 3, by the bottom flanges 64 of the diagonal pair of legs 44 of the bottom nozzle 12 as the fuel assembly 10 is lowered. The springs 66 then snap outwardly over the flanges 64 when the springs have cleared the top thereof. The trap 42 is then locked in place and can be moved with the fuel assembly 10. In operation, the coolant flow holds the trap 42 in contact with the underside of the adapter plate 34 of the bottom nozzle 12. The debris trap 42 also includes means in the form of a plurality of spring-like fingers 68 defined in each of the cells 52 for capturing and retaining within the structure 46 any debris carried into the cells 52 by coolant flowing therethrough. Each wall portion 50 which is common to two adjacent cells 52 has at least two fingers 68 attached to it at two levels, one above the other. Each finger 68 is punched out of material of the strap 48 forming the wall portion 50 and bent so as to extend into the cell channel 54 and point downstream toward the bottom nozzle adapter plate 34. Specifically, with respect to the two fingers 68 punched out of any common wall portion 50, one finger 68 extends into one of the adjacent cells 52 sharing the common wall portion 50, while the other finger 68 extends into the other of the adjacent cells. FIGS. 4, 5 and 6 show various combinations of arrangements of the fingers 68 in the respective cells 52. In one form of the finger arrangement shown in FIGS. 4 and 5, the fingers 68 on oppositely-facing wall portions 50 of a given cell 52 are disposed at two different levels. Thus, in this arrangement, the two fingers 68 at the same level are displaced ninety degrees from each other on wall portions 50 which are connected to one another. In another form of the finger arrangement shown in FIG. 6, the fingers 68 on oppositely-facing wall portions 50 of a given cell 52 are disposed at the same level. Thus, the two fingers 68 at the same level in this arrangement are displaced one hundred eighty degrees from each other. However, in both arrangements, the two fingers 68 at each level overlap at their respective tip ends one slightly above the other. Further, in both arrangements, the overlapped tips of the two fingers at the upper level, in turn, overlap the overlapped tips of the two fingers at the lower level in each cell 52. In such manner, the channel 54 through the cell 52 is obstructed by the fingers 68 and the overlapping and spaced apart relationship between the upper and lower sets of fingers 68 provides several regions in the cell channel 54 within which pieces of debris can be trapped and retained in the structure 46. The resilient or spring-like nature of each finger 68 gives it the capability of imposing a lateral force on a piece of debris so as to force it against the wall portion 50 and retain the debris in the trap 42. Even though the fingers 68 obstruct the cell channel 54, they only minimally impede the flow of coolant through the trap 42. First, each wall portion 50 has an opening 70 formed therein at the region where the finger was punched out which facilitates cross flow of coolant through the wall portions 50 between individual ones of the cells 52. Also, each finger 68 has a hole 72 formed through it which allows increased flow of water through the cell. Further, as seen in FIG. 1, and in greater detail in FIGS. 3--6, the underside or upstream end of the trap structure 46 has a plurality of lower tabs 74 which project outwardly toward the lower core plate 14, while the upperside or downstream end of the structure 46 has a plurality of upper projections 76 which extend outwardly toward the bottom nozzle adapter plate 34. The upper projections 76 ensure that there will be some space between the downstream end of the trap structure 46 and the underside of the adapter plate 34 to permit some cross flow of coolant between the trap 42 and the adapter plate. The purpose of the lower tabs 74 is to grasp large pieces of debris to prevent them from moving horizontally along the bottom of the trap 42 to a point of reduced flow velocity, where they would fall back into the flow stream and impact the underside of the trap structure 46 again. These tabs 74, in conjunction with coolant flow, keep very large pieces of debris substantially stationary and in place on the underside of the trap, so they don't circulate around under the trap and repeatedly impact the trap. It is recognized that whenever coolant flow is stopped, most of the very large pieces of debris will fall back onto the lower core plate 14. However, large pieces of debris that land on the core plate can be seen when the fuel assembly 10 is removed, and then can be removed by remote means. Pieces that fall back through the core plate flow openings 30 may be circulated back into the bottom nozzle 12 on a future cycle, but again stand a high probability of being retained by the core plate since there is much more surface area than hole area in the core plate so these pieces eventually can be removed also. Meanwhile, they will not damage the fuel assemblies. It is thought that the debris trap of the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof.
claims
1. A fine motion control rod drive mechanism handling apparatus configured to attach a motor unit to, and detach the motor unit from, a motor bracket of a fine motion control rod drive mechanism, the fine motion control rod drive mechanism provided with a control rod drive mechanism body, a spool piece, and the motor bracket, the fine motion control rod drive mechanism handling apparatus comprising:a motor unit attachment, configured to support the motor unit, to ascend the motor unit towards the spool piece, to descend the motor unit away from the spool piece, and to generate a force pressing the motor unit against the spool piece when a gear of a gear coupling of the motor unit is in contact with a gear of a gear coupling of the spool piece;a bolt wrench assembly configured to ascend and descend the motor unit; anda rotational mechanism configured to rotate the gear of the gear coupling of the motor unit;wherein the motor unit attachment includes a release mechanism configured to release the force pressing the motor unit against the spool piece, when the gear of the gear coupling of the motor unit and the gear of the gear coupling of the spool piece are in contact but do not mesh with each other;wherein the bolt wrench assembly includes the rotational mechanism. 2. A fine motion control rod drive mechanism handling apparatus according to claim 1, further comprising:at least one of a load detection apparatus, and a position detection apparatus, configured to detect a gear meshing state of the gear of the gear coupling of the motor unit and the gear of the gear coupling of the spool piece. 3. A fine motion control rod drive mechanism handling apparatus according to claim 1, wherein the motor unit attachment is configured to detect a tip-root position of the respective gears of the gear coupling of the motor unit and the gear coupling of the spool piece, and to fit a phase of the gear coupling of the spool piece to a phase of the gear coupling of the motor unit. 4. A fine motion control rod drive mechanism handling apparatus according to claim 1, wherein the motor unit attachment is configured to position the motor unit in a rotational direction. 5. A fine motion control rod drive mechanism handling apparatus configured to attach a motor unit to, and detach the motor unit from, a motor bracket of a fine motion control rod drive mechanism, the fine motion control rod drive mechanism provided with a control rod drive mechanism body, a spool piece, and the motor bracket, the fine motion control rod drive mechanism handling apparatus comprising:a motor unit attachment, configured to support the motor unit, to ascend the motor unit towards the spool piece, to descend the motor unit away from the spool piece, and to generate a force pressing the motor unit against the spool piece when a gear of a gear coupling of the motor unit is in contact with a gear of a gear coupling of the spool piece;a bolt wrench assembly configured to ascend and descend the motor unit; anda rotational mechanism configured to rotate the gear of the gear coupling of the motor unit;wherein the motor unit attachment includes a release mechanism configured to release the force pressing the motor unit against the spool piece, when the gear of the gear coupling of the motor unit and the gear of the gear coupling of the spool piece are in contact but do not mesh with each other; andwherein the motor unit attachment includes a brake release mechanism configured to release a brake of the motor unit, and a counter-output shaft configured to rotate the gear coupling of the spool piece.
summary
abstract
A system and method of disposing nuclear waste and other hazardous waste includes means for, and the steps of, blending a waste stream, which includes either a radioactive waste or a hazardous waste (or both), with a liquid and, optionally, a solid material to produce a dense fluid and pumping the dense fluid into a tubing string of an injection boring. The dense fluid then exits a perforation in a casing of the injection boring and enters a fracture in a rock strata, where it continues to propagate downward until it reaches an immobilization point. The dense fluid may be a slurry formed by a metal and a cross-linked polymer gel or hydrated clay slurry. The metal can be one that has a melting temperature less than the temperature at the bottom of the injection boring. The solid material could also be other nuclear waste or a radionuclide.
description
FIGS. 1 and 2 show a preferred embodiment of a control rod according to the invention. The control rod comprises a top piece 1 and a bottom piece 2 for fixing an absorber part 11 extending therebetween. The top piece comprises a handle 1a and distance buttons 1b, which ensure that the control rod, when being inserted into the reactor core, is kept at a definite distance from the fuel. The absorber part comprises a central part 4 and four absorber blades 3 extending from the central part. The central part and the absorber blades together form a cruciform channel 10. The absorber part comprises four plates 5 of thin hafnium sheet with a substantially L-shaped cross section in the longitudinal direction of the control rod, that is, a plate which is bent through 90xc2x0 such that each side of the bending line is equally long. The plates are arranged facing each other so as to form a right-angled cross with four absorber blades 3. For joining the walls of the channel together and keeping them at a distance from each other in the absorber blades, each one of the opposite walls is provided with indentations 7 intermittently arranged in the longitudinal direction. Each indentation in one wall is directed against and welded to a corresponding indentation belonging to the other wall. In this way, the walls of the channel are joined together intermittently along the length of the whole channel. FIG. 3 shows in detail the appearance of the joined indentations in a section Bxe2x80x94B in FIG. 2. The control rod is provided with two rows of indentations in each absorber blade. The plates are not joined together along the outer edge of the absorber blades. An opening 6 has been left between the plates, which gives a good exchange of water between the inside and outside of the control rod. The opening 6 extends along the length of the whole absorber part. The water in the control rod causes a slowing down of the fast neutrons such that they can be more easily absorbed by the hafnium sheet. During the slowing down, heat is released. Neutron absorption in the hafnium sheets and the radiation absorption also contribute to a significant heat generation in the control rod. For the control rod to function satisfactorily, it must have thorough cooling. The water used as moderator also serves as coolant in the control rod. The openings 6 in the outer ends of the absorber blades provide a flow of cooling water and, in addition, eliminate the risk of pressure increase in the control rod. Steam formation in the control rod would also be a safety hazard as it would reduce the effectiveness of the control rod. The cruciform channel formed from the joined L-shaped plates is open at both top and bottom such that the cooling water which flows upwards through the core can pass through the control rod. The top piece and the bottom piece are fixed to the absorber part by rivets. Openings 11a are arranged between the absorber part and the top piece. Between the absorber part and the bottom part, openings 11b are arranged. The task of these openings is to improve the flow of water through the absorber part. The openings shall be of such a size to create a thorough circulation of the cooling water. In an embodiment of a control rod according to the invention shown in FIG. 4, the control rod only has one row of indentations in each absorber blade, and instead the outer ends of the absorber blades are joined together. The joining of the plates in the outer ends of the absorber blades can be made by means of, for example, spot welding or rivets. An all-welded edge is unsuitable since stresses are thus built into the control rod. In further embodiments the outer end of the absorber blade may be partially open and partially joined together. Instead of using indentations as spacers, an inwardly facing fold may be arranged on each wall in the longitudinal direction thereof. Two opposite side walls are joined by means of, for example, spot welding along the folds. The folds divide the large cruciform channel into five smaller channels, which consist of a central cruciform channel and four channels located in the absorber blades. FIGS. 5a-5f show different possible attachments between two hafnium sheets. FIG. 5a shows a spot weld and FIG. 5b shows a fusion weld, for example a TIG weld. Welding has the advantage that it does not add any small parts to the construction which may subsequently loosen and cause problems. A disadvantage with welding is that it requires expensive and complicated welding equipment. FIG. 5c shows a conventional rivet joint, and FIG. 5d shows a rivet joint with a safety device which prevents the whole rivet from loosening if the rivet breaks. FIG. 5e shows a two-part rivet joint which is welded or threaded and weld-locked. FIG. 5f shows an upset rivet joint where the plates are pressed together in such a way as to lock against each other. Rivets may be of a material other than hafnium, for example titanium, zirconium, stainless steel or Inconel (nickel-base alloy). The advantage of a rivet joint is that it is simple and inexpensive to manufacture. The disadvantage is that it comprises a large number of small rivets which may possibly loosen after some time in operation. FIG. 6 shows a further embodiment of the invention, which comprises a tube of hafnium on which are arranged four absorber blades 3 of hafnium sheet. The hafnium tube constitutes a centrally located channel 10. The channel has a substantially circular cross section and is at least partially filled with a moderator. Each absorber blade constitutes a channel which is at least partially filled with a moderator. The neutron irradiation of the control rod does not take place uniformly across the whole surface; for example, an outer portion of the absorber blades is exposed to a higher irradiation than other portions. The upper part of the control rod, that is, that part which is nearest the top piece, is also exposed to a higher irradiation than the lower part, that is, that part which is nearest the bottom piece. Those parts of the neutron absorber which are exposed to a higher irradiation are burnt out faster than the remainder of the control rod. To obtain an optimum hafnium use, the thickness of the sheet should vary, that is, thicker sheets in those parts which are burnt out fastest. However, the strength of the control rod must also be taken into consideration. In an alternative embodiment of the invention, a bar of neutron-absorbing material, for example hafnium, is arranged at the extreme end of each absorber blade in order to increase the amount of neutron-absorbing material at particularly exposed points. This bar may also serve as a base for attachment of the bottom piece and the top piece. FIG. 7 shows a section through a control rod according to the invention with a bar 8 inserted between two adjacent plates 5 which together, with the bar, form a control rod blade. It is desirable to be able to vary the absorption capacity, that is, the amount of hafnium, both axially and radially in the absorber part. FIG. 8 shows a control rod according to the invention where the amount of hafnium varies both axially and radially in the absorber part. In the regions marked 20, the hafnium thickness is greater than in the other parts of the absorber part. One way of achieving the desired variation in the absorption capacity is to vary the sheet thickness in the absorber part. FIG. 9a shows in a section Cxe2x80x94C in FIG. 8 how the sheet thickness varies radially in an absorber blade. The disadvantages of this method are the higher manufacturing costs. FIG. 9b shows in a section Cxe2x80x94C in FIG. 8 another method of varying the absorption capacity, which means that a hafnium sheet 21 is suspended loosely in the control rod blade. The hafnium sheet may be varied in length, width and thickness and may be provided with different holes and recesses. The attachment of the hafnium sheet may be made in connection with the attachment of the absorber blade to the top piece. To prevent the hafnium sheet from possibly becoming detached from the top piece, it may be secured with the aid of the intermittently arranged indentations which keep the control rod blade together. These indentations are not shown in FIGS. 9a and 9b. One further way of varying the absorption capacity axially and radially in the control rod, which is shown in FIGS. 10a-10d, is to bend back one or both of the edges of the hafnium plates inwards toward the central part of the absorber part. Since the absorber part is of hafnium and the top piece and the bottom piece are of stainless steel, they cannot be welded to each other, which would be the case if they were of the same material. In the following, a few proposals for possible attachments will be given. In the event of reactor scram (fast insertion of the control rods), the stress is great on the attachment between the absorber part and the bottom piece. The attachment between the absorber part and the top piece, on the other hand, is exposed to lower stress and can therefore be made in combination with the distance buttons 1b (see FIG. 11a). This gives the distance buttons a twofold function, namely, as spacers between the control rod and the fuel, and as a large rivet for attachment between the absorber part and the top piece. As an alternative to using the distance button as attachment between the absorber part and the top piece, a rivet joint can be used (see FIG. 11b). The attachment with rivets 22 can be made in a plurality of different ways. FIGS. 12a-12c show different alternatives. FIG. 12a shows how the stainless part 23 surrounds one end of a tray 24 of hafnium and the other end of the tray is inserted between the hafnium sheets 25 in the absorber blades. The hafnium tray is welded to the hafnium sheets in the absorber blades. The stainless part and the hafnium tray are welded together by means of one or more rivets 22. In FIG. 12b, the lower parts of the hafnium sheets 25 in the absorber blades are compressed to make contact with each other and surrounded by the stainless part 26. The stainless part 26 and the hafnium sheets 25 are joined together by means of one or more rivets 22. In FIG. 12c, one end of the stainless part is formed as a lug 27. The lug is inserted between the hafnium sheets 25 in the absorber blades and is fixed by one or more rivets 22. FIG. 13 shows a rivet joint between the absorber part and the bottom piece. The attachment can be made using any of the alternatives shown in FIGS. 12a-12c. The advantage of a rivet joint is that it has a low weight and is simple to mount. A disadvantage of a rivet joint is that it contains many small parts which may possibly loosen during operation. An alternative to rivet joints is a locking-pin joint, which is shown in FIGS. 14a and 14b. The absorber part terminates in a lug 28 of hafnium which is locked in the bottom part by means of a pin 29. FIG. 14b shows in a section Exe2x80x94E how the hafnium lug is inserted into and welded between the hafnium sheets in the absorber part. A disadvantage of a locking-pin joint is, among other things, that the control rod becomes heavy because of the considerable weight of the hafnium lug. A large quantity of hafnium in the lower part of the control rod results in an inefficient use of hafnium, since the major burnup takes place in the upper part of the control rod. The absorber material in a control rod according to the invention may, for example, consist of pure hafnium or of some hafnium alloy, for example a hafnium-zirconium alloy. Another common absorber alloy is an alloy of cadmium, indium and silver, usually with a composition such that the nuclear properties of hafnium are imitated. The moderator consists of water or a solid moderator, for example zirconium hydride. During the manufacture of a hafnium sheet, it is given a directed texture. Upon neutron irradiation of the sheet, an irradiation growth takes place, substantially in the direction of the texture. A control rod made of hafnium sheet, as the one described above, thus runs the risk of being bent when subjected to neutron irradiation. One way of preventing this bending is to xcex2-quench the hafnium sheet in a manner analogous to that of a zirconium alloy. Such a method is described, for example, in Swedish patent specification 7502865-4. The method comprises heating the hafnium sheet up to such a high temperature that its texture is transformed from xcex1-phase to xcex2-phase, which has a random texture. To maintain the crystal structure in the hafnium sheet, it must be rapidly cooled again. In a random texture, the growth upon irradiation takes place equally in all directions and hence bending of the control rod is prevented. For hafnium the transformation temperature to xcex2-phase lies at about 1800xc2x0 C. The transformation temperature for a zirconium alloy lies at about 900xc2x0 C. By alloying hafnium with some suitable metal, for example Fe, Ni, Cr, Nb, the transformation temperature may be reduced to a transformation temperature which lies near the transformation temperature of the zirconium alloy. When choosing a suitable alloy, it is important to ensure that the corrosion properties and hydrogen pick-up properties of hafnium are retained and preferably improved. After the xcex2-quenching it is suitable to heat-treat the hafnium sheet to relieve stresses in the sheet caused by the xcex2-quenching, so-called stress-relieve annealing.
summary
052157059
claims
1. A gauge for measuring the centering forces exerted on fuel rods assembled in a nuclear fuel bundle by double-acting springs assembled with different pairs of ferrules in a bundle spacer, wherein each spring has a first resilient side acting in a first ferrule of each pair and a second resilient side acting in a second ferrule of each pair, said gauge comprising, in combination: A. an alignment rod for insertion into the first ferrule of a selected ferrule pair to simulate the presence of a fuel rod and thus load the first side of a spring assembled therewith; and B. a probe for insertion into the second ferrule of the selected ferrule pair to simulate the presence of a fuel rod, said probe including a force responsive device mechanically coupled with the second side of the spring to indicate the centering force that would be exerted by the spring on a fuel rod inserted through the second ferrule. 2. The gauge defined in claim 1, wherein said alignment rod and said probe have effective diameters equal to a fuel rod nominal diameter. 3. The gauge defined in claim 2, which further includes a handle commonly mounting said alignment rod and said probe in parallel spaced relation. 4. The gauge defined in claim 3, wherein said force responsive device is a load cell. 5. The gauge defined in claim 4, wherein said load cell develops electrical signals proportional to spring force, said gauge further including means electrically connected with said load cell and responsive to said electrical signals for providing an indication of the force exerted by said second side of the spring. 6. The gauge defined in claim 2, wherein said probe further includes a body having an axis oriented substantially parallel with the axis of the second ferrule upon insertion therein and a plunger mounted by said body for movement transversely of said body axis and having a face simulating a peripheral surface portion of a fuel rod, said plunger face disposed in engagement with the second spring side. 7. The gauge defined in claim 6, wherein said probe further includes an elongated arm having first and second ends, said arm pivotally mounted intermediate said first and second ends to said probe body, said first arm end engaging said plunger and said second arm end engaging said force responsive device, whereby, the centering force exerted by the second side of the spring is transferred to said force responsive device by said arm. 8. The gauge defined in claim 7, wherein said force responsive device is a load cell. 9. The gauge defined in claim 8, wherein said load cell is threadedly engaged in a tapped bore formed in said probe body in transverse relation to said body axis to accommodate adjustment of the transverse position of said load cell and thus the transverse position of said plunger prior to engagement by the second side of the spring. 10. The gauge defined in claim 9, which further includes a handle commonly mounting said alignment rod and said probe in parallel spaced relation. 11. The gauge defined in claim 10, wherein said alignment rod is mounted to said probe body for limited floating movement relative thereto. 12. The gauge defined in claim 10, wherein said load cell develops electrical signals proportional to spring force, said gauge further including means electrically connected with said load cell and responsive to said electrical signals for providing an indication of the force exerted by said second side of the spring.
description
This application claims the benefit of U.S. provisional patent application No. 61/429,799 filed Jan. 5, 2011, the disclosure of which is incorporated herein by reference in its entirety. The invention relates generally to ultraviolet (UV) curing lamp assemblies, and more particularly, to an elongated microwave-powered light source having a substantially elliptical cross-section for UV curing lamp assemblies. Radiant energy is used in a variety of manufacturing processes to treat surfaces, films, and coatings applied to a wide range of materials. Specific processes include but are not limited to, curing (i.e., fixing, polymerization), oxidation, purification, and disinfection. Processes using radiant energy to polymerize or effect a desired chemical change is rapid and often less expensive in comparison to a thermal treatment. The radiation can also be localized to control surface processes and permit preferential curing only where the radiation is applied. Curing can also be localized within the coating or thin film to interfacial regions or in the bulk of the coating or thin film. Control of the curing process is achieved through selection of the radiation source type, physical properties (for example, spectral characteristics), spatial and temporal variation of the radiation, and curing chemistry (for example, coating composition). A variety of radiation sources are used for curing, fixing, polymerization, oxidation, purification, or disinfections due to a variety of applications. Examples of such sources include but are not limited to, photon, electron, or ion beam sources. Typical photon sources include but are not limited to, arc lamps, incandescent lamps, electrodeless lamps, and a variety of electronic (i.e., lasers) and solid-state sources. Conventional arc type UV lamp systems and microwave-driven UV lamp systems use tubular bulb envelopes made of fused quartz glass or fused silica. FIG. 1A is a perspective view of a UV curing lamp assembly 10 showing an irradiator 12 and a light shield assembly 14 in the prior art. FIG. 1B is a partial cross-sectional view of the lamp assembly 10 of FIG. 1A showing a half-elliptical primary reflector 16 and a light source 20 of circular cross-section. FIG. 1C is a partial cross-sectional internal view of the light shield assembly 14 of FIG. 1A showing a half-elliptical primary reflector 16 and a light source 20 of circular cross-section mated to a secondary reflector 25 and end reflectors 26. Referring now to FIGS. 1A-1C, the UV curing lamp assembly 10 includes an irradiator 12 and a light shield assembly 14. The irradiator 12 includes a primary reflector 16 having a generally smooth half-elliptical shape studded with a pair of RF slot openings 18 for receiving microwave radiation to excite a light source 20 (to be discussed hereinbelow), and a plurality of openings 22 for receiving air flow to cool the light source 20. The light source 20 includes a lamp (e.g., a modular lamp, such as a microwave-powered lamp having a microwave-powered bulb (e.g., tubular bulb with a generally circular cross-section) with no electrodes or glass-to-metal seals). The light source 20 is placed at the internal focus of the half-ellipse formed by the primary reflector 16. The light source 20 and the primary reflector 16 extend linearly along an axis in a direction moving out of the page (not shown). A pair of end reflectors 24 (one shown) terminate opposing sides of the primary reflector 16 to form a substantially half-elliptical reflective cylinder. The light shield assembly 14 of FIG. 1A-1C includes a secondary reflector 25 having a substantially smooth elliptical shape. A second pair of end reflectors 26 (one shown) terminates opposing sides of the secondary reflector 25 to form a substantially half-elliptical reflective cylinder. A work piece tube 28 of circular cross-section is received in circular openings 30 in the end reflectors 26. The center of the openings 30 and the axis of the work piece tube 28 are typically located at the external focus of the half-ellipse formed by the primary reflector 16 (i.e., the internal focus of the half-ellipse formed by the secondary reflector 25). The work piece tube 28 and the secondary reflector 25 extend linearly along an axis in a direction moving out of the page (not shown). FIG. 2A is a perspective view of a tubular light source 20 having a generally circular cross-section in the prior art for use with the UV curing lamp assembly 10 of FIG. 1A-1C. FIG. 2B is a perspective view of a primary reflector 16 with the tubular light source 20 of FIG. 2A inserted therein, the primary reflector 16 having openings for receiving microwave radiation to excite the light source 20 and openings for receiving air flow to cool the light source 20 for use with the UV curing lamp assembly of FIG. 1A-1C. Referring now to FIGS. 1A-2B, the light source 20 (e.g., an electrodeless bulb 20 or arc lamp 20) has a pair of end sections 31 and a center section 32 that has a tapered shape, the end sections 31 and the center section 32 each having generally circular cross-section. The light source 20 is filled with a gas. The light source 20 has a pair of short quartz stubs 34 of having a substantially circular cross-section at either end to provide mechanical support for quick mounting into spring-loaded receptacles (holes) 36 located in the end reflectors 24. These stubs 34 are not electrodes and have no electrical function. Arc lamps are energized through electrodes at each end. The light source 20 is placed at the internal focus of the half-ellipse formed by the primary reflector 16. The light source 20 and the primary reflector 16 extend linearly along an axis in a direction moving out of the page (not shown). A pair of end reflectors (not shown) terminates opposing sides of the primary reflector 16 to form a substantially half-elliptical reflective cylinder, and have slots (not shown) configured for receiving the stubs 34 of light source 20. In operation, gas in the light source 20 is excited to a plasma state by a source of radio frequency (RF) radiation, such as a magnetron (not shown) located in the irradiator 12. The atoms of the excited gas in the light source 20 return to a lower energy state, thereby emitting ultraviolet light (UV). Ultraviolet light rays 38 radiate from the light source 20 in all directions, striking the inner surfaces of the primary reflector 16, the secondary reflector 25, and the end reflectors 24, 30. Most of the ultraviolet light rays 38 are reflected toward the central axis of the work piece tube 28. The light source 20 and reflector design are optimized to produce the maximum peak light intensity (lamp irradiance) at the surface of a work product (also propagating linearly out of the page) placed inside the work piece tube 28. When the plasma in the light source 20 is excited and produces UV radiation, the surface of the light source 20 becomes very warm. Cooling air enters a reflector cavity 40 formed by the primary reflector 16, the secondary reflector 25, and the end reflectors 24, 30 through the pair of RF slot openings 18 and the plurality of openings 22 in the primary reflector 16 and flows across the light source 20 at sufficient volume to maintain the light source 20 at its optimum temperature. Sufficient air must be drawn through the reflector cavity 40 to maintain the bulb envelope temperature below a critical temperature of 900-1000° C. In arc lamps, the electrode seals must be maintained at an even lower temperature. At higher temperatures, the lifetime of the light source 20 may be reduced. UV output power for both microwave-powered lamp systems and arc-driven UV lamp systems is limited only by how much cooling can be provided to the light source 20. UV lamps that operate at higher power levels are more desirable, since they can cure a work product (e.g., coatings) at a faster rate, making them more productive. Either an integral blower (mounted on the irradiator 12) or a remote blower may be used to provide cooling air. It is desirable to reduce the amount of cooling air needed to sufficiently cool the light source 20. As a result, the blower speed or the blower size may be reduced as well. For certain environments, a lower blower speed or smaller blower size advantageous, since such a blower outputs a lower noise level. The optics generally used in UV systems incur compromises relating to the diameter of the light source 20. Larger bulb diameters may be operated at higher power levels because they have more surface area and therefore require less cooling for a given power input. However, the collection efficiency of reflective optics is not as high with larger diameter bulbs. When elliptical reflectors are used to collect and focus UV radiation from the light source 20 onto a work product, the higher the collection efficiency and the higher the peak irradiance developed at a working plane which includes the work product, the faster the work product may be cured. Unfortunately, not only do larger bulbs not focus to as high an irradiance level due to divergence, they also block a bit more of the reflected UV radiation from the apex 40 of the ellipse formed by primary reflector 16 due to their larger diameter. Some of the UV radiation that is directed back at the light source 20 becomes trapped in the plasma and does not contribute to the UV output of the light source 20. As discussed above, current electrodeless bulbs that emit ultraviolet radiation for curing work pieces have an elongated cylindrical shape of circular cross-section. When the light source 20 containing a gas is excited with microwave radiation, a plasma develops which causes the surface of the bulb to heat up to high temperatures. The bulb is generally air cooled through the primary reflector 16 on one side of the light source 20, which causes the other side of the light source 20 to not receive proper cooling. This causes the light source 20 to develop hot spots which reduces the life of the bulb. The aforementioned problems with cooling result from the shape of the light source 20 and the size and location of the RF slot openings 18 and the plurality of openings 22 of the primary reflector 16. FIG. 3 shows velocity profiles of air flow across the length of the light source 20 of the prior art and the primary reflector 16 for different levels of air velocity. FIG. 4A shows velocity profiles of air flow normal to the light source 20 of the prior art in the vicinity of the RF slot openings 18 of the primary reflector 16. FIG. 4B shows velocity profiles of air flow normal to the light source 20 of the prior art in the vicinity of the smaller openings 22 of the primary reflector 16. FIG. 5A shows surface flow wrapping of air around the light source 20 of the prior art in the vicinity of the RF slot openings 18 of the primary reflector 16. FIG. 5B shows surface flow of air diverging near the side of the light source 20 of the prior art distal to the smaller openings 22 of the primary reflector 16. Referring now to FIGS. 3-5B, the flow of air differs along the length of the light source 20, with greater levels of air flow near the RF slot openings 18 and lower levels of air flow therebetween emanating from the plurality of openings 22. Thus, in the regions 40 of the light source 20 near the RF slot openings 18, the air flow pattern 42 envelopes the light source 20, thereby lowering the temperature of the light source 20 effectively. In other regions 44 of the light source 20 near the plurality of openings 22, the air flow pattern 46 bows out, wherein it flows across the light source 20 on the side 48 nearest the apex 40 of the primary reflector 16, but is absent on the side of 50 of the light source 20 distal to the apex 40 of the primary reflector 16, thereby causing a significant increase in temperature relative to the temperature of the light source 20 proximal to the RF slot openings 18 as depicted in FIGS. 6A-6C to be discussed hereinbelow. FIG. 6A shows a top down view of a light source 20 of the prior art overlying a primary reflector 16 with gray scale shading along the light source 20 indicating relative temperature. FIG. 6B shows a perspective view of a light source 20 of the prior art and the primary reflector 16 of FIG. 6A with grey scale shading along the light source 20 indicating relative temperature, and direct indications of the temperature of the lamp proximal to the RF slot openings 18 of the primary reflector 16 and near the center of the light source 20. FIG. 6C is a plot of temperature versus distance along the light sources 20 of FIGS. 6A and 6B. Referring now to FIG. 6A-6C, the hottest spots 51 on the light source 20 are shifted slightly to the interior of the RF slot openings 18, and having a temperature of about 1012° C., represented by a lighter shade of grey. The coolest spots 52, 54, represented by deeper shades of grey, may be found in the immediate vicinity of the RF slot openings 18 and near the center of the light source 20, respectively. From FIGS. 3-6C, it would be tempting to increase the size of the plurality of openings 22 to increase airflow around the light source 20. However, a person skilled in the art would appreciate that this may result in an increase of UV radiation escaping though plurality of openings 22, thereby reducing the peak UV curing irradiance of the work product. Accordingly, what would be desirable, but has not yet been provided, is a light source having lower cooling requirements and that provides increased peak UV curing irradiance. The above-described problems are addressed and a technical solution is achieved in the art by providing elongated tubular light source having a substantially elliptical cross-section for use with the UV curing lamp assemblies. The light source has a pair of end sections and a central section of smaller diameter than the end sections. The end sections are connected to the central section by a pair of tapered sections the diameter of each of which decreases from an end that mates with the end sections toward an end that mates with the central section. Each of the end sections has a substantially elliptical cross-section. According to an embodiment of the present invention, the central section and the tapered sections may have a substatially elliptical cross-section. According to an embodiment of the present invention, an aspect ratio of the elliptical cross-section of the end sections and the central section of the light source is preferably about 2:1. As a result, the elliptical cross-sectional shape of the light source of the present invention permits a reduction of air flow rate requirements and blower speed compared to the conventional light source of circular cross-section. According to an embodiment of the present invention, the elliptical light source may be incorporated into an irradiator of a UV curing lamp assembly, which includes a primary reflector, having a generally smooth half-elliptical shape. In a preferred embodiment, the geometric center of the elliptical cross-section of the light source is placed at the internal focus of the half-ellipse formed by the primary reflector. The elliptical light source has a pair of short quartz stubs of substantially rectangular cross-section at either end to provide mechanical support for quick mounting into spring-loaded substantially rectangular receptacles located in the end reflectors. The stubs and the receptacles (holes) in the end reflector have a substantially rectangular shape and are keyed to fit in only one orientation to insure that the major axis of the ellipse of the cross-section of the light source is aligned with the major axis of the elliptical cross-section of the primary reflector. As an added benefit, the elliptical shape of the light source improves the amount of irradiance a work piece receives. It is to be understood that the attached drawings are for purposes of illustrating the concepts of the invention and may not be to scale. FIG. 7 shows a plurality of views of an elongated tubular light source 60 having a substantially elliptical cross-section for use with UV curing lamp assemblies, according to an embodiment of the present invention. The light source 60 (e.g., an electrodeless bulb 60 or arc lamp 60) has a pair of end sections 62 and a central section 64 of smaller diameter than the end sections 62. The end sections 62 are connected to the central section 64 by a pair of tapered sections 66 the diameter of each of which decreases from an end 68 that mates with the end sections 62 toward an end 70 that mates with the central section 64. Each of the end sections 62 has a substantially elliptical cross-section. According to an embodiment of the present invention, the central section 64 and the tapered sections 66 may have a substantially elliptical cross-section. In certain other embodiments, the central section 64 may have a circular cross-section, the tapered sections 66 each having ends 68, 70 which have a cross-sections of a diameter that matches the diameter of the end sections 62 and the central section 64. According to an embodiment of the present invention, the aspect ratio of the elliptical cross-section of the end sections 62 and the central section 64 of the light source 60 is preferably about 2:1 (i.e., the ratio of the length of the semi-major axis to the semi-minor axis of the ellipse), which permits complete wrap-around of air flow for cooling the light source 60. As a result, the elliptical cross-sectional shape of the light source 60 of the present invention permits a reduction of air flow rate requirements and blower speed compared to the conventional light source 20 of circular cross-section. Alternatively, rather than decreasing air flow rate and blower speed, the elliptical cross-sectional shape of the light source 60 of the present invention permits an increase in power applied to the light source 60. As a result, additional UV output power may be made available without requiring additional cooling. FIG. 8 shows a cross-sectional view of an irradiator assembly employing the light source 60 of FIG. 7, according to an embodiment of the present invention. Referring now to FIGS. 7 and 8, according to an embodiment of the present invention, the light source 60 may be incorporated into an irradiator 72, similar to the one described in FIGS. 1A-1C, which includes a primary reflector 74, having a generally smooth half-elliptical shape studded with a pair of RF slot openings 76 for receiving microwave radiation to excite the light source 60, and smaller openings 78 for receiving air flow to cool the light source 60, respectively. The light source 60 includes the lamp 60 of FIG. 7 described above (e.g., a modular lamp, such as a microwave-powered lamp having a microwave-powered bulb (e.g., tubular bulb with a generally circular cross-section) with no electrodes or glass-to-metal seals). According to an embodiment of the present invention, the geometric center 80 of the elliptical cross-section of the light source 60 is preferably placed at the internal focus of the half-ellipse formed by the primary reflector 70. The light source 60 and the primary reflector 70 extend linearly along an axis in a direction moving out of the page (not shown). A pair of end reflectors 82 (one shown) terminate opposing sides of the primary reflector 70 to form a substantially half-elliptical reflective cylinder. In operation, gas in the light source 60 is excited to a plasma state by a source of radio frequency (RF) radiation, such as a magnetron (not shown) located in the irradiator 72. The atoms of the excited gas in the light source 60 return to a lower energy state, thereby emitting ultraviolet light (UV). Ultraviolet light rays 84 radiate from the light source 60 in all directions, striking at least the inner surfaces of the primary reflector 70 and the end reflectors 82. Most of the ultraviolet light rays 84 are reflected toward the central axis of a work product 86. The light source 60 and reflector design are optimized to produce the maximum peak light intensity (lamp irradiance) at the surface of a work product 86 (also propagating linearly out of the page. FIG. 9 shows a perspective view of an end reflector 88 having rectangular openings for receiving rectangular stubs of the light source of FIG. 7 for use in the irradiator assembly of FIG. 8, according to an embodiment of the present invention. FIGS. 10A and 10B are perspective views of a half-elliptical primary reflector and a light source of elliptical cross-section, according to an embodiment of the present invention. Referring now to FIGS. 7-10B, the light source 60 has a pair of short quartz stubs 90 of substantially rectangular cross-section at either end to provide mechanical support for quick mounting into spring-loaded substantially rectangular receptacles 92 located in the end reflectors 88. These stubs 90 are not electrodes and have no electrical function. Arc lamps are energized through electrodes at each end. With light source 60 having a substantially elliptical cross-section, the orientation of the ellipse becomes paramount. The stubs 90 and the receptacles (holes) 92 in the end reflector 88 have a substantially rectangular shape and are keyed to fit in only one orientation. This insures that the major axis of the ellipse of the cross-section of the light source 60 is aligned with the major axis of the elliptical cross-section of the primary reflector 70. FIG. 11A shows surface flow wrapping air around the light source of the present invention in the vicinity of the RF slot openings of the primary reflector of FIG. 10B, according to an embodiment of the present invention. FIG. 11B shows surface flow wrapping air around the light source of the present invention in the vicinity of the smaller openings of the primary reflector of FIG. 10B, according to an embodiment of the present invention. Referring now to FIGS. 11A and 11B, the flow of air differs along the length of the light source 60, with greater levels of air flow near the RF slot openings 76 and lower levels of air flow therebetween emanating from the plurality of smaller openings 78. Unlike the air flow pattern for the light source 20, the air flow pattern for the light source 60 completely envelopes the light source 60 in both the regions 94, 96 of the light source 60 near the RF slot openings RF slot openings 76 and the smaller openings 78, respectively. FIG. 12A shows a top down view of the light source 60 of the present invention overlying a primary reflector of the type illustrated in FIG. 10B. FIG. 12B shows a perspective view of a light source of the present invention and the primary reflector 70 of FIG. 10B. FIG. 12C is a plot of temperature versus distance along the light sources 60 of FIGS. 12A and 12B. Referring now to FIGS. 12A-12C, the hottest spots 98 on the light source 60 are shifted slightly to the interior of the RF slot openings 76 and of a lower temperature than the hottest spots 51 on the light source 20 of FIGS. 6A-6C, for the same input flow rate. Likewise, the coolest spots 100, 102, represented by deeper shades of grey, may be found in the immediate vicinity of the RF slot openings 76 and near the center of the light source 60 and of a lower temperature than the coolest spots 52, 54 in the immediate vicinity of the RF slot openings 18 and near the center of the light source 20 of FIGS. 6A-6C, respectively. Certain embodiments of the present invention have enhanced optical properties as compared to the light source 20 of the prior art. FIGS. 13A and 13B depict optical ray trace models of UV radiation emanating from a primary reflector of elliptical cross-section and illuminating an area corresponding to a work piece for a light source of circular cross-section and a light source of elliptical cross-section, respectively. Referring now to FIGS. 8, 13A and 13B, optical ray trace modeling shows that when an elliptically-shaped bulb is employed and mounted in the orientation described in FIG. 8 and shown in FIG. 13B, less of the UV energy from the apex of the primary reflector 70 is blocked by the light source 60 because the cross-section of the light source 60 is reduced compared to that of the conventional tubular light source 20 of circular cross-section as depicted in FIG. 13A. In FIG. 13A, the rays of UV radiation come to a peak at a certain distance from the primary reflector, but a significant portion of the UV radiation is spread over a relatively wide area. In FIG. 13B, the resulting peak of the ray traces come to a more defined position in front of the primary reflector, with considerably less of the UV radiation spreading beyond the peak position. FIGS. 14A-14C show plots of distance along an illuminated surface versus irradiance for light sources of different cross-sections, from circular, wide elliptical, and true elliptical cross-section, respectively. For a light source of circular cross-section in FIG. 14A, the irradiance shows a peak that is spread over a relatively wide range of distance along an illuminated surface. There is also a considerable amount of light spread over the length of the illuminated surface for regions of the illuminated surface that are not in the vicinity of the peak irradiance. The peak irradiance of a wide elliptical light source (i.e., one having an aspect ration is much less than 2:1) in FIG. 14B is considerably sharper (i.e., spread over a shorter distance along an illuminated surface) than that of FIG. 14A, but of lower magnitude. There is a much lower magnitude of irradiance in regions not in the vicinity of the peak irradiance. The peak irradiance of a true elliptical light source (i.e., one having an aspect ration of about 2:1 or greater) in FIG. 14C has both a sharper focus (i.e., spread over a shorter distance along an illuminated surface) and a considerably greater magnitude than either of the light source of FIGS. 14A and 14B, while maintaining a low magnitude of irradiance in regions not in the vicinity of the peak irradiance. It is to be understood that the exemplary embodiments are merely illustrative of the invention and that many variations of the above-described embodiments may be devised by one skilled in the art without departing from the scope of the invention. It is therefore intended that all such variations be included within the scope of the following claims and their equivalents.
054323495
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS In FIG. 1, a source 1 emits x-ray and/or gamma-ray radiation. A Fourier transform microscope 2 is arranged in proximity to the source 1 and receives at least a portion of the x-ray and/or gamma-ray radiation therefrom. Although the Fourier transform microscope 2 of the claimed invention is particularly effective for imaging the radiation intensity distribution of relatively small, nearby (less than ten meters distant) sources (e.g., on the order of a few tens of microns to several hundreds of microns) emitting photons at radiation energies (as low as one-tenth keV, but typically about two keV or more) with relatively good spatial resolution (e.g., as fine as a few microns), the Fourier transform microscope 2 can effectively image a source 1 with other dimensions and radiation energies. In addition, technological advancements in such fields as mask lithography should enable the Fourier transform microscope 2 of the claimed invention to attain increasingly better spatial resolution for increasingly higher radiation energies in the future, so it is not desired to limit the claimed invention to the particular embodiments described below. However, at present, there are several specific applications in which conventional devices and techniques cannot attain imaging of the radiation intensity distribution of a source 1 which is relatively small in size and which emits photons at radiation energies above two keV. For example, for applications in which the source 1 is a target in an ICF experiment, or a source of radiation used in some medical applications, the Fourier transform microscope 2 of the claimed invention is particularly effective, whereas conventional devices and techniques are not. The Fourier transform microscope 2 functions to obtain an image of the radiation intensity distribution of the source 1 in spatial frequency domain, which can be converted using an inverse-Fourier transform into an image in spatial domain. To obtain the image in spatial frequency domain, the Fourier transform microscope 1 uses a first grid 3 arranged in proximity to the source 1, and a second grid 4 arranged in proximity to the first grid 3. The first grid 3 and the second grid 4 are arranged at distances D and L, respectively, from the source 1, and receive at least a portion of the radiation emitted by the source 1. The first grid 3 and the second grid 4 are aligned such that the first grid 3 approximately defines a plane which is approximately parallel to a plane containing the source 1, and the second grid 4 approximately defines a plane which is parallel to both the source plane and the plane defined by the second grid 4. In FIG. 2, the first grid 3 includes an arrangement of first subgrid elements 5. For simplicity, only three of the first subgrid elements 5 are indicated by the numeral 5 in FIG. 2, although this numeral refers to all of the first subgrid elements 5. Also, although the first subgrid elements 5 have square configurations in FIG. 2, the first subgrid elements 5 can function effectively with other configurations. As best seen in FIG. 3 in which only a particular one of the first subgrid elements 5 is illustrated, each first subgrid element 5 has an arrangement of a first predetermined number n of first ribs 6 which are approximately equally-spaced, parallel or slightly divergent linear regions which are opaque to the radiation of interest from the source 1. In FIG. 2, only one first rib 6 is indicated in each first subgrid element 5, but it should be understood that there are typically several first ribs 6 in each first subgrid element 5. Preferably, the first ribs 6 are arranged, as nearly as practicable, along radial lines emanating from a center of the first grid 3 to reduce vignetting. However, because the first ribs 6 within any subgrid element are also required to be approximately parallel, only a central one of the first ribs 6 can be arranged along a radial line from the center of the first grid 3: the other first ribs 6 will be slightly skewed from a position along a radial line from the center of the first grid 3. However, if vignetting poses a significant problem, the first ribs 6 can be made slightly divergent to more closely coincide with radial lines emanating from the center of the first grid 3 although some accuracy in the spatial frequency of the radiation of interest measured with the divergent first ribs 6 is sacrificed if the first ribs 6 are so arranged. In alternation with the first ribs 6, first radiation-transparent regions 7 are provided which are transparent to the radiation of interest from the source 1. Preferably, the first radiation-transparent regions 7 are transmissive to at least 90% of the incident radiation, although other transmissivities can be used. The first ribs 6 are formed of a substance with a thickness which is sufficient to attenuate the intensity of radiation passing therethrough to preferably at least 40% of its incident intensity, although other attenuation percentages can be used. The substance used to form the first ribs 6 should have relatively high density to absorb radiation effectively, and also should be capable of being formed into relatively precise configurations. For example, the first ribs 6 can be formed of gold, tantalum or tungsten. The required thickness of the substance used to form the first ribs 6 is determined by the desired radiation attenuation and the substance used to form the first ribs 6, and can be determined using absorption coefficient versus incident radiation energy graphs which are widely-known and used by those of ordinary skill in the art. As an example, the first ribs 6 can be formed of tungsten with a thickness of 4 microns, which attenuates incident radiation of 7.3 keV to about 20% of its incident intensity. Although the first ribs 6 of each of the first subgrid elements 5 have spacings which are approximately constant within any one of the first subgrid elements 5, the spacings of the first ribs 6 can vary relative to the first ribs 6 of other first subgrid elements 5. Specifically, the spacing of the first ribs 6 of the first subgrid elements 5 can range from roughly twice the desired spatial resolution of the image in spatial domain (a manifestation of the Nyquist criterion), to roughly the full size of the source 1 or greater. However, to avoid an occurence of of diffraction phenomenon, the spacings of the first ribs 6 are predetermined to satisfy the relationship d.sub.1.sup.2 &gt;.lambda..multidot.L/2, where d.sub.1 is the spacing between the first ribs 6, .lambda. is the longest wavelength of the radiation of interest, and L is the distance from the source 1 to the second grid 4. In addition, the first ribs 6 of each first subgrid element 5 have an angular orientation relative to a reference coordinate system such as that defined by axes x and y in the plane of the first grid 3. For example, the first ribs 6 of the first subgrid element 5 of FIG. 3 have an orientation of about 60 degrees counterclockwise relative to the x axis. Accordingly, the first ribs 6 of each of the first subgrid elements 5 have a particular spacing (which defines a spatial frequency) and angular orientation which can be used to derive one Fourier component of an image of the radiation intensity distribution of the source 1 in spatial frequency domain. Specifically, the angular orientation and the spatial frequency of the first ribs 6 of a given first subgrid element 5, are equal to the spatial frequency and angular orientation, respectively, of the Fourier component derived from the given first subgrid element 5. The first grid 3 can be manufactured in a variety of ways which will readily occur to those of ordinary skill in the art. For example, the first grid 3 can be manufactured with mask lithography techniques using a silicon substrate to form the first radiation-transparent regions 7 of each subgrid element 5, with patterned linear regions of tungsten, gold or tantalum formed on the silicon substrate, forming the first ribs 6 of each first subgrid element 5. In regions of the first grid 3 not used to form first subgrid elements 5, the silicon substrate is preferably formed to a thickness sufficient to produce opacity to the radiation of interest to avoid any deleterious affect upon radiation of interest passing through the first subgrid elements 5. This opacity to radiation in areas other than those occupied by the first subgrid elements 5 is preferred regardless of the material or technique used to form the first grid 3. While the first subgrid elements 5 are shown in FIG. 2 arranged in rows and columns, a slight stagger to the arrangement of the first subgrid elements 5 should be employed to avoid the formation of potential breakage lines in the silicon substrate. Alternatively, a different arrangement of the first subgrid elements 5 can be employed to avoid potential breakage lines. First subgrid elements 5 with spacings of the first ribs 6 as small as two microns can be obtained from the Center for Microelectronics, North Carolina ("MCNC"). Spacings of the first ribs 6 of ten microns or greater can be obtained from a variety of commercial sources. Regardless of the technique and structure used to produce the first grid 3, cumulative error in spacing of the first ribs 6 should be avoided. To derive the image of the radiation intensity distribution of the source 1 in spatial frequency domain in applications in which the ability to detect quantities associated with Fourier components output by the first subgrid elements 5 is desired to be increased, the second grid 4 is required in addition to the first grid 3. Approximately speaking, the second grid 4 is an expanded version of the first grid 3, although the second grid 4 differs in a few significant aspects from the first grid 3. Referring to FIG. 4, the second grid 4 has an arrangement of second subgrid elements 8 which are arranged to correspond with the field of view of corresponding first subgrid elements 5 at a particular distance, D-L, from the first grid 3. In other words, as shown in FIG. 3, the photons which are incident to and pass through a given one of the first subgrid elements 5, are also incident upon the corresponding second subgrid element 8 at the distance D-L from the first grid 3, although these photons can be either absorbed or transmitted through the corresponding second subgrid element 8. Incidentally, a particular first subgrid element 5 and its corresponding second subgrid element 8 are termed a `subgrid system`. To provide regions which alternately absorb and transmit the radiation of interest, similarly to the structure of the first subgrid elements 5, each of the second subgrid elements 8 has second ribs 9 which are approximately equally-spaced, parallel or slightly divergent linear regions formed of a substance which is opaque to the radiation of interest. For simplicity, only three of the second ribs 9 are indicated in FIG. 3 although this indication applies equally well to the other second ribs 9 designated by the dark areas in FIG. 3. For practical reasons, (only one of the second ribs 9 is illustrated for each second subgrid element 8 but second subgrid element 8 typically has several second ribs 9. Further, although the second subgrid elements 5 have square configurations in FIG. 4, the second subgrid elements 8 can function effectively with other configurations. As with the first ribs 6, the second ribs 9 are preferably arranged, as nearly as practicable, along radial lines emanating from a center of the first grid 3 to reduce vignetting. However, only a central one of the second ribs 9 can be arranged along a radial line from the center of the second grid 4. The other second ribs 9 will be slightly skewed from a position along a radial line from the center of the second grid 4 because the other second ribs 9 are approximately parallel to the central one of the second ribs 9. However, if vignetting poses a significant problem, the second ribs 6 can be made slightly divergent to more closely coincide with radial lines emanating from the center of the second grid 4 although accuracy of the spatial frequency measured with the divergent second ribs 6 is sacrificed with the second ribs 6 so arranged. The second ribs 9 are approximately equally-spaced within a given one of the second subgrid elements 8, although the spacing of the second ribs 9 can vary relative to other second subgrid elements 8. The spacing of the second ribs 9 of the second subgrid elements 8 can range from roughly twice the desired spatial resolution of the image in spatial domain (a manifestation of the Nyquist criterion), to roughly the full size of the source 1 or greater. However, to avoid an occurence of diffraction, the spacings of the second ribs 9 are predetermined to satisfy the relationship d.sub.2.sup.2 &gt;.lambda..multidot.L/2, where d.sub.2 is the spacing between the second ribs 9, .lambda. is the longest wavelength of the radiation of interest, and L is the distance from the source 1 to the second grid 4. In alternation with the second ribs 9, each of the second subgrids 8 has second radiation-transparent regions 10 which are transparent to the radiation of interest. Preferably, the second radiation-transparent regions 10 are transmissive to at least 90% of the incident radiation, although other transmissivities can be used. The second ribs 9 are formed of a substance with a thickness which is sufficient to reduce the intensity of radiation passing therethrough to preferably at least 40% of its incident intensity, although other attenuation percentages can be used. The substance used to form the second ribs 9 should have relatively high density to absorb radiation effectively, and also should be capable of being formed into relatively precise configurations. For example, the second ribs 9 can be formed of gold, tantalum or tungsten. The required thickness of the substance used to form the second ribs 9 is determined by the desired radiation attenuation and the substance used to form the second ribs 9, and can be determined using absorption coefficient versus incident radiation energy graphs which are widely-known and used by those of ordinary skill in the art. As an example, the second ribs 9 can be formed of tungsten with a thickness of 4 microns, which attenuates incident radiation of 7.3 keV to about 20%. The second grid 4 can be manufactured in a variety of ways which will readily occur to those of ordinary skill in the art. For example, as explained above with respect to the first grid 3, the second grid 4 can be manufactured with mask lithography techniques using a silicon substrate to form the second radiation-transparent regions 10 of each second subgrid element 8, with patterned linear regions of tungsten, gold or tantalum formed on the silicon substrate, forming the second ribs 9 of each second subgrid element 8. In regions of the second grid 4 not used to form second subgrid elements 8, the silicon substrate is preferably formed to a thickness sufficient to produce opacity to the radiation of interest to avoid any deleterious affect upon radiation of interest passing through the second subgrid elements 8. This opacity to radiation in areas other than those occupied by the second subgrid elements 8 is preferred regardless of the material or technique used to form the second grid 4. While the second subgrid elements 8 are shown in FIG. 2 arranged in rows and columns, a slight stagger to the arrangement of the second subgrid elements 8 should be employed to avoid the formation of breakage lines in the silicon substrate. Alternatively, a different arrangement of the second subgrid elements 8 can be employed. Second subgrid elements 8 with spacings of the second ribs 9 as small as two microns can be obtained from the Center for Microelectronics, North Carolina ("MCNC"). Spacings of the second ribs 9 of ten microns or greater can be obtained from a variety of commercial sources. Regardless of the technique and structure used to produce the second grid 4, cumulative error in spacing of the second ribs 9 should be avoided. Although the angular orientation of the second ribs 9 of a given second subgrid element 8 is approximately the same as the orientation of the first ribs 6 of the corresponding first subgrid element 5 in a given subgrid system, the spacing (i.e., the spatial frequency) of the second ribs 9 of a given second subgrid element 8 varies from the spatial frequency of the first ribs 6 of the corresponding first subgrid element 5. One reason that the spatial frequency of the second ribs 9 of a given second subgrid element 8 varies from the spatial frequency of the first ribs 6 of the corresponding first subgrid element 5, is that the second subgrid element 8 is approximately a larger version of the first subgrid element 5 which results by projection of a point source of light in the source plane and approximately at the center of the first grid 3, through the first subgrid element 5 and onto the plane of the second grid 4. Accordingly, the spatial frequency of the second ribs 9 is less than the spatial frequency of the first ribs 6 by approximately a factor of L/D. Also, the spatial frequency of the second ribs 9 of a given second subgrid element 8 differs from the spatial frequency of the first ribs 6 of a corresponding first subgrid element 5, because the second subgrid elements 8 have a second predetermined number, n+m, (rather than only the first predetermined number n) of second ribs 9 which are approximately equally spaced. Accordingly, the spacing of a particular second subgrid element 8 is (L/D).multidot.{n/(n+m)} times the spacing of its corresponding first subgrid element 5. The integer m is typically one or two and defines the number of maxima (i.e., the number of peak amplitudes) of an intensity pattern derived from a particular subgrid system. The photons from the source 1 which pass through each subgrid system generate an intensity pattern for each subgrid system which is referred to as a `Moire` or `fringe pattern`. FIG. 5A is a Moire or fringe pattern resulting from a subgrid system oriented in the y direction and having a particular spatial frequency. By measuring the peak amplitude (or maxima) of the intensity pattern and the phase (i.e., the point at which a maximum occurs relative to a reference system such as that provided by a fiducial mark 11 provided near one of the first subgrid elements 5 or second subgrid elements 8 which is projected onto a detector plane), the amplitude and phase of the Fourier component associated with a given subgrid system can be determined. Therefore, each subgrid system can be used to determine the orientation, spatial frequency, amplitude and phase of its associated Fourier component. The array of Fourier components derived for all of the subgrid systems is termed an image of the radiation intensity distribution of the source in spatial frequency domain. FIG. 5B indicates the Moire or fringe pattern which is essentially a section of the Moire or fringe pattern in FIG. 5A taken along the x-direction in FIG. 5A. Incidentally, in FIGS. 5A and 5B, because the first ribs 6 and the and the first radiation-transparent regions 7 are equally-spaced, and because the second ribs 9 and the second radiation-transparent regions 10 are equally-spaced, the overall throughput of the radiation passing through the subgrid systems is 25% of its incident value. Throughput can be adjusted by varying the widths of the first ribs 6 and the second ribs 9 relative to the first radiation-transparent regions 7 and the second radiation-transparent regions 10, respectively. To measure the amplitude and phase of the Fourier component for each subgrid system, a position-sensitive detector 12 is provided in the detector plane which is approximately parallel to the source plane and the planes defined by the first grid 3 and the second grid 4. The position-sensitive detector 12 includes detector elements (not shown) which correspond to each subgrid system. Each detector element can be realized variously such as by using a portion of a photographic film, by using a series of photodiodes or by using a single photodiode which is scanned across the Moire or fringe pattern for a given subgrid system. The detector elements can also be realized using a charge-coupled device, a microchannel plate system or a silicon-based position sensor, for example. Significantly, the detector element is only required to have sufficient sensitivity to detect the wavelength of the Moire or fringe pattern for a given subgrid system, and is not required to have a resolution or sensitivity as fine as the smallest spacings of the first ribs 6 of the first subgrid elements 5 or the second ribs 9 of the second subgrid elements 8. This feature is particularly important because, whereas the spacings of the first ribs 6 or second ribs 9 of a given subgrid system can be spaced by a few microns using present lithographic techniques, detector elements having resolutions of a few microns cannot be readily manufactured at present. Referring again to FIG. 5A, it is preferred that each detector element of the position-sensitive detector 12 be sufficiently sensitive to measure the intensity at intervals about one-tenth of the distance between first maximum 13 and second maximum 14. Accordingly, the detector element(s) with the most severe sensitivity requirement should be able to measure one-tenth of the distance between the first maximum 13 and second maximum 14 of the Moire or fringe pattern(s) generated by the subgrid system(s) which has the finest spacings of first ribs 6 and second ribs 9. As previously mentioned, the spatial frequency of the Fourier component measured by a particular subgrid system is predetermined by the spacing of the first ribs 6 (i.e., the spatial frequency in cm.sup.-1 is equal to one divided by the rib spacing in cm) for that particular subgrid system. Also, the angular orientation of the Fourier component to be measured by a subgrid system is predetermined by, and is the same as, the angular orientation of the first ribs 6 and the second ribs 9 of a given subgrid system. The amplitude and phase of each Fourier component measured by each subgrid system can be determined by the detector elements associated with each subgrid system. The array of amplitudes, phases, spatial frequencies and angular orientations of the Fourier components of all subgrid systems in the apparatus are collectively referred to as the image of the radiation intensity distribution of the source in spatial frequency domain. The number of subgrid systems required to generate an image of the radiation intensity distribution from the source 1, depends upon the complexity of the radiation intensity distribution of the source 1. The maximum number of subgrid systems which might be required is equal to one-half of the number of image pixels to be generated because each subgrid system measures two quantities, an amplitude and a phase of the associated Fourier component, and hence, the subgrid systems can be used to determine 2N image pixels. If characteristics such as the spatial frequencies and/or angular orientions of the radiation intensity distribution of the source 1 are known (as is often the case), this information can be exploited to reduce the number of subgrid systems used. For example, if a bright portion of the image contains N bright points or pixels, then the positions and intensities of those N bright points can be determined with about N subgrid systems. Alternatively, if a fraction f of the image is bright and the remainder is dark, the number of subgrid systems can be reduced by a factor of f from the maximum amount described above. Further, if a particular spatial component is known to have most of the information of interest, as when the source 1 has a pattern of regularly-spaced stripes of a particular angular orientation, a single subgrid system could be sufficient. An important feature of the Fourier transform microscope 2 is its magnification M which derives from the use of the first grid 3 and the second grid 4. The first subgrid elements 5 with the finest spacing of first ribs 6, are used to derive a Fourier component of the image of the radiation intensity distribution of the source 1, which has a wavelength .lambda..sub.min (or, i.e., a spatial frequency) and an angular oriention which corresponds to that of the first ribs 6 in the particular first subgrid elements 5. A pattern generated by one of the first subgrid elements 5 with the finest spacing and projected onto the plane of the second grid 4, has a wavelength that is .lambda..sub.min enlarged by the factor r=L/D. The factor r is relatively small so that magnification attributable to the factor r=L/D is also relatively small. However, use of the second subgrid elements 8 corresponding to the first subgrid elements 5, produces a much coarser Moire or fringe pattern on a scale of a second subgrid element width, W, divided by the number of fringes m. By measuring the amplitude and phase of the coarser Moire or fringe pattern, the amplitude and spacing of the finer pattern produced by the first grid element 5 with the finest spacing can be determined. The magnification provided by a subgrid system is equal to W/(m.multidot..lambda..sub.min). Using a Fourier transform, the image in spatial frequency domain can be converted to an image in spatial domain by employing a processor 15. If the position-sensitive detector 12 is realized so that it has an analog output (e.g., as would be the case with a photographic film), the analog output is converted into a digital signal using a digitizer 16, and the digital signal is provided to the processor 15 coupled to the digitizer 16. The digitizer 16 can be realized as a microdensitometer, for example; Otherwise, if the position-sensitive detector 12 has a digital output, the position-sensitive detector 12 can be coupled directly to the processor 15, so that the digital signal is provided directly thereto. Accordingly, because the digitizer 16 is an optional element which is provided in dependency upon the particular realization of the position-sensitive detector 12, the digitizer 16 is illustrated in a broken line in FIG. 1. In any case, using a control program stored in a memory 17 coupled to the processor 15, the processor 15 can perform a Fourier transform on the digital signal of the image in spatial frequency domain to generate a digital signal of the image in spatial domain. Referring to FIG. 6, a flowchart of the processing employed by the processor 15 begins in step S1. In step S2, the processor 15 stores the image of the radiation intensity distribution of the source 1 in spatial frequency domain received from the position-sensitive detector 12 (via the digitizer 16, if applicable) in the memory 17. In step S3, the processor 15 calculates the inverse-Fourier transform of the image of the radiation intensity distribution of the source 1 to obtain an image of the radiation intensity distribution of the source 1 in spatial domain. The control program employed by the processor 15 can be a commercially available software package such as Astronomical Image Processing System ("AIPS") produced by the National Radio Astronomy Observatory in Charlottesville, Va., although persons of ordinary skill in the art can design a software package to perform the above processing. After obtaining the image of the radiation intensity distribution of the source 1 in spatial domain, the processor 15 applies a `cleaning` algorithm to the image in spatial domain to remove point response artifacts in step S4 (the cleaning algorithm is available as an option in the AIPS software package). That is, the processor 15 applies the cleaning algorithm to remove the affects of using discrete spatial frequencies, angular orientations, amplitudes and phases for the Fourier components (rather than a continuum of these quantities) which represent the image of the radiation intensity distribution of the source 1 in spatial frequency domain. In step S5, the processor 15 stores the cleaned image in spatial domain in the memory 17. In Step S6, the processor 15 determines whether an inverse-Fourier transform is to be computed for another image in spatial frequency domain. If so, steps S2 through S6 are repeated. On the other hand, if the result in the determination of step S6 is negative, the processor 15 determines whether any image stored in the memory 17 is selected by a user for display on a display unit 18. If so, the processor 15 provides the image from the memory 17 to the display unit 18 (which can be realized as a cathode-ray tube or liquid-crystal display or the like) for display, and control returns to step S7. On the other hand, if the result of the determination in step S7 is negative, processing by the processor 15 terminates in step S9. To operate properly, the first grid 3 and the second grid 4 must be aligned correctly. In FIG. 7, the first grid 3 and the second grid 4 each have six degrees of freedom. For example, as shown in FIG. 4, the second grid 4 can be translated along the x-, y-, or z-axes, or can be rotated by angles .phi., .theta. or .psi. about the x-, y- or z-axes, respectively. Because the second subgrid elements 8 are required to have a common field of view with corresponding first subgrid elements 5, and because the first ribs 6 and the second ribs 9 must have approximately the same angular orientation, alignment in the x-, y- and .psi.-directions is particularly important whereas alignment in the z-, .phi.-and .theta.-directions is less important. To attain an aligned condition, referring to FIG. 2, the first grid 3 includes first grid fiducial marks 19. Likewise, referring to FIG. 4, the second grid 4 includes second grid fiducial marks 20. Accordingly, by arranging a point small light source (i.e., a small light source) at the distance at which the source i is to be located, the first grid fiducial marks 19 cast a shadow which should coincide With the second grid fiducial marks 20. By manipulating the translational and/or rotational position of the first grid 3 or the second grid 4 by adjusting first and second grid holders (not shown), respectively, the first grid 3 can be properly aligned with the second grid 4. Alternatively, the first grid 3 and the second grid 4 can be provided with a Fresnel zone plate 21, respectively. The Fresnel zone plate 21 can be used to align the first grid 3 and the second grid 4. Alignment techniques using Fresnel zone plates are well-known to those of ordinary skill in the art. Also, other alignment devices and techniques will occur to those of ordinary skill in the art. In operation, the first grid 3 and the second grid 4 are aligned, and the Fourier transform microscope 2 is arranged in proximity to the source 1 to receive radiation therefrom. The radiation passes through the first subgrid elements 5 of the first grid 3 and the corresponding second subgrid elements 8 of the second grid 4 to generate an array of Moire or fringe patterns. The position-sensitive detector 12 detects the amplitude and phase from each Moire or fringe pattern to determine the amplitude and phase of the Fourier component associated with each subgrid system, and generates a signal indicative of the image of the radiation intensity distribution of the source 1 in spatial frequency domain (as previously explained, the image in spatial frequency domain is the array of all amplitudes, phases, spatial frequencies and angular orientations of the Fourier components of all subgrid systems). The image in spatial frequency domain can be obtained from the signal from the position-sensitive detector 12 and stored in the memory 17 under control of the processor 15. Using a control program stored in the memory 17, the processor 15 can perform a Fourier transform on the image in spatial frequency domain to obtain the image of the radiation intensity distribution of the source 1 in spatial domain. The image in spatial domain can be stored in the memory 17 under control of the processor 15. Also, using a control program stored in the memory 17, the processor 15 can apply the cleaning algorithm to reduce the point response artifacts caused by the fact that each subgrid system detects discrete amplitudes and phases of the Fourier components as opposed to a continuum of these quantities. The cleaned image in spatial domain can be-stored in the memory 17 under control of the processor 15. Under control of the processor 15, additional images can be obtained from the position-sensitive detector 12 and stored in the memory 17. Also under control of the processor 15, the image in spatial frequency domain, the image in spatial domain or other images stored in the memory 17, for example, can be displayed on the display unit 18. Several alternative embodiments of the claimed invention as described above can be realized. For example, although the first subgrid elements 5 of the first grid 3 and the second subgrid elements 8 of the second grid 4 have two-dimensional arrangements in FIGS. 2 and 4, respectively, the first subgrid elements 5 and the second subgrid elements 8 could as well have a one-dimensional arrangement including one row or one column of the first subgrid elements 5 and the second subgrid elements 8. Also, the claimed invention can be adapted to perform imaging of a source 1 in three-dimensions by moving the Fourier transform microscope 2 nearer to or farther from (i.e., along its z-axis) the source 1 to image at different depths in the source 1. Also, by obtaining a time-series or continuum of images (such as a streak pattern generated on a moving photographic film, for example) using a one-, two- or three- dimensional Fourier transform microscope 2, changes in spatial frequencies, angular orientations, phases and amplitudes can be observed over time in the radiation of interest. Further, each subgrid system can be used to extract the amplitude and phase for more than one Fourier component by overlaying first ribs 6 with different spatial frequencies and/or angular orientation, and by providing corresponding second ribs 9, although throughput of the radiation of interest will be reduced when this is done. The many features and advantages of the present invention are apparent from the detailed specification and thus it is intended by the appended claims to cover all such features and advantages of the invention which follow in the true spirit and scope thereof. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation illustrated and described, and accordingly all suitable modifications and equivalents may be resorted to as falling within the scope of the invention.
abstract
Systems and apparatuses for providing particle beams for radiation therapy with a compact design and suitable to a single treatment room. The radiation system comprises a stationary cyclotron coupled to a rotating gantry assembly through a beam line assembly. The system is equipped with a single set of dipole magnets that are installed on the rotating gantry assembly and undertakes the dual functions of beam energy selection and beam deflection. The energy degrader may be exposed to the air pressure. The beam line assembly comprises a rotating segment and a stationary segment that are separated from each other through an intermediate segment that is exposed to an ambient pressure.
description
The following description relates generally to detecting performance anomalies in a computing system, and more particularly to systems and methods for evaluating a computing system and determining functions for use in determining states of components of the system. Today, computer systems are heavily relied upon for performing many tasks, including as examples delivering (e.g., via computer networks, such as the Internet) a large array of business, government, and personal services. Mission critical operations, related to scientific instrumentation, military operations, and health services, as examples, are making increasing use of computer systems and computer networks for delivering information and distributed coordination. Many users commonly access service providers' computer systems via a communication network (e.g., the Internet) seeking information and/or such services as personal shopping, airline reservations, rental car reservations, hotel reservations, on-line auctions, on-line banking, stock market trading, as well as many other services being offered by service providers via computer networks, such as the Internet. Computing systems are also relied upon for controlling various operations, such as manufacturing of goods, inventory control, security monitoring control, etc. Because of the heavy reliance on such computing systems, a desire often exists for monitoring the computing systems to detect anomalous behavior thereof. That is, a desire often arises for monitoring of computing systems to detect occurrences of performance problems so that appropriate action can be taken to address the problems. One example of a computing system that is commonly implemented today is a distributed computing system. In general, a distributed computing system is a type of computing system in which different components and objects comprising an application can be located on different computing resources (e.g., on different computers) that are communicatively coupled together (e.g., via a communication network). So, for example, a distributed word processing application might be implemented with an editor component thereof on one computer, a spell-checker object thereof on a second computer, and a thesaurus component thereof on a third computer. In some distributed computing systems, each of the three computers could even be running a different operating system. Various other computing systems exist, including stand-alone computing systems, and other networked computing systems across which applications may or may not be distributed. If a problem (e.g., an error, slow-down, failure, etc.) occurs in a computing system, such as within one or more computers in a distributed computing system or in the communication network, the problem is likely to be reflected as abnormalities in one or more of various metrics. These metrics include, but are not limited to, performance metrics (e.g., average server time, server time distribution histogram, page file size, number of slow pages, number of transactions, etc.), network metrics (e.g., network throughput, network latency, etc.), and system metrics (e.g., CPU utilization, memory utilization, etc.). Techniques are well-known in the art for monitoring computing systems to accurately compute such metrics. For instance, resource monitors are known that are able to monitor a computing system and provide such performance, network, and/or system metrics. In turn, performance management monitors may monitor the computed metrics to detect if there is a problem in the computing system, as well as to characterize any such problem. Existing management tools provide the ability for a user (e.g., system administrator) to set thresholds for the metrics, often with the intent that such thresholds are set based on service level agreements and objectives. However, setting such thresholds can be challenging for several reasons. First, in large environments, there may be a very large number of applications and related metrics making it infeasible (or, even impossible) for the IT personnel to set corresponding thresholds for all of those metrics manually. Second, many administrators do not know to what values these thresholds should be set, as there is no clear understanding of the relationship between business objectives and these metrics. Therefore, a desire exists for automated detection and characterization of the state of computing systems, such as distributed computing systems. The ability to automatically determine and characterize whether a system or an application is in an abnormal state at a given time would provide the opportunity to solve the system and application problems fast and with a small cost. Various embodiments of the present invention are now described with reference to the above figures, wherein like reference numerals represent like parts throughout the several views. Embodiments of the present invention are directed to systems and methods for detecting performance (or “behavioral”) anomalies in a computing system. According to embodiments of the present invention, a system administrator is not required to set thresholds for observed metrics of the computing system in order to detect anomalies. Rather, according to certain embodiments, learning logic is provided that is operable to determine, from historical metrics of the system, appropriate state determination functions for various components of the system. State determination logic is also provided, which employs the state determination functions to, based on observed metrics of the computing system, determine states of the various system components. A state of a given component may be indicative of whether the behavior of the given component is normal or abnormal. According to certain embodiments, the computing system is modeled with a hierarchical model, and corresponding states of components of each layer of the model are determined. As an example, embodiments of the present invention may be employed for monitoring a distributed application that resides on a computing system, wherein the distributed application is modeled hierarchically and states of the components of the distributed application are determined (e.g., based on observed metrics of the computing system and interdependencies reflected in the hierarchical model) that are indicative of whether the distributed application is behaving normally. According to one embodiment, a base layer of the hierarchical model comprises observed metrics for the computing system (i.e., components for which metrics are observed), and each successive layer of the hierarchy includes components of the system that are dependent on the preceding layer, up to the top (or “apex”) layer that is representative of the full system (or portion thereof, e.g., a given distributed application) that is being monitored. Such hierarchical model may be employed by learning logic and by state determination logic, as discussed further herein. According to one embodiment, learning logic is provided that is operable to select sub-functions, d and h, of a given equation such that the description length represented by the given equation (e.g., Equation 1 described further below) is minimized over a set of historical metric values observed for the system. In general, sub-function di is a cost function associated with a component i in a base layer of the hierarchical model of the computing, and sub-function hk,i refers to a function associated with each component of each intermediate layer k of hierarchy where such function is based on the state of the component i and the state of the component to which component i is connected in the successive layer k+1 of the hierarchical model. State determination logic is also provided that is operable to select the arguments of sub-functions h and d (where the arguments are the states) that will minimize the description length represented by a given equation (e.g., Equation 1 discussed below) for the current metric values observed for the system being monitored. In general terms, the principle of minimum description length (MDL) is a theory that has its roots in information theory. According to the MDL principle, the best decision is the one that leads to the shortest description of the data, where the description length of the data is measured in terms of the information-theoretic entropy. The description length of a distributed service is the sum of the description length of the metrics and the description length of the states of the components. The metrics are observed/collected, and embodiments of the present invention may be employed to find the states. From the MDL principle, the best set of states is the one that minimizes the description length Thus, according to certain embodiments of the present invention, the learning logic finds the sub-functions that minimize the description length represented by a given equation over the historical metric data observed for a computing system (ensuring that an alarm ratio criterion is met, as discussed further herein). The state determination logic finds the particular set of states that will minimize the description length for the current metric values observed for the system being monitored. According to certain embodiments, both the learning logic and state determination logic make use of the hierarchical structure of the service mentioned above. Further, it should be understood that while minimization of description length is employed herein as an illustrative example, the function used for minimization does not have to be the description length. Rather, in alternative embodiments, any function having sub-functions may be employed, where each sub-function represents the dependency between two of the components linked in the hierarchical structure. The learning logic may be implemented to find the exact forms of these sub-functions, and the state determination logic may be implemented to find the states that minimize the function composed of these sub-functions over the current metric values. FIG. 1 shows an exemplary system 10 according to one embodiment of the present invention. System 10 comprises a computing system 11, which may be any computing system for which performance management is desired (e.g., to detect anomalous behavior, etc.), including as an example a personal computer (PC), laptop computer, server computer, etc., or even a distributing computing system (e.g., computing system 11 may comprise a plurality of computing resources across which applications (or portions thereof) may be distributed). Resource monitor(s) 12 are operable to monitor computing system 11 to derive metrics 11 for such computing system 11. Metrics 13 may include such metrics as performance metrics (e.g., average server time, server time distribution histogram, page file size, number of slow pages, number of transactions, etc.), network metrics (e.g., network throughput, network latency, etc.), and system metrics (e.g., CPU utilization, memory utilization, etc.), as examples. Any technique now known or later developed for determining such metrics 13 may be employed by resource monitors 12. Management system 14 is also provided, which is operable to receive metrics 13 and evaluate such metrics 13 to detect anomalous behavior of computing system 11. As shown, management system 14 comprises state determination logic 15, in this embodiment, which is operable to receive metrics 13 and, from such metrics 13, determine one or more “states” 16 of the computing system 11 (or component parts thereof such as a given application executing thereon). For instance, states 16 may indicate one of a plurality of different states pertaining to functionality of computing system 11 (or some component part thereof). A corresponding state 16 may be determined for each of various different component parts of computing system 11. As an example, states 16 may be either “0” indicating a normal state for a corresponding component part of computing system 11 or “1” indicating an abnormal state for a corresponding component part of computing system 11. As described further herein, in certain embodiments, the component parts of computing system 11 are arranged into a hierarchy of different layers by management system 14, which aids management system 14 in efficiently determining the state of the different components based, for example, on their interdependencies. According to certain embodiments, management system 14 may comprise certain action triggering logic 17 that is operable to monitor states 16 and trigger appropriate actions 18 based on the monitored states 16. For example, in response to a state 16 indicating that a component of computing system 11 is in an abnormal state, action triggering logic 17 may trigger a corresponding action 18 (e.g., based on rules that may have been predefined by a system administrator), such as triggering an alarm (e.g., an audible alarm and/or visual alarm to a display of an administrator's console), triggering a notification of appropriate personnel (e.g., call, email, text-message, fax, etc. an administrator, service personnel, technician, etc.), shutting down all or a part of computing system 11, re-assigning all or a portion of a workload to a different computing resource (either within computing system 11 or to a different computing system), etc. According to certain embodiments, metrics 13 that are captured over a period of time are stored as historical metrics 101. Thus, for instance, historical metrics 101 may comprise performance metrics of computing system 11 over some period of time, say the past 6 months. Further, according to certain embodiments of the present invention, model learning logic 102 is provided, which receives such historical metrics 101 and analyzes the behavior of computing system 11 over the period of time for which the historical metrics 101 were captured. From such analysis of historical metrics 101, model learning logic 102 may determine appropriate state determination functions 103 that are to be employed by state determination logic 15. Various elements of exemplary system 10, such as management system 14, state determination logic 15, action triggering logic 17, and model learning logic 102 may be implemented as computer-executable software code stored to a computer-readable medium and/or as hardware logic, as examples. Also, metrics 13, historical metrics 101, state determination functions 103, and states 16 may comprise corresponding data, which is stored to a computer-readable medium, such as memory, hard drive, peripheral data storage drive, optical data storage (e.g., CD, DVD, etc.), magnetic data storage, tape storage, etc. Such data may be stored in the form of any suitable data structure, such as to a database, file, table, etc. According to embodiments of the present invention, learning logic 102 is employed for monitoring a computing system 11 over time and based on the system's historical performance (e.g., historical metrics 101), such learning logic 102 determines whether observed metric value(s) 13 (e.g., at a given time) indicates that a given component of the system is normal or abnormal (i.e., a “state” of the component). Thus, a system administrator is not required to estimate threshold values of various metrics that are to be used for detecting anomalies in the system's behavior, but rather the learning logic 102 is operable to determine an appropriate function (e.g., function 103) for determining whether the system's behavior is in a normal or abnormal state based on observed metric values 13. FIG. 2 shows an operational flow diagram according to one embodiment of the present invention. In operational block 21, leaning logic 102 receives historical metrics 101 of a computing system 11 being monitored. In block 22, the learning logic 102 determines a corresponding function 103 for determining a state of at least one component of the computing system 11. As described further herein, such corresponding function for determining the states of the components may be determined in a manner that minimizes a given overall function of the system. In block 23, state determination logic 15 receives at least one measured metric 13 of the computing system 11 being monitored. In operational block 24, the state determination logic 15 determines a state 16 of the at least one component of the computing system 11 based on the determined corresponding function 103 for determining the state. Thus, in certain embodiments, the learning logic 102 may be utilized periodically (e.g., nightly, weekly, etc.) to evaluate historical metrics 101 of system 11 and update the state determination functions 103 that are employed by state determination logic 15. State determination logic 15 may then monitor real-time metrics 13 captured for system 11 and utilize the state determination functions 103 to detect anomalies in the behavior of system 11 based on the received metrics 13. According to one embodiment, a computing system being monitored is modeled hierarchically, which may provide efficiencies learning the state determination functions 103 (by learning logic 102) and/or for state determination (by state determination logic 15). For instance, a hierarchical model of the system may be employed that corresponds to the interdependencies of various components of the system and/or the underlying metrics observed for the system. As discussed further herein, in one embodiment, a base layer of the hierarchy includes the observed metrics, and each successive layer of the hierarchy includes components of the system being monitored that are dependent on the preceding layer, up to the top (or “apex”) layer that is representative of the full system (or portion thereof, e.g., a given distributed application) that is being monitored. Turning to FIG. 3, an exemplary embodiment in which the management system employs such a hierarchical model is shown. As shown, an hierarchical model 301 is employed in learning logic 102 and in state determination logic 15, wherein such exemplary hierarchical model 301 is shown in greater detail in state determination logic 15. FIG. 3 shows exemplary system 30 that again comprises computing system 11, which may be any computing system for which performance management is desired (e.g., to detect anomalous behavior, etc.). In this example, computing system 11 is a system on which a distributed application executes. In this example, computing system 11 comprises various components, Component 1, Component 2, . . . , Component N, for which resource monitor(s) 12 are operable to capture metrics, such as Metric 1, Metric 2, . . . , Metric N, respectively. Metrics 1-N may include such metrics as performance metrics (e.g., average server time, server time distribution histogram, page file size, number of slow pages, number of transactions, etc.), network metrics (e.g., network throughput, network latency, etc.), and system metrics (e.g., CPU utilization, memory utilization, etc.), as examples. Any technique now known or later developed for determining such metrics may be employed by resource monitors 12. Management system 14 is again provided, which is operable to receive the metrics Metric 1 through Metric N and evaluate such metrics to detect anomalous behavior of computing system 11. As shown, management system 14 comprises state determination logic 15, in this embodiment, which is operable to receive the metrics and determine one or more “states” 16 of the computing system 11 (or component parts thereof, such as a given application executing thereon). In this example, state determination logic 15 and learning logic 102 each employs an exemplary hierarchical model 301 that represents computing system 11. Such exemplary hierarchical model 301 is shown in detail (e.g., the exemplary layers for modeling distributed computing system 11) within state determination logic 15. In this example, hierarchical model 301 comprises a plurality of layers, including a base layer that includes corresponding metrics captured for components 1-N of system 11, which are shown as components 3021-302N of the hierarchical model 301. The next layer of hierarchical model 301 includes various URLs that are formed by the underlying components of the base layer, wherein such URLs are shown as URLs 3031-3034 of the hierarchical model 301. The next layer of hierarchical model 301 includes two data servers on which the URLs of the preceding layer reside, wherein such data servers are shown as data servers 3041-3042 of the hierarchical model 301. The top (“apex”) layer of hierarchical model 301 represents the full distributed application that is implemented on computing system 11 (i.e., that is distributed across the two data servers and is implemented by the four URLs of the preceding layers of the hierarchy), wherein such distributed application is shown as distributed application 305 of the hierarchical model 301. State determination logic 15 determines states 16 of the components of the various hierarchical layers of hierarchical model 301. For instance, such states 16 comprises a state for each of the components 1-N of the base layer of hierarchical model 301, which are shown as states 3061-306N. The states 16 also comprise states of the URLs of the next layer of hierarchical model 301, which are shown as URL states 3071-3074. States 16 also comprise states of the data servers of the next layer of hierarchical model 301, which are shown as data server states 3081-3082. Finally, states 16 also comprises a state of the full distributed application, which is shown as distributed application state 309. As mentioned above each of states 16 may indicate one of a plurality of different states for the corresponding component of computing system 11. As an example, states 16 may be either “0” indicating a normal state for a corresponding component part of computing system 11 or “1” indicating an abnormal state for a corresponding component part of computing system 11. Of course, while two states of “normal” and “abnormal” are described in this example, in certain embodiments any number of different states may be implemented for differentiating between different types of behavior. As one example, in certain embodiments, “0” may indicate a normal state for a corresponding component part of computing system 11, “1” may indicate an abnormally low state for a corresponding component part of computing system 11 (e.g., low CPU utilization, etc.), and “2” may indicate an abnormally high state for a corresponding component part of computing system 11 (e.g. high CPU utilization, etc.). As discussed further herein, learning logic 102 employs the hierarchical model 301 to determine, based on historical metrics 101, appropriate state determination functions 103 for each of the components in each layer of the model, wherein such state determination functions 103 may be employed by state determination logic 15 for determining states 16. As described further herein, according to certain embodiments of the present invention, the learning logic 102 finds the sub-functions that minimize the description length represented by a given equation (e.g., Equation 1 discussed below) over the historical metric data 101 observed for computing system 11. The state determination logic 15 then finds the particular set of states that will minimize the description length for the current metric values (Metrics 1-N) observed for the system 11 being monitored. FIG. 4 shows an operational flow diagram according to one embodiment of the present invention. In operational block 41, learning logic 102 and state determination logic 15 models hierarchically a distributed application that is implemented on a computing system 111 (e.g., as with hierarchical model 301 of FIG. 3). In doing so, the model may, in block 401, include a base layer of the hierarchical model that corresponds to components of the computing system 11 for which metrics are observed. Further, the model may, in block 402, include one or more intermediate layers of the hierarchical model that correspond to system components that are dependent on one or more of the components of the base layer. Further still, the model may, in block 403, include an apex layer of the hierarchical model that corresponds to the distributed application, which is dependent on the components of the preceding layers. In block 42, learning logic 102 receives historical metrics 101 of the computing system 1. In block 43, the learning logic 102 determines a corresponding function 103 for determining a state of each component of the hierarchical model 301. According to certain embodiments of the present invention, the learning logic 102 determines sub-functions that minimize the description length represented by a given equation over the historical metric data 101. For instance, in one embodiment, the learning logic 102 selects forms of sub-functions d and h that are included in a given equation, such as the equation that represents description length described below (i.e., Equation 1), such that the equation is minimized over a set of historical metric values observed for the system, as discussed further herein. In block 44, the state determination logic 15 receives at least one measured metric (e.g., Metrics 1-N of FIG. 3) of the computing system 11, and in block 45 the state determination logic 15 determines a state 16 of each component of the hierarchical model 301 based on the determined corresponding function 103 for determining the state. That is, a state indicating a behavioral state of the corresponding component, such as normal or abnormal, for each component of each layer of the hierarchical model (which is derived based on the received metrics). Thus, according to certain embodiments, the state determination logic 15 determines the particular set of states that will minimize the description length for the current metric values observed for the system 11 being monitored. According to one embodiment of the present invention, a computing system being monitored comprises a distributed computing system, which is modeled as having K layers, each of which has nk components, where k is a positive integer less than or equal to K. Each component in the model has a state associated with it, and the state can take one of two values: 0 (for normal) or 1 (for abnormal). Thus, for example, such model 301 (e.g., algorithm) may be employed by learning logic 102 and state determination logic 15 as shown in FIG. 3. The inputs to the management algorithm (e.g., of state determination logic 15 of FIG. 1) according to this exemplary embodiment are the observed metric values 13 associated with the states of the components in a first layer of the hierarchy (i.e., layer 1 ). As mentioned above, the metrics may include, but are not limited to, performance metrics (e.g., average server time, server time distribution histogram, page file size, number of slow pages, number of transactions), network metrics (e.g., network throughput, network latency) and system metrics (e.g., CPU utilization, memory utilization). The outputs of the exemplary management system (e.g., state determination logic 15 of FIG. 1) are the states 16 of each component of each layer. Each state can take one of two values, 0 (for normal) or 1 (for abnormal), in this exemplary embodiment. According to this exemplary embodiment, the computing system 11 is modeled as a hierarchy of different layers. The layer structure of such hierarchy may be implemented as follows: Layer 1: The number, n1, of components in layer 1 (or the “base” layer) is equal to the number of metrics. The state of the component i of layer 1 is the state of the metric i. Layer K: The number, nk, of components in layer K (or the “top” or “apex” layer of the hierarchy) is equal to one. The state of the component in layer K is the state of the distributed service itself (e.g., the state of a distributed computing system 11 or the state of a given distributed application that is being monitored/managed). Intermediate Layers: The number, nk, of components in layer k (where 1<k<K) is equal to the number of components in layer k. Each component in layer k (where I<k<K) is connected to (i) one or more components in layer k−1; and (ii) one and only one component in layer k+1.The connections imply the dependencies between the different layers and components, as mathematically modeled herein below (see equation (1) below). FIG. 5 is a diagram of an exemplary distributed application modeled hierarchically according to one embodiment of the present invention as having the following four layers: (i) states of the metrics in layer 1, (ii) states of the URLs in layer 2, (iii) states of the data centers in layer 3, and (iv) state of the distributed service itself in layer 4. Now, the mathematical model (e.g., hierarchical model 301) and state decisions according to one embodiment of the present invention are discussed further. In general terms: the principle of minimum description length (MDL) is a theory that has its roots in information theory. According to the MDL principle, the best decision is the one that leads to the shortest description of the data, where the description length of the data is measured in terms of the information-theoretic entropy. The description length of a distributed service is the sum of the description length of the metrics and the description length of the states of the components. According to this exemplary embodiment of the present invention, the metrics are observed/collected, and the goal becomes to find the states. From the MDL principle, the best set of states is the one that minimizes the description length. Based on information theory, it can be shown that the description length of a distributed service is given by Equation 1 described below, assuming a hierarchical service structure. The goal of the learning logic 102 is then to select of h and d such that the description length (e.g., Equation 1) is minimized over the set of historical metric values 101. The goal of the state determination logic 15 is to select the arguments of h and d (the arguments are the states) that will minimize the description length (e.g., Equation 1) for the current metric values (e.g., Metrics 1-N of FIG. 3). According to this exemplary embodiment, each component, i, in layer 1 is associated with a function of the form di(mbs), where mi is the observed value of metric i and s is a state variable. The state variable s can take one of the two values: 0 or 1, in this exemplary embodiment. The function di is a cost function, whose value is high when the metric shows abnormal behavior and is low when the metric shows normal behavior. The function di is estimated in the learning stage described further below. For instance, the function di may determine whether an observed metric value is normal or abnormal for the computing system being monitored (e.g., based on historical performance of the computing system). Each component, i, in each layer k is associated with a function of the form hk,i(s,t), where s is the state of the component and t is the state of the component to which it is connected in layer k+1. The state variables s and t can take one of two values: 0 or 1, in this exemplary embodiment. For layer K, hK,i(s,t)=hk,i(S) since there is no next layer in the hierarchy. The functions hk,i are estimated in the learning stage described further below. Denoting the state of component i of layer k by Sk,i, the outputs (i.e, the states) of the algorithm are the set of states that minimize the description length (DL): DL = ∑ k = 1 K ⁢ ⁢ ∑ i = 1 n k ⁢ ⁢ h k , i ⁡ ( s k , s k + 1 ) + ∑ j = 1 n 1 ⁢ ⁢ d j ⁡ ( m j , s 1 ) ( 1 ) Learning of the model, which may be employed by model learning logic 102, according to one embodiment of the present invention is now described. First, the representation of di is addressed. The functions of di can be represented as data compression cost functions, probability distribution functions, entropy functions, or any other cost function. Estimation of di depends on the choice of the cost function. For example, if a probability distribution is chosen, maximum likelihood estimation can be used. The operation of learning logic 102 according to this exemplary embodiment is now discussed in further detail. According to this embodiment each of the terms h and d of Equation (1) is a sub-function with some parameters. The forms of these sub-functions are determined by information-theoretic principles based on the hierarchical structure, and their parameters are learned by the learning logic 102. The learning logic 102 uses the statistics obtained from the historical metric measurements 101 to learn the parameters of h and d, provided the system administrator's desired alarm ratio is ensured. The learning logic 102 selects these sub-functions so as to minimize the description length given in Equation 1, ensuring that the system administrator's desired alarm ratio is met. There are many methods one can use to learn these sub-functions so as to minimize Equation 1; for instance, iterative techniques provide one such way to learn them. An example of such an iterative technique that may be employed in certain embodiments is as follows: Once the function di's are chosen and estimated, an iterative algorithm may be used to estimate hk,i's. An initial set of values for the hk,i's is chosen, and the following iterations are used to update these values. Each iteration includes the following two updates: i. given the estimates of the hk,i's from the previous iteration, predict the states of all components by minimizing DL (Eq. 1 above); ii. given the predicted states of all components from the previous iteration, update the values of the hk,i's by sample averages.The algorithm stops when the value of DL (Eq. 1 above) from one iteration to the next falls below a pre-defined threshold. An exemplary algorithm that may be employed for predicting the set of states of all components that minimizes DL by using such an iterative technique according to one embodiment of the present invention is as provided in the following pseudocode: Given variables: nk: number of components in layer k. K: number of layers. Other variables: costk,i(s) cost of component i of layer k if its state is S. statek,i(s): state of component i of layer k. storek,j(s): state of component j of layer k if the optimum state of the component to which it is attached in layer k+1 is S. temp0 and temp1: temporary variables.Algorithm predict states: \\InitializationSet all costi,j to zero\\ compute costs of observed metricsfor i=1 to n1{   cost1,i(0)=di(mi,0)   cost1,i(1)=di(mi,1)}\\ Iteratively compute DL with minimum costs at each level of hierarchyfor k=2 to K{ for i=1 to nk{  for j=1 to nk−1{   if component i in layer k is the parent of component j in layer k−1   do{    for t=0 to 1{     temp0=hk−1,j(0,t)+costk−1,j(0)     temp1=hk−1,j(1,t)+costk−1,j(1)     \\ choose minimum of two options at level k     costk,i(t)=min(temp0,temp1)     \\ store the state choice leading to the above minimum cost     if (temp0<temp1)      storek,j(t)=0     else      storek,j(t)=1     }     }    }  }}\\ Decide the state of layer K (top single node)if costK,1(0) < costK,1(1)  stateK,1=0else  stateK,1=1\\ Determine actual states of all lower layers components by traversing“store”:for k=K−1 to 1{  for i=1:nk{   for j=1:nk+1{   if component i in layer k is a child of component j in layer k+1    statek,i=storek,i(statek+1,j)    }    }   }Return state Operation of state determination logic 15 according to this exemplary embodiment of the present invention is now further described. Once the sub-functions h and d are learned, the state determination logic 15 finds the set of states that minimizes Equation (1) for the metric values of the current time instance. It should be noted that in this example the sub-functions h and d have two types of arguments: 1) observed metric values, and 2) states. Thus, state determination logic 15 determines the states that will minimize Equation 1 when presented with a set of metric values (e.g., the current Metrics 1-N of FIG. 3 that are observed for system 11). There are many methods one can use for this minimization to find the states, in accordance with embodiments of the present invention. For example, one such method is message passing. The hierarchical structure 301 of the service determines the routes through which messages ace sent to and received by the metrics. For instance, in FIG. 5, first each metric passes a message to its parent URL so that each URL forms a message. Then, each URL passes its message to its parent data center, so that each data center forms a message. Finally, each data center passes its message to the overall service layer, which forms its message. Then, the messages are passed back to the metrics through the same route. Each message is a function of one argument, taking two values: 0 and 1, in this exemplary embodiment. In this example, the algorithm employed by state determination logic 15 selects the argument value (0 or 1) that minimizes the messages in their routes back. In view of the above, according to certain embodiments of the present invention, learning logic 102 is provided which is operable to determine the sub-functions that minimize the description length over the historical metric data of a computing system being monitored, ensuring the alarm ratio criterion is met. State determination logic is also provided which is operable to determine the particular set of states that will minimize the description length for the current metric values observed for the computing system being monitored. Both the learning logic 102 and state determination logic 15 may employ a hierarchical structure of the system for efficiently arriving at their respective determinations. Further, it should be recognized that the function used for minimization does not have to be the description length, such as the exemplary Equation 1 discussed above. It can be any function, comprising a plurality of sub-functions, where each sub-function represents the dependency between two of the components linked in the hierarchical structure (it should be noted that each term h and d in Equation 1 above represents a dependency between two linked components). The goal of the learning logic 102 according to certain embodiments is to find the exact forms of these sub-functions, and the goal of the state determination logic 15 according to certain embodiments of the present invention is to find the states that minimize the function composed of these sub-functions over the current metric values. The exemplary techniques described above with Equation 1 provide one way to describe, learn and implement this function and its sub-functions, but other ways may be similarly employed. When implemented via computer-executable instructions, various elements of embodiments of the present invention are in essence the software code defining the operations of such various elements. The executable instructions or software code may be obtained from a readable medium (e.g. a hard drive media, optical media, EPROM, EEPROM, tape media, cartridge media, flash memory, ROM, memory stick, and/or the like) or communicated via a data signal from a communication medium (e.g., the Internet). In fact, readable media call include any medium that can store or transfer information. FIG. 6 illustrates an exemplary computer system 600 on which various elements of embodiments of the present invention, such as learning logic 102 and/or state determination logic 15, may be implemented according to certain embodiments of the present invention. Central processing unit (CPU) 601 is coupled to system bus 602. CPU 601 may be any general-purpose CPU. The present invention is not restricted by the architecture of CPU 601 (or other components of exemplary system 600) as long as CPU 601 (and other components of system 600) supports the inventive operations as described herein. CPU 601 may execute the various logical instructions according to embodiments of the present invention. For example, CPU 601 may execute machine-level instructions according to the exemplary operational flows described above in conjunction with FIGS. 2 and 4 and/or the exemplary pseudocode provided above. Computer system 600 also preferably includes random access memory (RAM) 603, which may be SRAM, DRAM, SDRAM, or the like. Computer system 600 preferably includes read-only memory (ROM) 604 which may be PROM, EPROM, EEPROM, or the like. RAM 603 and ROM 604 hold user and system data and programs, as is well known in the art. Computer system 600 also preferably includes input/output (I/O) adapter 605, communications adapter 611, user interface adapter 608, and display adapter 609. I/O adapter 605, user interface adapter 608, and/or communications adapter 611 may, in certain embodiments, enable a user to interact with computer system 600 in order to input information. I/O adapter 605 preferably connects to storage device(s) 606, such as one or more of hard drive, compact disc (CD) drive, floppy disk drive, tape drive, etc. to computer system 600. The storage devices may be utilized when RAM 603 is insufficient for the memory requirements associated with storing data for operations of the learning logic 102 and/or state determination logic 15. Communications adapter 611 is preferably adapted to couple computer system 600 to network 612, which may enable information to be input to and/or output from system 600 via such network 612 (e.g., the Internet or other wide-area network, a local-area network, a public or private switched telephony network, a wireless network, any combination of the foregoing). User interface adapter 608 couples user input devices, such as keyboard 613, pointing device 607, and microphone 614 and/or output devices, such as speaker(s) 615 to computer system 600. Display adapter 609 is driven by CPU 601 to control the display on display device 610 to, for example, display information, such as alarms that may be triggered based on determined states, according to certain embodiments of the present invention. It shall be appreciated that the present invention is not limited to the architecture of system 600. For example, any suitable processor-based device may be utilized for implementing learning logic 102 and/or state determination logic 15, including without limitation personal computers, laptop computers, computer workstations, and multi-processor servers. Moreover, embodiments of the present invention may be implemented on application specific integrated circuits (ASICs) or very large scale integrated (VLSI) circuits. In fact, persons of ordinary skill in the art may utilize any number of suitable structures capable of executing logical operations according to the embodiments of the present invention.
summary
summary
abstract
A reflective particle tag reader system includes a read head assembly having a camera, illuminators, and a rigid frame portion for supporting the camera and the illuminators. The illuminators illuminate a focal point located opposite the camera where a reflective particle tag is placed. A computer in data communication with the camera receives and store images of the reflective particle tag that are acquired by the camera. The computer is programmed to process video images and to quantify a positional alignment parameter and an angular alignment parameter of the reader with respect to the reflective particle tag. A rapid burst of image frames is obtained in response to the positional alignment and the angular alignment parameters being within a predetermined tolerance and identity of the reflective tag is established between a first image set and a second image set.