patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
047537744
summary
CROSS-REFEENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending applications dealing with related subject matter and assigned to the assignee of the present invention: 1. "Nuclear Fuel Assembly" by Robert F. Barry et al, assigned U.S. Ser. No. 368,555 and filed Apr. 15, 1982 now abandoned, and divisional application Ser. No. 550,669, filed Nov. 10, 1983, now U.S. Pat. No. 4,560,532, issued Dec. 12, 1985. 2. "Coolant Flow Paths Within a Nuclear Fuel Assembly" by Pratap K. Doshi, assigned, Ser. No. 794,582, filed Nov. 1, 1985, now allowed, a continuation of U.S. Ser. No. 602,089 and filed Apr. 19, 1984 now abandoned, a continuation of U.S. Ser. No. 368,552, filed Apr. 15, 1982 and now abandoned. 3. "Water Tubes Arranged in Cross-Like Pattern in a Fuel Assembly" by Carl A. Olson et al, assigned U.S. Ser. No. 642,844 and filed Aug. 20, 1984, now U.S. Pat. No. 4,652,426, issued Mar. 24, 1987. 4. "Cross Brace for Stiffening a Water Cross in a Fuel Assembly" by C. K. Lui, assigned U.S. Ser. No. 672,042 and filed Nov. 16, 1984, now U.S. Pat. No. 4,659,543, issued Apr. 21, 1987. 5. "Improved Boiling Water Nuclear Reactor Fuel Assembly" by Rusi Taleyarkhan, assigned U.S. Ser. No. 729,602 and filed May 2, 1985, now allowed. 6. "BWR Fuel Assembly with Water Flow Mixing Chamber at Fuel Bundle/Water Cross Entrance" by Rusi Taleyarkhan, assigned U.S. Ser. No. 746,619 and filed June 19, 1985, now U.S. Pat. No. 4,649,021, issued Mar. 10, 1987. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to fuel assemblies for a nuclear reactor and, more particularly, is concerned with a boiling water reactor (BWR) fuel assembly having a water cross with coolant flow entry ports which minimize uncertainties in the amount of flow entering the water cross. 2. Description of the Prior Art Typically, large amounts of energy are released through nuclear fission in a nuclear reactor with the energy being dissipated as heat in the elongated fuel elements or rods of the reactor. The heat is commonly removed by passing a coolant in heat exchange relation to the fuel rods so that the heat can be extracted from the coolant to perform useful work. In nuclear reactors generally, a plurality of the fuel rods are grouped together to form a fuel assembly. A number of such fuel assemblies are typically arranged in a matrix to form a nuclear reactor core capable of a self-sustained, nuclear fission reaction. The core is submerged in a flowing liquid, such as light water, that serves as the coolant for removing heat from the fuel rods and as a neutron moderator. Specifically, in a BWR the fuel assemblies are typically grouped in clusters of four with one control rod associated with each four assemblies. The control rod is insertable in between the fuel assemblies for controlling the reactivity of the core. Each such cluster of four fuel assemblies surrounding a control rod is commonly referred to as a fuel cell of the reactor core. A typical BWR fuel assembly in the cluster is ordinarily formed by an N-by-N array or bundle of the elongated fuel rods. In the present disclosure, an exemplary array of 64 fuel rods in an 8.times.8 configuration is shown. The fuel rods are supported in laterally spaced-apart relation and are encircled by a tubular outer channel member having a generally rectangular cross-section. Examples of such fuel assemblies are illustrated and described in U.S. Pat. Nos. 3,689,358 to Smith et al and 3,802,995 to Fritz et al, and in Canadian Patent No. 1,150,423 to Anderson et al, as well as in the patent applications cross-referenced above. In a fuel assembly of this type, the fuel rods in the central region of the bundle thereof may be undermoderated and overenriched. In order to remedy this condition by increasing the moderation in this region of the assembly, several water cross arrangements have been proposed. See, for example, the above cross-referenced Barry et al, Doshi, Lui and Taleyarkhan patent applications. As disclosed in the aforementioned cross-referenced applications, the central water cross is located within the outer channel member and is formed of four radial panels which together form a cruciform water flow channel which divides the fuel assembly into four separate elongated compartments, with the bundle of fuel rods being divided into mini-bundles disposed in the respective compartments. The water cross thus provides a centrally disposed cross-shaped path for the flow of subcooled neutron moderator water within the channel along the length of, but separate from, adjacent fuel rods in the mini-bundles thereof. The fuel rods of each mini-bundle extend in laterally spaced-apart relationship between an upper tie plate and a lower tie plate. The fuel rods, selectively connected with the upper and lower tie plates in a known manner, comprise a separate fuel rod subassembly within each of the compartments of the outer channel member. A plurality of grids axially spaced along the fuel rods of each fuel rod subassembly maintain the fuel rods in their laterally spaced relationships. In many applications, the water cross has approximately the same axial length as the fuel rod subassemblies, extending between the upper and lower tie plates thereof. As mentioned initially, coolant is passed along the fuel rods for removing heat therefrom. In the design of BWRs in the United States, subcooled water enters a bottom nozzle of the fuel assembly through a side entrance. Thereafter, the water is distributed upwardly into the four mini-bundles and the water cross. Typically, flow through the water cross is approximately 9 to 10 percent of the total flow through the fuel bundle. Due to the side entry characteristics of these BWRs, it has been found that significant maldistribution of flow can result at the inlet to each fuel assembly. This, along with complex nozzle flow patterns and crud buildup effects, results in uncertainties in the mass flow actually entering the water cross. Maldistribution affects the operation of the individual fuel rod mini-bundles. As an example, a deficiency in coolant inlet flow to one mini-bundle can lead to increased boiling of the coolant/moderator in that mini-bundle with a consequent deterioration in the moderation efficiency of the coolant and the loss of neutron fissioning efficiency of that mini-bundle. That loss of power production must be compensated in one of the other mini-bundles in the assembly. This means that that mini-bundle must in turn operate closer to the fuel safety limits than desired. If the water cross has irregular or uncertain flow, the operation of the entire fuel rod assembly may be drastically affected. If the coolant flow to the water cross is too low, boiling of that coolant/moderator can result. This reduces the moderation efficiency of the coolant and the fissioning efficiency of the fuel assembly. That loss of power production leads to the under-utilization of that fuel assembly with its economic penalties. It also means that the remaining fuel assemblies in the core must make up the loss of power production. Therefore, those assemblies are forced to operate closer to the fuel safety limits than desired. Conversely, if the water cross flow is too large, this means that a greater fraction of the coolant flow than desired is diverted to the water cross which is not available to provide heat transfer cooling of the fuel rods in that assembly. A plot of the water cross inlet orificing loss coefficient vs. orificing flow area is set forth in FIG. 6. In current designs the fuel bundle operates in the relatively steep portion of the curve at S.sub.1. Thus, a small change in orifice area may cause a large increase in flow loss, which would in turn lead to possible boiling of water cross moderator fluid and attendant effects as noted above. Further, as the total flow of the bundle is decreased (at constant power) the water cross flow is even more dramatically affected, so that the undesirable results become more likely. If the orificing to the water cross is controlled so as to operate in the relatively flap part S.sub.2 of the curve of FIG. 6, changes in orificing losses would not create significant changes in the flow to the water cross. Consequently, the need exists for further improvement of the BWR fuel assembly so as to eliminate or significantly minimize water cross flow uncertainty, mini-bundle inlet flow maldistribution and crud buildup sensitivity, and thereby avoid the undesirable effects which accompany these conditions. SUMMARY OF THE INVENTION The present invention provides a modification which is designed to satisfy the aforementioned needs. Underlying the present invention are the following observations. Assuring a certain amount of water cross flow depends on eliminating sensitivity and crud buildup effects. That is, by providing orificing flow area in the flat portion of the curve in FIG. 6, this assures that there is only a negligible increase in the water cross loss coefficient with any increase in water cross inlet flow area. Due to the pressure loss of the mini-bundle fluid in the bottom nozzle and lower tie plates, a smaller pressure gradient would have to be overcome for a prescribed flow into the water cross if the water-cross entry flow holes are located above the lower tie plate rather than below, as in current designs. These observations lead to the relatively simple solution to the foregoing problems provided by the present invention: close off the water cross flow inlet orificing holes located below the lower tie plate in the present design and, rather, provide communication holes or slots on the sides of the water cross walls in the entrance region at an elevation above the lower tie plate. Accordingly, the present invention sets forth an improved feature in a BWR fuel assembly. The fuel assembly includes a bundle of elongated fuel rods disposed in side-by-side relationship so as to form an array of spaced fuel rods, a tubular outer flow channel member surrounding the fuel rods so as to direct flow of coolant/moderator fluid along the fuel rods, and a hollow water cross extending centrally through and interconnected with the outer flow channel member so as to divide the outer channel member into separate compartments and the bundle of fuel rods into a plurality of mini-bundles in the respective compartments. The improved feature of the fuel assembly comprises cross flow inlet means in the form of holes in the panels of the water cross between lower end portions of the mini-bundles of fuel rods and above the lower tie plate which minimizes maldistribution of flow between the respective mini-bundles; decreases flow uncertainty to the water cross; and reduces crud build-up sensitivity in the water cross inlet orificing. More particularly, the cross flow means includes axially opposed holes or orificing in a lower end of confronting panels of the water cross above the lower tie plate, with the water cross lower end extending to the lower tie plates. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings, wherein there is shown and described an illustrative embodiment of the invention.
description
This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2010-173602, filed on Aug. 2, 2010, the entire contents of which are incorporated herein by reference. Embodiments described herein relate generally to a semiconductor circuit, a semiconductor circuit test method, and a semiconductor circuit test system. Generally, a semiconductor circuit is tested by supplying test patterns stored, in advance, in a tester memory in an LSI tester to the semiconductor circuit. When a plurality of tests are conducted, test patterns corresponding to the number of tests should be stored in the tester memory. The functions of the semiconductor circuit have been complicated in recent years, and the number of tests to be required has also been increased. However, the capacity of the tester memory is limited, which leads to a problem that all test patterns cannot be stored in the tester memory and thus the tests cannot be adequately conducted. In general, according to one embodiment, a semiconductor circuit test method is disclosed. The method can generate a basic format of a test pattern and store the basic format in a test device. The basic format includes at least one parameter and a test program for testing a test target semiconductor circuit. The method can set a predetermined value for the parameter to generate the test pattern including the test program and the parameter set to the predetermined value and supply the test pattern to the test target semiconductor circuit. The method can have store the test program in a first address of a storing module in the test target semiconductor circuit and store the parameter set to the predetermined value in a second address of the storing module. In addition, the method can execute the test program stored in the first address while referring to the parameter stored in the second address. Embodiments will now be explained with reference to the accompanying drawings. FIG. 1 is a schematic diagram of a semiconductor circuit test system including a semiconductor circuit 20 according to a first embodiment. The test system of FIG. 1 has an LSI tester (test device) 10 and the semiconductor circuit 20 to be tested. The LSI tester 10 has a test pattern generator 11 and a tester memory 12. The semiconductor circuit 20 is an LSI for an IC card, for example, and has an input/output pin 21, a controller 22, a RAM (storing module) 23, a CPU (test executing module) 24 and a memory 25. The LSI tester 10 supplies a test pattern to the semiconductor circuit 20 to test the semiconductor circuit 20. In the present embodiment, an example is shown where the test is conducted on the memory 25 formed of a plurality of pages in the semiconductor circuit 20. More specifically, predetermined data is written in a predetermined address of one or every page in the memory 25, and the address is read to test whether or not the write data matches the read data. The tester memory 12 in the LSI tester 10 stores a basic format 13 of the test pattern. FIG. 2 is a diagram showing an example of the basic format 13 of the test pattern. The basic format 13 has a test program 131, parameters 132 including parameters “a” to “c”, a program execution time 133 and an output expectation value 134. The test program 131 is a program for testing the memory 25 in the semiconductor circuit 20. The test program 131 includes pointers *Adr0 to *Adr2, the number of which is the same as the number of the parameters 132. These pointers show the addresses of the RAM 23 (to be explained later) in the semiconductor circuit 20, and the parameters 132 stored in the addresses designated by the pointers are referred to when the test program 131 is conducted. As stated above, in the basic format 13 of the present embodiment, the parameters 132 are set in regions different from that of the test program 131. The test pattern generator 11 sets the parameters “a” to “c” so that the values thereof are different dependent on the test. The parameter “a” shows an initial write address, the parameter “b” shows a write size (the number of pages), and the parameter “c” shows write data. The program execution time 133 is a time required for the test, which is calculated dependent on the test in advance. For example, the program execution time 133 is set short when only one page among the memory 25 is tested, and is set long when all pages of the memory 25 are tested. The output expectation value 134 is an expectation value of the output obtained as a result of the test. In the present embodiment, the test whether or not the data written in the memory 25 and the data read from the memory 25 match is conducted, and thus an OK code value indicative of matching is set as the output expectation value 134. The test pattern is obtained by setting the parameters 132 etc. in the basic format 13. In the present embodiment, the tester memory 12 stores only one basic format 13, and thus it is unnecessary to store a plurality of test patterns corresponding to the number of tests. Note that the basic format 13 of FIG. 2 is merely one example. As long as at least one parameter 132 is set in a region different from that of the test program 131, the basic format 13 can be changed dependent on the test. For example, the number of parameters 132 may be arbitrarily set dependent on the kind of test, and the basic format 13 may further include a “mode setting” and so on incorporated in the semiconductor circuit 20 in advance in order to perform a test which is different from that conducted by the test program 131. The test pattern generator 11 in the LSI tester 10 of FIG. 1 executes a main program 14 to set predetermined values for the parameters “a” to “c”, the program execution time 133, and the output expectation value 134 included in the basic format 13, thereby the test pattern being generated. Then, the test pattern generator 11 supplies the generated test pattern to the semiconductor circuit 20 without storing the generated test pattern in the tester memory 12. FIG. 3 is a diagram showing an example of the structure of the main program 14. In the example shown in FIG. 3, four tests, namely tests 1 to 4, are conducted. As shown in FIG. 3, when the test pattern generator 11 executes the main program 14, values of “a1”, “b1”, and “c1” are set for the parameters “a”, “b”, and “c” of the basic format 13 respectively in order to conduct test 1 firstly. Although not shown in FIG. 3, the program execution time 133 and the output expectation value 134 are also set. The main program 14 may be stored in the tester memory 12 or in a storage area different from the tester memory 12. Because the main program 14 does not include the test program 131 itself, not a large storage capacity is needed. Here, each of the basic format 13 and the test pattern is serial data having 1-bit data arranged in series. That is, each of them is not a set formed of the address and data, and the correspondence between each bit of the serial data and each of the test program 131, the parameters “a” to “c”, the program execution time 133, and the output expectation value 134 is determined in advance. The test pattern is inputted to the input/output pin 21 of the semiconductor circuit 20 of FIG. 1 from the LSI tester 10 in series. The controller 22 executes a test ROM program 26, stores the test program 131 included in the test pattern in predetermined address Adr_pgm0 to Adr_pgmn (first addresses) of the RAM 23, and stores the parameters “a” to “c” in addresses Adr0 to Adr2 (second addresses), respectively. Here, the pointers *Adr0 to *Adr2 included in the test program 131 correspond to the addresses Adr0 to Adr2 of the RAM 23 respectively. As stated above, the test pattern is serial data, and the data in the test pattern such as the test program 131 and the parameters 132 is not associated with the address. Further, in the test pattern, the parameters 132 are set in regions different from that of the test program 131. Therefore, the semiconductor circuit 20 extracts each of the test program 131 and the parameters “a” to “c” from the test pattern inputted in series and stores each item in a predetermined address of the RAM 23, which is one of characteristic functions of the present embodiment. The CPU 24 executes the test program 131 stored in the RAM 23 to test the semiconductor circuit 20. At this time, the parameters “a” to “c” stored in the addresses Adr0 to Adr2 of the RAM 23 corresponding to the pointers *Adr0 to *Adr2 included in the test program 131 are referred to. When the program execution time 133 set in the test pattern by the test pattern generator 11 passes after the test program 131 is executed, the CPU 24 outputs a code value signal indicative of matching or mismatching from the input/output pin 21. Note that not only the OK code value indicating that the write data and the read data match each other but also an NG code value indicative of mismatching therebetween can be outputted as the output data. Further, it is also possible to obtain the information other than pass/fail information of the test result to improve convenience of the test, by outputting the last address and data read in the test together with the OK code value or by outputting the first mismatching read address and read data together with the NG code value. FIG. 4 is a flowchart showing an example of the test steps of the semiconductor circuit 20. Firstly, the basic format 13 shown in FIG. 2 and the main program 14 shown in FIG. 3 are generated in the outside of the LSI tester 10 and stored in the LSI tester 10 (step S1). Next, the test pattern generator 11 executes the main program 14 to generate a test pattern (step S2). In order to conduct test 1 of FIG. 3 first, the test pattern generator 11 sets “a1”, “b1” and “c1” for the parameter “a”, “b”, and “c”, respectively. Since the basic format 13 is serial data formed of 1-bit data, the test pattern generator 11 can easily set the above values for the bits corresponding to the parameters “a” to “c”. Then, the test pattern generator 11 supplies the generated test pattern to the controller 22 in the semiconductor circuit 20 through the one input/output pin 21 of the semiconductor circuit 20 (step S3). The controller 22 supplied with the test pattern executes the test ROM program 26, extracts the test program 131 from the test pattern formed of serial data to store the test program 131 in the addresses Adr_pgm0 to Adr_pgmn of the RAM 23, and extracts the parameters “a” to “c” from the test pattern to store the parameters “a” to “c” in the addresses Adr0 to Adr2 (step S4). FIG. 5 is a diagram showing an example of the test pattern stored in the RAM 23. As shown in FIG. 5, the test program 131 and the parameters 132 are stored in different regions. Next, the CPU 24 executes the test program 131 stored in the RAM 23 (step S5). More specifically, the CPU 24 writes data “c1” in addresses “a1” to “a1”+“b1” of the memory 25, since “a1”, “b1”, and “c1” are stored in the addresses Adr0, Adr1, and Adr2 of the RAM 23 corresponding to the pointers *Adr0 to *Adr2 included in the test program 131, respectively. Then, the CPU 24 reads the data written in the same address of the same page. Further, the CPU 24 outputs, from the input/output pin 21, the code value signal indicative of whether or not the write data matches the read data. In this way, test 1 of the main program 14 shown in FIG. 3 is completed. Since all tests are not completed yet (NO at step S6), in order to conduct test 2, the test pattern generator 11 generates the test pattern by setting “a2”, “b2”, and “c2” for the parameter “a”, “b”, and “c”, respectively (step S2). Successively, the test is similarly conducted by steps S3 to S5. These steps are repeated until test 4 is conducted, namely, until all tests are conducted (YES at step S6), the test of the semiconductor circuit 20 is completed. As stated above, in the first embodiment, the basic format 13 of the test pattern having the parameters 132 stored in regions different from that of the test program 131 is generated, and this basic format 13 is stored in the tester memory 12. Then, each time the test is conducted, the test pattern is generated by setting different values for the parameters 132 of the basic format 13 and the test pattern is supplied to the semiconductor circuit 20 without being stored in the tester memory 12. Further, the semiconductor circuit 20 can extract the test program 131 and the parameters 132 from the supplied test pattern to store them in different address of the RAM 23. Therefore, it is enough to stored one basic format 13 in the tester memory 12, and thus the test can be efficiently conducted by using the limited capacity of the tester memory 12. As stated above, in the first embodiment, each time the test is conducted, the test pattern is generated and supplied to the semiconductor circuit 20. On the other hand, in a second embodiment to be explained hereinafter, the test is conducted after every test pattern is supplied to the semiconductor circuit 20. In the present embodiment, the basic format 13 of the test pattern is different from that of the first embodiment. FIG. 6 is a diagram showing an example of the basic format 13 of the test pattern according to the present embodiment. It is assumed that the four tests shown in FIG. 3 are conducted using the basic format 13 of FIG. 6. The basic format 13 of FIG. 6 is different from that of FIG. 2 in that the test program 131 includes four sets of pointers *Adr10 to *Adr12, *Adr20 to *Adr22, *Adr30 to *Adr32 and *Adr40 to *Adr42. The number of these pointers is equal to the product of the number of parameters and the number of tests (tests 1 to 4) to be conducted. Then, when test 1 is conducted for example, the pointers *Adr10 to *Adr12 are used. FIG. 7 is a flowchart showing another example of the test steps of the semiconductor circuit 20. Firstly, the basic format 13 shown in FIG. 6 and the main program 14 shown in FIG. 3 are generated in the outside of the LSI tester 10 and stored in the LSI tester 10 (step S11). Next, the test pattern generator 11 executes the main program 14 to generate a test pattern (step S12). Here, the test pattern generator 11 sets, for the parameter “a”, four values “a1” to “a4” corresponding to tests 1 to 4 in FIG. 3. More specifically, “a1” to “a4” are connected in serial and set for the parameter “a”. Similarly, the parameter “b”, the parameter “c”, the program execution time 133, and the output expectation value 134 are set. Then, the test pattern generator 11 supplies the controller 22 of the semiconductor circuit 20 with the generated test pattern (Step S13). The controller 22 executes the test ROM program 26, extracts the test program 131 from the test pattern to store the test program 131 in the addresses Adr_pgm0 to Adr_pgmn of the RAM 23, and extracts the parameters “a” to “c” from the test pattern to store the parameters “a” to “c” in the addresses Adr10 to Adr12, Adr20 to Adr22, Adr30 to Adr32, and Adr40 to Adr42 (step S14). FIG. 8 is a diagram showing an example of the test pattern stored in the RAM 23. In the present embodiment, the test ROM program 26 is generated assuming that four values are set for one parameter. Therefore, four values “a1” to “a4” are set for the parameter “a”, and the controller 22 stores them in the addresses Adr10, Adr20, Adr30, and Adr40, respectively. The other parameters “b” and “c” are similar to the parameter “a”. After that, the CPU 24 executes the test program 131 stored in the RAM 23 (step S15). Firstly, in order to conduct test 1, the test program 131 refers to the parameters “a1”, “b1”, and “c1” stored in the addresses corresponding to the pointers *Adr10 to *Adr12. Then, when test 1 is completed, which shows that all the tests are not completed yet (NO at step S16), in order to conduct test 2, the test program 131 refers to the parameters “a2”, “b2”, and “c2” stored in the addresses corresponding to the pointers *Adr20 to *Adr22. Successively, the remaining tests are conducted referring to different addresses dependent on each test. When all of tests 1 to 4 are completed (YES at step S16), the test of the semiconductor circuit 20 is completed. As stated above, in the second embodiment, because the test pattern is generated where a plurality of values are set for one parameter, the test pattern is transferred from the LSI tester 10 to the semiconductor circuit 20 only once. Accordingly, similarly to the first embodiment, the test can be efficiently conducted and the time required for the test can be reduced. While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the inventions. Indeed, the novel methods and systems described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the methods and systems described herein may be made without departing from the spirit of the inventions. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fail within the scope and spirit of the inventions.
claims
1. A device for chopping x-ray beams emanating from a source, the device comprising a rotating disk, said disk defining a channel extending along a diameter of said disk wherein the source is pulsating, wherein the angular position of said channel on said rotating disk can be phase locked to said pulsating source, and wherein the variation in the time required for each revolution in the rotational speed of the channel is less than 5 ppm. 2. The device as recited in claim 1 wherein said channel allows traversal by the x-ray beam for a time span shorter than 2500 nanoseconds. claim 1 3. The device as recited in claim 1 wherein said rotation allows traversal by the x-ray beam at a frequency of greater than 2600 Hz. claim 1 4. The device as recited in claim 1 wherein said disk rotates at a rate as high as 1335 Hz. claim 1 5. The device as recited in claim 1 wherein the disk is confined to a controlled atmosphere. claim 1 6. The device as recited in claim 5 wherein the controlled atmosphere provides a low-friction environment. claim 5 7. The device as recited in claim 1 wherein the source is continuous. claim 1 8. A device for chopping x-ray beams comprising a rotating disk having a first side and a second side, said disk defining a passage extending along the entire diameter of the disk. 9. The device as recited in claim 8 wherein the passage is a channel formed on the first side of said disk. claim 8 10. The device as recited in claim 8 wherein the passage is a tunnel formed between the first side and second side. claim 8 11. A device for chopping x-ray beams comprising: a disk having a channel extending along a diameter of said disk and positioned at a specific height relative to a base face of said disk; a shaft connecting said disk to a motor; an first electrical means for powering said motor and a second electrical means for controlling said motor""s speed; an optical means for determining a speed associated with said disk and for communicating said speed to said second electrical means; an x-ray beam incident on said disk where said x-ray beam strikes said disk at a specific incident frequency and at a position on said disk coincident with said position of said channel relative to said base of said disk. 12. The device as recited in claim 11 wherein the device is enclosed in a housing having a first pair of ports and a second pair of ports where said first and said second pair of ports are positioned such that when each pair of ports is independently aligned with said channel that a beam will transverse from one port through said channel to the next port of said pair, and where in a plane constructed through said first pair of ports and said second pair of ports, a line joining the first pair of ports is offset from a line joining said second pair of ports by a specified angular separation. claim 11 13. The device as recited in claim 11 wherein said first electrical means is in communication with said second electrical means and wherein said second electrical means has a timing device which acts as a master clock for said chopping device. claim 11 14. The device as recited in claim 12 wherein said optical means comprises an optical beam which is focused on an outer circumferential surface of said disk, on which, a plurality of equally spaced polished facets exist and where a plurality of reflections from said facets is optically coupled to an optical encoder which transmits a frequency associated with said disk to said second electrical means for comparison to said master clock. claim 12 15. The device of claim 14 wherein said second electrical means contains a delay generator to control a phase difference between said master clock and said disk frequency. claim 14 16. The device of claim 15 wherein said second electrical means operates to phase-lock said rotational frequency of said disk to said master clock. claim 15 17. The device of claim 13 wherein master clock of said timing device is coupled to said specific incident frequency of said x-ray. claim 13
052746844
description
PREFERRED EMBODIMENT OF THE INVENTION A first embodiment of the invention will be explained with reference to FIG. 1. In the following, the structures which are common to the conventional assembly are given the same designations, and their detailed explanations are omitted. In the following, the explanations on the apparatus for assembling a fuel assembly are based on the fuel rods, shown in FIG. 1, travelling from left to right in the illustration. The entry-side (left) is defined as the side from which the fuel rods enter some object, and the exit-side (right) is defined as the side from which the fuel rods exit the object. The assembling apparatus shown in FIG. 1 comprises: a rectangular parallelepiped shaped fuel rod magazine 20 (shown on the left side of the illustration) which supports a plurality of horizontally placed fuel rods 6; a push-out mechanism 30 (driving means) which pushes the fuel rods 6 to the exit-side of the assembling apparatus; a plurality of support frames 40 which are arranged outward of the exit-side of the fuel rod magazine 20, in such a way that open sections of the grid cells 5 face toward the fuel rod magazine 20; a plurality of fuel rods support rollers (guiding means) 50 which are disposed at the entry-side of the support frames 40 (i.e. between the support frames 40 and the fuel rod magazine 20), providing support from underside to the fuel rods 6 exiting from the fuel rod magazine 20 in the direction of grid cells 5 to prevent the rods 6 from sagging; an expander jig 60 which is inserted inside the space of the guide pipe 3 which is inserted into the grid cells 5, and expand the guide pipe 3; and key mean manipulator 70 disposed on one side of the support frames 40. The grids 4 of the first embodiment are provided with a plurality of sleeves 4a extending out in one direction, as shown schematically in FIG. 2, at those grid cells 5 where the guide pipes 3 are to be inserted. (This figure shows only one such sleeve for the purpose of illustration.) The push-out mechanism 30 comprises: a push plate 32 having a plurality of push rods 31 extending toward the fuel rod magazine 20; a threaded screw support 33 which supports the push plate 32 and provide means for the vertical adjustments thereof; a base 34 which supports the threaded screw support 33; a cylinder 35 to provide longitudinal movement of the base 34; and a pair of rails 36 providing a freely sliding movement to the base 34. The support frames 40 are disposed on the upper portion of a raising mechanism 80 which performs the function of raising the fuel assembly when the assembling operation is completed. The raising mechanism 80 comprises: a rotating base 81 in a form of an extending plate which is disposed at one end of the fuel rod magazine 20 and along the longitudinal direction of the fuel rod magazine 20; rotating means 82 which provides a driving power so as to raise the rotating base 81 from the horizontal position to an inclined position. The fuel rods support roller 50 is supported on a frame 51 provided on the side region of the rotating base 81, and is freely rotatable around an axis perpendicular to the axis of the fuel rods 6. The frame 51 is supported by means of a plurality of threaded screw supports 52 which are freely movable vertically. The expander jig 60 is provided, at the tip end (the extreme left portion of the jig 60 in FIG. 1), with a known expander device for the guide pipe 3 freely movable longitudinally on the base support 61, and is power driven into the guide pipe 3 provided on the grid 4 supported on the support frames 40. The key means manipulator 70 comprises: a leg section 71 which is disposed vertically on the side region of the raising mechanism 80, and which is movable freely in the longitudinal direction of the raising mechanism 80; an arm section 72 which is attached rotatably to the leg section 71 and an arm section 73 which is attached rotatably to the arm section 72; a sideways U-shaped guide section 74 attached to the end of the arm 73; and key means operating device (not shown) accompanying the guide section 74. The function of the key means operating device is to deactivate the spring 10 by inserting key means (not shown) into the grid 4, and to reactivate the spring 10 by removing the key means therefrom. At the exit-side of the fuel rod magazine 20 are disposed fuel rod insertion rollers 90 disposed transversely to the axis of the fuel rods 6. The insertion rollers 90 comprise: two main rollers 91.multidot.92 which are horizontally disposed one above and one below the fuel rods, and which are rotated by a power means; a frame 93 which freely rotatably supports the rollers 91.multidot.92; a threaded screw support 94 which supports the frame 93 freely movable vertically; and a cylinder 96 which moves the threaded screw support 94 transversely (perpendicular to the fuel rods 6) via the roller plate 95. At the exit-side of the support frames 40 situated farthest from the fuel rod magazine 20 (the right end in FIG. 1) is disposed a stopper plate 100. The stopper plate 100 comprises: a rectangular shaped positioning plate 101 (positioning means) and a cylinder 102 which is disposed on the side region of the positioning plate 101, and which moves the positioning plate 101 transversely. There is no particular restriction to the positioning plate 101, so long as it can move approximately transversely to the fuel rods, both vertically and horizontally. Next, the method of assembling the fuel assembly using the assembling apparatus of the first embodiment will be described with reference to a block flow diagram shown in FIG. 3. First, a grid 4 is set to each of the supporting frames 40 (grid positioning). Next, by means of the key means manipulator 70, key means are inserted into each grid 4, thereby placing the spring 10 on the grid 4 in the deactivating position. The key means insertion operation can also be carried out manually. Next, the push-out mechanism 30 is adjusted so as to place the push rods 31 to correspond with the fuel rods 6 stored at the topmost position of the fuel rod magazine 20, and, by retracting the cylinder 35, the topmost fuel rods 6 are pushed toward the grid 4. The exiting fuel rods 6 pass between the main rollers 91.multidot.92, which then drive the fuel rods 6 into the inside of the grid cells 5 of the first grids 4. The fuel rods 6 continue their travel through the first grids 4 and continue on into the grid cells 5 of the next set of grids 4, while the bottom surface of the fuel rods is being supported by the fuel rod support rollers 50. According to the assembling apparatus of the first embodiment, by disposing the fuel rod support roller 50 on the entry-side of the grids 4, the tip of the exiting fuel rods 6 are prevented from sagging due to their own weight. Otherwise, the sagging of the tip of the fuel rods 6 may cause scratches (fine surface damage) to the surface of the fuel rods or damages to the grids 4 due to mechanical interference of the straps 7, which form the grids 4, with the fuel rods. An advantage of the present apparatus is that by providing support to the moving fuel rods 6, the generation of surface damage such as fine scratches is avoided, thereby maintaining the high quality of the fuel assembly. As the fuel rods are pushed into the grid cells 5 of the last set of grids 4, the exiting ends of the fuel rods 6 ultimately butt up against the positioning plate 101 of the stopper plate 100. At this stage, the insertion operation of the topmost fuel rods 6 in the fuel rod magazine 20 has been completed. Next, the cylinder 35 of the push-out mechanism 30 is extended to return the push plate 32 to the starting position. The threaded screw support 33 of the push-out mechanism 30 is lowered slightly so that the push rods 31 correspond with the fuel rods 6 in the second tier of the fuel rod magazine 20. The positions of the main rollers 91.multidot.92 and of the fuel rod support roller 50 are also adjusted slightly lower to correspond with the fuel rods 6 in the second tier of the fuel rod magazine 20. Then, the fuel rods 6 in the second tier are pushed into each of the grids 4 as before. The same procedure is followed for other fuel rods 6 in other tiers until all the fuel rods 6 are placed in the respective grids 4 of the fuel rod magazine 20. The key mean manipulator 70 is again operated to take out the key means from the grids 4. This operation place the springs 10 in contact with the surface of the fuel rods 6 (activated) in the grids 4, thereby firmly holding the fuel rods 6 within the grids 4. The fuel rod magazine 20 is removed, and the required number of guide pipes 3 are inserted in the sleeves 4a provided on each grids 4, using the installation process similar to that described for the fuel rods 6. Next, the expander jig 60 is advanced into the inside of the guide pipes 3, and the bulging tool at the tip of the expander jig 60 is placed to correspond with the position of the sleeve 4a of the grids 4 situated farthest from the expander jig 60 (the left of FIG. 1). The guide pipes 3 and the sleeves 4a are bulge formed as shown in FIG. 2 with the use of the expander jig 60, thereby fixing the relative position of the grid 4 and the guide pipes 3 within the fuel assembly. In the above procedure, at the time of installing the guide pipes 3, because the grids 4 are not able to move due to the fuel rods 6 firmly attached thereto, the stretching caused by the expander jig 60 imposes a slight uniform tension on the guide pipes 3 in the direction shown by the arrows P1 and P2 in FIG. 2. This slight tensile force in the guide pipes may be responsible for preventing the distortion of the fuel assembly. It is thought that if the grids 4 were able to shift their positions relative to the fuel rods 6, the grids 4 would move in the direction of the arrow P3 upon the bulging operation, thereby relieving the tensile stress created by the bulging operation. In the process of the present invention, the grids 4 are firmly held in place by the fuel rods 6, achieved in the previous step of clamping with the springs 10, making the grids 4 unable to move, thereby creating a uniform residual tension in the fuel assembly. Further, when inserting the fuel rods into the grids according to the conventional assembling method, unless the alignment of all the fuel rods are maintained precisely with respect to each other until the assembling operation has been completed, non-uniform compressive stresses are often introduced into the guide pipes, thereby causing distortion of the fuel assembly. However, according to the method of the present invention, the fuel rods and the grids are firmly held together before the guide pipes are fixed in place, thus preventing the introduction of non-uniform compressive stresses into the guide pipes. A second embodiment of the assembling apparatus will be explained with reference to FIG. 4. The features common to the first embodiment will be given the same reference numeral, and the detailed explanations are omitted. The difference between the first and the second embodiments is that, in the second embodiment, the fuel rod magazine 20 is rotated through an angle of 45 degrees around the longitudinal axis thereof, and the grids 4 and the expander jig 60 are, correspondingly, rotated through the same angle. Further difference is that the push-out mechanism 30 using the rods 31 in the first embodiment are replaced in the second embodiment with a tube driving mechanism 130 which comprises: longitudinal flexible nylon tubes 131 extensible in the direction of the fuel rods 6; a pick-up roller 133 which winds the tubes 131 on a drum 132; a motor 134 which rotates the pick-up roller 133; the driving rollers 135, disposed between the drum 132 and the fuel rod magazine 20, and arranged so that one roller is above and the other below the tube 131 so as to pinch the tubes 131 therebetween to drive the tubes 131 into the fuel rod magazine 20; a roller cover 136 which is disposed between the driving rollers 135 and the drum 132; and a support base 137 of the push-out mechanism 130 vertically movable as a whole. Further difference is that, in the first embodiment, the fuel rods 6 were supported by support rollers 50 before they entered the grids 4, but in the second embodiment, this has been replaced with guide plates 150 (guiding means) having a number of notches sufficient to accept two tiers of fuel rods 6. The height of the guide plate 150 is adjusted by means of the threaded screw supports 151. Further difference is that, in the first embodiment, the key means manipulator 70 was disposed on the side region of the raising mechanism 80, but in the second embodiment, the key means manipulator mechanism 170 was disposed above the fuel rod magazine 20. The key means manipulator mechanism 170 is disposed so that it saddles the fuel rod magazine 20, and comprises: a leg 171 of an inverted U-shape which is movable in the longitudinal direction of the fuel rod magazine 20; a table 172 which is disposed on the upper exit-side of the leg 171, and which is movable in the X-Y direction (illustrated in FIG. 4); a shaft 173 disposed on the exit-side of the table 172 (right in FIG. 4) and extending in the longitudinal direction and having a joint rotatable around the shaft axis; a guide part 174 which is disposed at the end of the shaft 173, and which deactivates the spring 10 when key means is inserted into the grids 4 and activates the spring 10 when the key means is removed from the grids 4; and key means operating device (not shown) for insertion and removal of the key means. The assembling method using the apparatus of the second embodiment will be explained below. As in the first embodiment, the grids 4 are placed on each of the support frames 40, and by operating the key means manipulator mechanism 170, key means are inserted into the grids 4 to deactivate the springs provided on each grid 4. Next, by adjusting the height of the support base 137 of the push-out mechanism 130, the position of the tube 131 is adjusted to correspond with the fuel rods 6 located at the topmost level (the apex of the grids 4 in FIG. 4), and only those tubes 131 corresponding to the fuel rods 6 are driven in the direction of the fuel rods magazine 20, thereby pushing the respective fuel rods 6 in the grid direction. The exiting fuel rods 6 are supported by means of the guide plate 150 from the underside, and are then inserted into the grids 4. The extended tubes 131 are wound back onto the pick-up roller 133 to return the tubes 131 to the initial position. Next, the support base 137 is lowered to a position to correspond with the next tier of fuel rods 6, which are pushed out and inserted into the grids 4 as in the above process. By repeating the above described process for all the fuel rods 6 in the fuel rod magazine 20, a fuel assembly is produced. According to the assembling apparatus presented in the second embodiment, because both the fuel rod magazine 20 and the grids 4 are inclined at 45 degrees, it becomes possible to utilize the gravity of the key means weight in the step of inserting the key means into the grids 4 with the use of the key means manipulator mechanism 170, thereby providing an advantage that the key means insertion operation is simplified. Other advantages are the same as in the first embodiment, and they are not prepared. In the second embodiment, the fuel rod magazine 20 and the grids 4 were rotated through 45 degrees about a longitudinal axis thereof, but any angle in a range of not less than 10 degrees and not more than 80 degrees is effective for a gravity assisted operation. The most preferred range is not less than 40 degrees and not more than 50 degrees of inclination. a variation of the second embodiment will be explained with reference to FIG. 6. In the second embodiment the tubes 131 were made of nylon. In the variation version, the tips of the tubes 131 are protected by installing a freely extendable outer tube 138, made of titanium, which is shaped like an extending antenna, and installing a stopper 139 at the entry-side (left in FIG. 6) of the tube 131 to prevent drifting of the terminal end of the outer tube 138, and thereby to prevent the interference effects between the outer tube 138 and the driving rollers 135. According to this variation of the second embodiment, the deformation of the tube 131 is prevented with the use of the outer tube 138, enabling the operation of driving the fuel rods 6 to be performed reliably. The present invention is not bound by the embodiments presented above, and other variations are possible without deviating from the basic concepts of the invention.
053923260
claims
1. A boiling water reactor comprising: a reactor pressure vessel accommodated in a reactor containment vessel in a vertical fashion; a core disposed at a low portion in the reactor pressure vessel, said core being composed of a plurality of fuel assemblies arranged with spaces from each other; a plurality of control rods to be inserted from an upper side of the core into the spaces between the fuel assemblies and withdrawn therefrom upwardly; a shroud surrounding the fuel assemblies so as to define the core and having an upper end opening; a shroud head which closes the upper end opening of the shroud and through which said control rods are inserted or withdrawn; a separator means standing upward from the shroud head to carry out gas-water separation of steam generated from the core; a fixing pedestal disposed above the separator means and provided with a steam flow hole through which steam separated by the separator means passes; a control rod driving mechanism located within the reactor pressure vessel mounted on the fixing pedestal and adapted to drive the control rods; a drier means arranged along an upper inner wall surface of the reactor pressure vessel; and a jet pump means disposed in a space between an outer surface of the shroud and an inner wall surface of the reactor pressure vessel. 2. A boiling water reactor according to claim 1, wherein said drier means comprises a plurality of drier elements arranged annularly along the upper inner wall surface of the reactor pressure vessel, drain receiving vessels disposed at lower end portions of the drier elements and drain tubes extending downward from the drain receiving vessels. 3. A boiling water reactor according to claim 2, wherein each of said drier element is composed of a metal plate formed with a number of punched holes. 4. A boiling water reactor according to claim 1, wherein said reactor pressure vessel has an upper end opening closed by a cover to which are provided a plurality of cable protection tubes through each of which a plurality of cables for measurement in a bundle extend outward from an inside of the reactor pressure vessel. 5. A boiling water reactor according to claim 1, wherein each of said control rods is provided with a magnet coupling on the way of the control rod so as to separate the control rod at a portion at which the magnet coupling is mounted. 6. A boiling water reactor according to claim 1, wherein said control rod driving mechanism is provided with a drive means composed of electromagnetic coils. 7. A boiling water reactor according to claim 6, wherein said electromagnetic coils are formed as metal insulated cables to which metal coating ceramics insulation is effected. 8. A boiling water reactor according to claim 1, wherein said control rod driving mechanism is provided with a drive means composed of an electromagnetically driven motor. 9. A boiling water reactor according to claim 1, wherein said separator means comprises a plurality of cylindrical bodies standing upward from the shroud head, a plurality of cross-shaped control rod guide tubes to be inserted into a space between adjacent fuel assemblies and a support plate for supporting the cylindrical bodies standing upward vertical attitude. 10. A boiling water reactor according to claim 9, wherein each of said cross-shaped control rod guide tubes is formed with a portion through which a control rod driving shaft penetrates and to which a labyrinth is formed to prevent a coolant from rising. 11. A boiling water reactor according to claim 1, wherein each of said control rods has a vertical length substantially equal to a vertical length of the core and a vertically moving length of a control rod driving shaft is substantially equal to the vertical length of the core. 12. A boiling water reactor according to claim 1, wherein said jet pump means comprises a plurality of jet pumps arranged with equal spaces from each other and annularly along the inner wall surface of the reactor pressure vessel. 13. A boiling water reactor according to claim 12, wherein each of said jet pumps comprises first and second stage nozzles, first and second stage throats and a diffuser connected to the second stage throat. 14. A boiling water reactor according to claim 12, wherein said jet pump means is driven by a plurality of jet pump driving pumps. 15. A boiling water reactor according to claim 12, wherein said jet pump means is driven by a plurality of steam injectors. 16. A boiling water reactor according to claim 1, wherein said jet pump means is operated so that a flow rate ratio of a driving water with respect to a driven water is at least more than 6. 17. A boiling water reactor according to claim 1, wherein the control rod drive mechanism is removed together with the fixing pedestal out of the reactor pressure vessel. 18. A boiling water reactor according to claim 1, wherein each of the fuel assemblies has a longitudinal length longer than twice a conventional fuel assembly. 19. A boiling water reactor according to claim 1, wherein the control rods have a cross-shaped cluster structure. 20. A boiling water reactor according to claim 1, wherein a channel box is formed with vertical grooves along which the control rods move.
description
This application generally relates to nuclear reactor fuel assemblies and more particularly relates to a nuclear fission reactor fuel assembly and system configured for controlled removal of a volatile fission product and heat released by a burn wave in a traveling wave nuclear fission reactor and method for same. It is known that, in an operating nuclear fission reactor, neutrons of a known energy are captured by nuclides having a high atomic mass. The resulting compound nucleus separates into fission products that include two lower atomic mass fission fragments and also decay products. Nuclides known to undergo such fission by neutrons of all energies include uranium-233, uranium-235 and plutonium-239, which are fissile nuclides. For example, thermal neutrons having a kinetic energy of 0.0253 eV (electron volts) can be used to fission U-235 nuclei. Fission of thorium-232 and uranium-238, which are fertile nuclides, will not undergo induced fission, except with fast neutrons that have a kinetic energy of at least 1 MeV (million electron volts). The total kinetic energy released from each fission event is about 200 MeV. This kinetic energy is eventually transformed into heat. Moreover, the fission process, which starts with an initial source of neutrons, liberates additional neutrons as well as transforms kinetic energy into heat. This results in a self-sustaining fission chain reaction that is accompanied by continued release of heat. For every neutron that is absorbed, more than one neutron is liberated until the fissile nuclei are depleted. This phenomenon is used in a commercial nuclear reactor to produce continuous heat that, in turn, is used to generate electricity. Attempts have been made to address fission product accumulation during reactor operation. U.S. Pat. No. 4,285,891, issued Aug. 25, 1981 in the names of Lane A. Bray et al. and titled “Method of Removing Fission Gases from Irradiated Fuel” discloses a method for removing volatile fission products from irradiated fuel by first passing a hydrogen-containing inert gas by the fuel which is heated to an elevated temperature of at least 1000° C. and then passing inert gas alone by the fuel which is at the elevated temperature. Another approach is disclosed in U.S. Pat. No. 5,268,947, issued Dec. 7, 1993 in the names of Bernard Bastide et al. and titled “Nuclear Fuel Elements Comprising a Trap for Fission Products Based on Oxide”. This patent discloses a nuclear fuel element comprising sintered pellets which are surrounded by a metallic sheath and permitting trapping of the fission products characterized in that the pellets contain or are coated with or that the sheath is internally coated with an agent for trapping the fission products. The fission products are trapped by forming with the trapping agent oxygenated compounds which are stable at high temperature. According to an aspect of this disclosure, there is provided a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in a traveling wave nuclear fission reactor, comprising an enclosure adapted to enclose a porous nuclear fuel body and a fluid control subassembly coupled to the enclosure and adapted to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body. According to an aspect of this disclosure, there is provided a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in the nuclear fission reactor fuel assembly, comprising an enclosure adapted to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of pores having the volatile fission product therein and a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and for controllably removing at least a portion of the heat generated by the nuclear fuel body. According to an aspect of this disclosure, there is provided a system for controlled removal of a volatile fission product released by presence of a burn wave in a nuclear fission reactor fuel assembly, comprising an enclosure adapted to enclose a porous nuclear fuel body defining a plurality of pores having the volatile fission product therein and a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body. According to an aspect of this disclosure, there is provided a system for controlled removal of a volatile fission product released by presence of a burn wave in a nuclear fission reactor fuel assembly, comprising an enclosure adapted to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores having the volatile fission product therein and a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and for controllably removing at least a portion of the heat generated by the nuclear fuel body. According to an aspect of this disclosure, there is provided a method of assembling a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in a traveling wave nuclear fission reactor, comprising providing an enclosure to enclose a porous nuclear fuel body and coupling a fluid control subassembly to the enclosure to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality locations corresponding to the burn wave. According to an aspect of this disclosure, there is provided a method of assembling a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in a traveling wave nuclear fission reactor, comprising providing an enclosure to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores and coupling a fluid control subassembly to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. According to an aspect of this disclosure, there is provided a method comprising controlling removal of a volatile fission product at a plurality of locations corresponding to a burn wave of a traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. According to an aspect of this disclosure, there is provided a method of operating a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in a traveling wave nuclear fission reactor, comprising using an enclosure enclosing a porous nuclear fuel body having the volatile fission product therein and using a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. According to an aspect of this disclosure, there is provided a method of operating a nuclear fission reactor fuel assembly configured for controlled removal of a volatile fission product released by a burn wave in a traveling wave nuclear fission reactor, comprising using an enclosure enclosing a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores and using a fluid control subassembly coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. A feature of the present disclosure is the provision, for use in a traveling wave nuclear fission reactor, of an enclosure adapted to enclose a porous nuclear fuel body having the volatile fission product therein. Another feature of the present disclosure is the provision, for use in a traveling wave nuclear fission reactor, of a fluid control subassembly coupled to the enclosure and adapted to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body. Yet another feature of the present disclosure is the provision, for use in a traveling wave nuclear fission reactor, of a fluid control subassembly coupled to the enclosure for controllably removing at least a portion of the heat generated by the nuclear fuel body. Still another feature of the present disclosure is the provision, for use in a traveling wave nuclear fission reactor, of a dual-purpose circuit coupled to the enclosure for selectively removing the volatile fission product and the heat from the nuclear fuel body. In addition to the foregoing, various other method and/or device aspects are set forth and described in the teachings such as text (e.g., claims and/or detailed description) and/or drawings of the present disclosure. The foregoing is a summary and thus may contain simplifications, generalizations, inclusions, and/or omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. In addition to the illustrative aspects, embodiments, and features described above, further aspects, embodiments, and features will become apparent by reference to the drawings and the following detailed description. In the following detailed description, reference is made to the accompanying drawings, which form a part hereof. In the drawings, similar symbols typically identify similar components, unless context dictates otherwise. The illustrative embodiments described in the detailed description, drawings, and claims are not meant to be limiting. Other embodiments may be utilized, and other changes may be made, without departing from the spirit or scope of the subject matter presented herein. In addition, the present application uses formal outline headings for clarity of presentation. However, it is to be understood that the outline headings are for presentation purposes, and that different types of subject matter may be discussed throughout the application (e.g., device(s)/structure(s) may be described under process(es)/operations heading(s) and/or process(es)/operations may be discussed under structure(s)/process(es) headings; and/or descriptions of single topics may span two or more topic headings). Hence, the use of the formal outline headings is not intended to be in any way limiting. Moreover, the herein described subject matter sometimes illustrates different components contained within, or connected with, different other components. It is to be understood that such depicted architectures are merely exemplary, and that in fact many other architectures may be implemented which achieve the same functionality. In a conceptual sense, any arrangement of components to achieve the same functionality is effectively “associated” such that the desired functionality is achieved. Hence, any two components herein combined to achieve a particular functionality can be seen as “associated with” each other such that the desired functionality is achieved, irrespective of architectures or intermedial components. Likewise, any two components so associated can also be viewed as being “operably connected”, or “operably coupled,” to each other to achieve the desired functionality, and any two components capable of being so associated can also be viewed as being “operably couplable,” to each other to achieve the desired functionality. Specific examples of operably couplable include but are not limited to physically mateable and/or physically interacting components, and/or wirelessly interactable, and/or wirelessly interacting components, and/or logically interacting, and/or logically interactable components. In some instances, one or more components may be referred to herein as “configured to,” “configurable to,” “operable/operative to,” “adapted/adaptable,” “able to,” “conformable/conformed to,” etc. Those skilled in the art will recognize that “configured to” can generally encompass active-state components and/or inactive-state components and/or standby-state components, unless context requires otherwise. Heat build-up during reactor operation may cause fuel assemblies to undergo expansion leading to misalignment of reactor core components, fuel cladding creep that can increase risk of fuel cladding rupture and fuel swelling during reactor operation. This may increase the risk that the fuel might crack or otherwise degrade. Fuel cracking may precede fuel-cladding failure mechanisms, such as fuel-clad mechanical interaction, and lead to fission gas release. The fission gas release results in higher than normal radiation levels. Fission products are generated during the fission process and may accumulate in the fuel. Accumulation of fission products, including fission gas, may lead to an undesirable amount of fuel assembly expansion. Such fuel assembly expansion may, in turn, increase the risk of fuel cracking and concomitant release of fission products into the surrounding environment. Although safety margins incorporated into the reactor design and precise quality control during manufacture reduce these risks to a minimal level, in some cases, it may still be appropriate to reduce these risks even further. Therefore, referring to FIG. 1, there is shown a first embodiment nuclear fission reactor fuel assembly and system, generally referred to as 10, for producing heat due to fission of a fissile nuclide, such as uranium-235, uranium-233 or plutonium-239, or due to fast-fission of a nuclide such as thorium-232 or uranium-238. It will be understood from the description hereinbelow that fuel assembly 10 is also capable of controlled removal of a volatile fission product 15 produced during the fission process. Volatile fission product 15 is produced by a traveling burn wave 16 that is initiated by a comparatively small and removable nuclear fission igniter 17. In this regard, nuclear fission igniter 17, that includes a moderate isotopic enrichment of nuclear fissionable material, such as, without limitation, U-233, U-235 or Pu-239, is suitably located at a predetermined location in fuel assembly 10. Neutrons are released by igniter 17. The neutrons that are released by igniter 17 are captured by fissile and/or fertile material within nuclear fission fuel assembly 10 to initiate a fission chain reaction. Igniter 17 may be removed once the chain reaction becomes self-sustaining, if desired. It may be appreciated that volatile fission product 15 can be controllably released in response to the controlled positioning of burn wave 16 in nuclear fission reactor fuel assembly 10. It should be understood that any of the embodiments of the fuel assembly described herein may be used as a component of a traveling wave nuclear fission reactor. Such a traveling wave nuclear fission reactor is disclosed in detail in co-pending U.S. patent application Ser. No. 11/605,943 filed Nov. 28, 2006 in the names of Roderick A. Hyde, et al. and titled “Automated Nuclear Power Reactor For Long-Term Operation”, which application is assigned to the assignee of the present application, the entire disclosure of which is hereby incorporated by reference. Still referring to FIG. 1, fuel assembly 10 comprises an enclosure 20 having enclosure walls 30 for sealingly enclosing a porous nuclear fuel body 40 therein. Fuel body 40 comprises the aforementioned fissile nuclide, such as uranium-235, uranium-233 or plutonium-239. Alternatively, fuel body 40 may comprise the aforementioned fertile nuclide, such as thorium-232 and/or uranium-238, which will be transmuted during the fission process into one or more of the fissile nuclides mentioned hereinabove. A further alternative is that fuel body 40 may comprise a predetermined mixture of fissile and fertile nuclides. As described in more detail hereinbelow, fuel body 40 is capable of producing volatile fission product 15, which may be isotopes of iodine, bromine, cesium, potassium, rubidium, strontium, xenon, krypton, barium and mixtures thereof or other gaseous or volatile materials. Referring again to FIG. 1, as previously mentioned, porous nuclear fuel body 40 may substantially comprise a metal, such as uranium, thorium, plutonium, or alloys thereof. More specifically, nuclear fuel body 40 may be a porous material made from an oxide selected from the group consisting essentially of uranium monoxide (UO), uranium dioxide (UO2), thorium dioxide (ThO2) (also referred to as thorium oxide), uranium trioxide (UO3), uranium oxide-plutonium oxide (UO—PuO), triuranium octoxide (U3O8) and mixtures thereof. Alternatively, fuel body 40 may substantially comprise a carbide of uranium (UCx) or a carbide of thorium (ThCx). For example, fuel body 40 may be a foam material made from a carbide selected from the group consisting essentially of uranium monocarbide (UC), uranium dicarbide (UC2), uranium sesquicarbide (U2C3), thorium dicarbide (ThC2), thorium carbide (ThC) and mixtures thereof. The uranium carbide or thorium carbide may be sputtered into a matrix of niobium carbide (NbC) and zirconium carbide (ZrC), so as to form fuel body 40. A potential benefit of using niobium carbide and zirconium carbide is that they form a refractory structural substrate for the uranium carbide or thorium carbide. As another example, fuel body 40 may be a porous material made from a nitride selected from the group consisting essentially of uranium nitride (U3N2), uranium nitride-zirconium nitride (U3N2—Zr3N4), uranium-plutonium nitride ((U—Pu)N), thorium nitride (ThN), uranium-zirconium alloy (UZr) and mixtures thereof. As best seen in FIGS. 2 and 2A, porous fuel body 40 may define a plurality of interconnected open-cell pores 50 spatially distributed within fuel body 40. As used herein, the terminology “open-cell pores” means that each pore 50 is interconnected with one or more neighboring pores 50, thereby permitting fluid, such as gas or liquid, to directly travel between pores 50. That is, open-cell pores 50 are disposed within fuel body 40 so as to form a fibrous, rod-like, web-like or honeycomb structure. Alternatively, fuel body 40 may comprise a porous fuel material formed by a collection of fuel particles 63 (such as sintered beads or packed spheres) that define a plurality of interstitial channels 65 therebetween. Also, open-cell pores 50 may be disposed within fuel material having a mixture of foam and porous characteristics. It should be understood that the description hereinbelow pertaining to pores 50 also applies to channels 65. Referring again to FIGS. 2 and 2A, it may be appreciated that volatile fission product 15 that is produced by burn wave 16 may initially reside in some or all of pores 50 and can naturally vaporize and diffuse through nuclear fuel body 40. It also may be appreciated that at least some of pores 50 are of a predetermined configuration for allowing at least a portion of volatile fission product 15 to escape pores 50 of porous nuclear fuel body 40 within a predetermined response time. The predetermined response time may be between approximately 10 seconds and approximately 1,000 seconds. Alternatively, the predetermined response time may be between approximately one second and approximately 10,000 seconds depending on the predetermined configuration of pores 50. Returning to FIG. 1, coupled to enclosure 20, such as by a first pipe segment 70, is a fluid control subassembly 80 that defines a first volume 90 containing a first fluid, such as pressurized helium gas. Alternatively, the first fluid may be any suitable pressurized inert gas, such as, without limitation, neon, argon, krypton, xenon, and mixtures thereof. Another alternative is the first fluid may be a suitable liquid, such as liquid lead (Pb), sodium (Na), lithium (Li), mercury (Hg) or similar liquids or liquid mixtures. As described more fully hereinbelow, fluid control subassembly 80 assists in controllably removing volatile fission product 15 and heat from fuel body 40. In other words, fluid control subassembly 80 is capable of circulating the first fluid through porous nuclear fuel body 40. In this manner, heat and volatile fission product 15 are removed from fuel body 40 while the first fluid circulates through fuel body 40. Turning now to FIG. 3, a second embodiment nuclear fission reactor fuel assembly and system, generally referred to as 100, is there shown. This second embodiment fuel assembly 100 is substantially similar to first embodiment fuel assembly 10, except that a heat exchanger 110 is associated with enclosure 20. Heat exchanger 110 comprises a shell 120 defining an interior 130 capable of containing a second fluid for cooling the first fluid that is used to remove heat and volatile fission product 15 from fuel body 40. The second fluid has a temperature lower than the temperature of the first fluid. Disposed within interior 130 are a plurality of U-shaped tubes 132 (only one of which is shown) having two open ends. In this regard, one end of U-shaped tube 132 has an opening 134 and the other end of U-shaped tube 132 has another opening 136. Openings 134 and 136 are in fluid communication with the first fluid occupying first volume 90 of fluid control subassembly 80. It may be appreciated that there is a density difference between the cooled portion of first fluid residing within tubes 132 and the heated portion of the first fluid in porous nuclear fuel body 40. This temperature difference will give rise to a difference in density between the cooled portion of the first fluid residing within tubes 132 and the heated portion of the first fluid in porous nuclear fuel body 40. The difference in fluid densities will, in turn, cause the molecules of the cooler fluid portion to be exchanged with the molecules of the hotter fluid portion because the cooler fluid portion is located physically higher than or above the hotter fluid portion. Thus, an interchange of cooler and hotter fluid portions will occur and cause a natural convective current that will circulate the first fluid through fuel assembly 100 and nuclear fuel body 40. Moreover, tubes 132 are U-shaped to increase heat transfer surface area to enhance this natural convection. Thus, natural convection is relied upon to circulate the first fluid due to the substantial temperature difference between the cooler and hotter portions of the first fluid. As the first fluid circulates through tubes 132, the second fluid, which is at a substantially lower temperature than the first fluid, will be caused to enter interior 130 through an inlet nozzle 140, such as by means of a pump (not shown). The second fluid will then exit interior 130 through an outlet nozzle 150. As the second fluid enters and exits heat exchanger 110, the lower temperature second fluid will surround the plurality of U-shaped tubes 132. Conductive heat transfer, through the walls of tubes 132, will occur between the first fluid circulating in tubes 132 and the second fluid surrounding tubes 132. In this manner, the heated first fluid will give up its heat to the cooler second fluid. Referring again to FIG. 3, this second embodiment fuel assembly 100 may be operable with no pumps or valves to circulate the first fluid because the first fluid can be circulated by means of natural convection. Absence of pumps and valves may increase reliability of second embodiment fuel assembly 100 while reducing costs of manufacture and maintenance of second embodiment fuel assembly 100. Still referring to FIG. 3, heat exchanger 110 may serve as a steam generator, if desired. That is, depending on the temperature and pressure within heat exchanger 110, a portion of the second fluid can vaporize to steam (when the second fluid is water) which exits outlet nozzle 150. The steam exiting outlet nozzle 150 can be transported to a turbine-generator device (not shown) for producing electricity in a manner well known in the art of electricity generation from steam. Referring to FIG. 4, there is shown a third embodiment nuclear fission reactor fuel assembly and system, generally referred to as 190, intended primarily for removing heat and volatile fission products 15 from fuel body 40. Third embodiment nuclear fission reactor fuel assembly 190 comprises a second pipe segment 200 that is in communication with first volume 90 at one end of second pipe segment 200 and is integrally connected at the other end of second pipe segment 200 to an inlet of a first pump 210, which may be a centrifugal pump. Such a pump suitable for this purpose may be of a type that may be available, for example, from Sulzer Pumps, Ltd. located in Winterthur, Switzerland. An outlet of first pump 210 is connected to a third pipe segment 220, which in turn is in communication with fuel body 40. Moreover, heat exchanger 110 may be coupled to third pipe segment 220 for removing heat from the fluid flowing through third pipe segment 220. Still referring to FIG. 4, to remove heat from fuel body 40, first pump 210 is activated. First pump 210 will draw fluid, such as the previously mentioned helium gas, from second pipe segment 200 and thus from first volume 90, which is defined by fluid control subassembly 80. First pump 210 will pump the fluid through third pipe segment 220. The fluid flowing through third pipe segment 220 is received by the plurality (or multiplicity) of open-cell pores 50 that are defined by fuel body 40. The fluid flowing through open-cell pores 50 will acquire the heat produced by fuel body 40. The heat is acquired by means of forced convective heat transfer as the fluid is pumped through open-cell pores 50 by means of first pump 210. As first pump 210 is operated, the fluid flowing through fuel body 40 and that is experiencing the convective heat transfer, is drawn, due to the pumping action of pump 210, through first pipe segment 70, into first volume 90, through second pipe segment 200 and thence into third pipe segment 220 where the heat is removed by heat exchanger 110. Also, while fluid circulates between fuel body 40 and first volume 90, a portion of volatile fission products 15 originating in fuel body 40 can be scavenged and retained within first volume 90 thereby removing or at least lowering the amount of fission product 15 present in fuel body 40. In this regard, first volume 90 may be lined with a fission product scavenging material 225 which retains fission product 15 as the fission product removal fluid enters volume 90. The fission product scavenging material may be, with limitation, silver zeolite (AgZ) for removing Xenon (Xe) and Krypton (Kr) or the fission product scavenging material may be, without limitation, metallic oxides of silicon dioxide (SiO2) or titanium dioxide (TiO2) for removing radioisotopes of cesium (Cs), rubidium (Rb), iodine (I2), tellurium (Te) and mixtures thereof. A benefit of using this third embodiment fuel assembly 190 is that only a pump 210 is required to circulate the first fluid. No valves are needed. Absence of valves may increase reliability of third embodiment fuel assembly 190 while reducing costs of manufacture and maintenance of third embodiment fuel assembly 190. Referring to FIG. 5, a fourth embodiment nuclear fission reactor fuel assembly and system, generally referred to as 230, is capable of further enhancing removal of the previously mentioned volatile fission product 15 as well as heat from fuel body 40. Fourth embodiment nuclear fission reactor fuel assembly 230 is substantially similar to third embodiment nuclear fission reactor fuel assembly 190, except that means is added for enhanced removal of heat and volatile fission product 15. In this regard, a fourth pipe segment 240 has an end thereof in communication with first volume 90 and another end thereof integrally coupled to an intake of a second pump 250. A discharge of second pump 250 is integrally coupled to a sixth pipe segment 260. The sixth pipe segment 260 in turn is in communication with a second volume 270 defined by a first fission product reservoir or holding tank 280. During operation of fourth embodiment fuel assembly 230, pump 210 will pump the first fluid from first volume 90, through second pipe segment 200, through third pipe segment 220, through fuel body 40, through first pipe segment 70 and back into first volume 90. As the first fluid flows through third pipe segment 220, the fluid will surrender its heat to the second fluid in heat exchanger 110. First pump 210 may then be caused to cease operation after a predetermined amount of time. Second pump 250 may then be operated to draw the fission product 15, including the first fluid intermingled therewith, through fourth pipe segment 240, through fifth pipe segment 260 and into second volume 270 that is defined by first fission product reservoir or holding tank 280. Thus, volatile fission product 15 will have been removed from fuel body 40 and then retained in first fission product reservoir or holding tank 280 for subsequent off-site disposal or the fission product 15 in reservoir or holding tank 280 may remain in situ, if desired. In this fourth embodiment fuel assembly 230 only pumps 210/250 are required. No valves are needed. Absence of valves may increase reliability of fourth embodiment fuel assembly 230 while reducing costs of manufacture and maintenance of fourth embodiment fuel assembly 230. Another benefit of fourth embodiment fuel assembly 230 is that volatile fission products 15 are isolated in second volume 270 and can be removed for subsequent off-site disposal or left in place. Referring to FIG. 6, there is shown a fifth embodiment nuclear fission reactor fuel assembly and system, generally referred to as 290. In this regard, there may be a plurality of fifth embodiment nuclear fission reactor fuel assemblies 290 (only three of which are shown). A sealable vessel 310, such as a pressure vessel or containment vessel, surrounds nuclear fission reactor fuel assemblies 290 for preventing leakage of radioactive particles, gasses or liquids from fuel assembly 290 to the surrounding environment. Vessel 310 may be steel, concrete or other material of suitable size and thickness to reduce risk of such radiation leakage and to support required pressure loads. Although only one vessel 310 is shown, there may be additional containment vessels surrounding vessel 310, one enveloping the other, for added assurance that leakage of radioactive particles, gasses or liquids from nuclear fission reactor fuel assembly 290 is prevented. Vessel 310 defines a well 320 therein in which is disposed fifth embodiment nuclear fission reactor fuel assemblies 290. Fifth embodiment nuclear fission reactor fuel assembly 290 is capable of controlled removal of heat build-up and also controlled removal of volatile fission product 15, as described more fully hereinbelow. Referring again to FIG. 6, fuel assembly 290 comprises a compact, combined, closed-loop, dual-purpose heat removal and volatile fission product removal circuit, generally referred to as 330. Dual-purpose circuit 330 is capable of selectively removing heat as well as volatile fission products 15 from fuel body 40. In this regard, circuit 330 may be operated to first remove volatile fission products 15 and then remove heat, or vice versa. Thus, circuit 330 is capable of consecutively removing heat and fission products 15. Referring yet again to FIG. 6, dual-purpose circuit 330 comprises the previously mentioned fluid control subassembly 80 that defines first volume 90 containing the fluid supply. First pipe segment 70 is in communication with fuel body 40 at one end of first pipe segment 70 and is integrally coupled at the other end of first pipe segment 70 to an inlet of a third pump 340, which may be a centrifugal pump. The outlet of third pump 340 is connected to a sixth pipe segment 350, which in turn is in communication with first volume 90. Second pipe segment 200 is in communication with first volume 90 at one end of second pipe segment 200 and is integrally connected to an inlet of first pump 210 at the other end of second pipe segment 200. It is appreciated that pumps 340 and 210 may be selected so that either pump 340 or pump 210 operating alone is capable of circulating a reduced but sufficient flow rate of the fluid within dual-purpose circuit 330. That is, even if either pump 340 or pump 210 is absent, turned off, or otherwise non-functioning, dual purpose circuit will still retain a capability of fluid circulation through dual-purpose circuit 330. A heat exchanger 355 is disposed in third pipe segment 220 between a seventh pipe segment 360 and enclosure 20 for removing heat from the fluid as the fluid circulates through dual-purpose circuit 330. Heat exchanger 355 may be substantially similar in configuration to heat exchanger 110. Connected to any one of the pipe segments 70/200/220/350, such as to seventh pipe segment 360, is a second volatile fission product reservoir or holding tank 370. Second reservoir or holding tank 370 defines a third volume 380 for holding and isolating volatile fission products 15 therein. Second reservoir or holding tank 370 is coupled to third pipe segment 220 by seventh pipe segment 360. Operatively connected to seventh pipe segment 360 is a motor-operated first back-flow prevention valve 390 for allowing flow of volatile fission products 15 into third volume 380; but, not for allowing reverse flow of volatile fission products 15 from third volume 380. Motor-operated first back-flow prevention valve 390 may be operable by action of a controller or control unit 400 electrically connected thereto. Alternatively, valve 390 need not be motor-operated, but may be operated by suitable other means. Such a back-flow prevention valve suitable for this purpose may be available from, for example, Emerson Process Manufacture, Ltd. located in Baar, Switzerland. As described in more detail hereinbelow, volatile fission products 15 produced by fuel body 40 will be captured and held within third volume 380 in order to isolate volatile fission products 15. Still referring to FIG. 6, operatively connected to third pipe segment 220 and interposed between first back-flow prevention valve 390 and enclosure 20 is a motor-operated second back-flow prevention valve 410. Second back-flow prevention valve 410 allows flow of fluid into enclosure 20; but, does not allow reverse flow of fluid from enclosure 20 back into third pipe segment 220. Motor-operated second back-flow prevention valve 410 may be operable by action of control unit 400 electrically connected thereto. Thus, first pipe segment 70, third pump 340, sixth pipe segment 350, heat exchanger 355, fluid control subassembly 80, second pipe segment 200, first pump 210, third pipe segment 220, seventh pipe segment 360, second fission product reservoir or holding tank 370, first back-flow prevention valve 390, second back-flow prevention valve 410, control unit 400 and fuel body 40 together define dual-purpose circuit 330. As described in more detail presently, dual-purpose circuit 330 is capable of circulating the fluid through open-cell pores 50 of fuel body 40, so that the heat and volatile fission products 15 are selectively removed from fuel body 40 either consecutively or simultaneously. It should be understood from the description herein that a benefit of this fifth embodiment nuclear fission reactor fuel assembly 290 is that dual-purpose circuit 330 can selectively consecutively remove volatile fission products 15 and heat by controlled operation of pumps 210/340, valves 390/410 and control unit 400. Referring again to FIG. 6, a plurality of sensors or neutron flux detectors 412 (only one of which is shown) may be disposed in fuel body 40 for detecting various operating characteristics of fuel body 40. By way of example only, and not by way of limitation, detector 412 may be adapted to detect the operating characteristics of neutron population level, power level and/or position of burn wave 16 in fuel body 40. Detector 412 is coupled to control unit 400, which control unit 400 controls operation of detector 412. In addition, a plurality of fission product pressure detectors 413 (only one of which is shown) may be disposed in fuel body 40 for detecting fission product pressure level in fuel body 40. Moreover, it should be appreciated that control unit 400 is capable of operating valves 390 and 410 to control release of volatile fission product 15 and heat according to the amount of time nuclear fission reactor fuel assembly 290 is continuously or periodically operated and/or according to any time schedule associated with nuclear fission reactor fuel assembly 290. A controller suitable for use as control unit 400 might be of a type that may be available from, for example, Stolley and Orlebeke, Incorporated located in Elmhurst, Ill., U.S.A. Moreover, neutron flux detectors suitable for this purpose may be available from Thermo Fisher Scientific, Incorporated located in Waltham, Mass. U.S.A. In addition, suitable pressure detectors may be available from Kaman Measuring Systems, Incorporated located in Colorado Springs, Colo. U.S.A. As shown in FIGS. 6A and 6B, a first embodiment diaphragm valve, generally referred to as 414a, having a hollow valve body 415 may be substituted for valves 390 and/or 410, if desired. Alternatively, the previously mentioned back-flow prevention valve 390 or 410 may be used in combination with first embodiment diaphragm valve 414a, as shown. Disposed within hollow valve body 415 is a plurality of breakable barriers or membranes 416, which may be made of a thin elastomer, or metal of thin cross-section. Membranes 416 break or rupture when subjected to a predetermined system pressure. Each membrane 416 is mounted on respective ones of a plurality of supports 417, such as by means of fasteners 418. Supports 417 are integrally connected to valve body 415. Alternatively, either of valves 390 or 410 may be a second embodiment diaphragm valve, generally referred to as 414b, having breakable barriers or membranes 416 that are breakable by means of a piston arrangement, generally referred to as 419. Second embodiment diaphragm valve 414b may be used in combination with back-flow prevention valve 390 or 410, as shown. Piston arrangement 419 has a piston 419a movable to break membrane 416. Each piston 419a is movable by means of a motor 419b. Motors 419b are connected to control unit 400, so that control unit 400 controls motors 419b. Thus, each piston 419a is capable of moving to break membrane 416 by means of operator action as an operator operates control unit 400. Valves 414b may be custom designed valves that may be available from Solenoid Solutions, Incorporated located in Erie, Pa., U.S.A. However, it may be appreciated that valves 414a and 414b may be check valves rather than diaphragm valves, if desired. Returning to FIG. 6, operation of dual-purpose circuit 330 for removal of volatile fission products 15 from fuel body 40 will now be described. As previously mentioned, circuit 330 can be operated to selectively consecutively remove volatile fission products 15 as well as heat from fuel body 40. To remove volatile fission products 15 from fuel body 40, first valve 390 is opened and second valve 410 is closed, such as by action of control unit 400 to which valves 390/410 are electrically connected. As previously mentioned, volatile fission products 15 are produced in fuel body 40 by burn wave 16 and reside in open-cell pores 50. Third pump 340 is selectively operable, such as by means of control unit 400, so that fission products 15 acquired by open-cell pores 50 are drawn through first pipe segment 70, into sixth pipe segment 350 and then into first volume 90. First pump 210 will then draw the fission products 15 from first volume 90 and then through second pipe segment 200. First pump 210 will pump the fission products 15 from second pipe segment 200 and through third pipe segment 220. The fission products 15 flowing along third pipe segment 220 will be diverted to second fission product reservoir or holding tank 370 because first valve 390 is open and second valve 410 is closed. After a predetermined amount of time, first valve 390 is closed and second valve 410 is opened to resume removal of fission products 15 from fuel body 40, if needed. Still referring to FIG. 6, operation of circuit 330 for removal of heat from fuel body 40 will now be described. To remove heat from fuel body 40, first valve 390 is closed and second valve 410 is opened, such as by action of control unit 400. First pump 210 and third pump 340 are activated, which also may be by action of control unit 400. First pump 210 will draw the fluid, such as the previously mentioned helium gas, through first pipe segment 200 and thus from first volume 90, which is defined by fluid control subassembly 80. First pump 210 will pump the fluid through third pipe segment 220. The previously mentioned heat exchanger 355 is in heat transfer communication with the fluid flowing through third pipe segment 220 for removing the heat carried by the fluid. The fluid flowing through third pipe segment 220 will not be diverted to reservoir or holding tank 370 because first valve 390 is closed. The fluid flowing through third pipe segment 220 is received by the plurality (or multiplicity) of open-cell pores 50 that are defined by porous fuel body 40. The fluid received by open-cell pores 50 will acquire the heat produced by fuel body 40. The heat is acquired by means of convective heat transfer as the fluid flows through open-cell pores 50. As convective heat transfer occurs within fuel body 40, third pump 340 is operated, such as by means of control unit 400. As third pump 340 is operated, the fluid residing in fuel body 40 and that is experiencing the convective heat transfer, is drawn through first pipe segment 70 and into first volume 90 A benefit of using fifth embodiment nuclear fission reactor fuel assembly 290 is that compact, dual-purpose circuit 330 can selectively consecutively remove volatile fission products 15 and then remove heat or vice versa. This result is accomplished by controlled operation of pumps 210/340 and valves 390/410 by means of control unit 400 and also by means of heat exchanger 355. Referring to FIG. 7, a sixth embodiment nuclear fission reactor fuel assembly and system are there shown, generally referred to as 420. Sixth embodiment fuel assembly 420 is substantially similar to fifth embodiment fuel assembly 290, except that the following components are disposed substantially externally to vessel 310: first pipe segment 70, third pump 340, sixth pipe segment 350, fluid control subassembly 80, second pipe segment 200, first pump 210, third pipe segment 220, first valve 390, heat exchanger 355, seventh pipe segment 360, second fission product reservoir or holding tank 370, second valve 410 and control unit 400. In some cases disposing these components externally to vessel 310 may make these components more readily accessible for easier maintenance without exposing maintenance equipment and reactor personnel to radiation levels within vessel 310 while performing such maintenance. As seen in FIG. 7A, a first fluid supply reservoir or first component 422, a second fluid supply reservoir or second component 423 and fluid control subassembly 80 are operatively coupled together by a Y-shaped pipe junction 424. First fluid supply component 422 is capable of supplying a fission product removal fluid to fluid control subassembly 80, so as to enable fluid control subassembly 80 to circulate the fission product removal fluid through the open-cell pores 50 of nuclear fuel body 40. In this manner, at least a portion of volatile fission product 15 acquired by pores 50 of nuclear fuel body 40 is removed from pores 50 while fluid control subassembly 80 circulates the fission product removal fluid through pores 50. In addition, second fluid supply component 423 is capable of supplying a heat removal fluid to fluid control subassembly 80, so as to enable fluid control subassembly 80 to circulate the heat removal fluid through the pores of nuclear fuel body 40. In this manner, at least a portion of the heat generated by nuclear fuel body 40 is removed from nuclear fuel body 40 while fluid control subassembly 80 circulates the heat removal fluid through nuclear fuel body 40. The fission product removal fluid may be, with limitation, hydrogen (H2), helium (He), carbon dioxide (CO2), and/or methane (CH4). The heat removal fluid may be, without limitation, hydrogen (H2), helium (He), carbon dioxide (CO2), sodium (Na), lead (Pb), sodium-potassium (NaK), lithium (Li), “light” water (H2O), lead-bismuth (Pb—Bi) alloys, and/or fluorine-lithium-beryllium (FLiBe). First component 422 and second component 423 may be substantially identical in configuration. A pair of back-flow prevention valves (not shown) may be integrally coupled to respective ones of components 422/423 for controlling flow of the fission product removal fluid and heat removal fluid into volume 90, but not reverse flow from volume 90 and back into either first component 422 or second component 423. In this manner, first component 422 and second component 423 are capable of supplying, respectively, the fission product removal fluid and the heat removal fluid to fluid control subassembly 80. In other words, first component 422 and second component 423 are capable of sequentially supplying, respectively, the fission product removal fluid and the heat removal fluid to fluid control subassembly 80. Moreover, a pair of pumps (not shown) is coupled to first component 422 and second component 423, respectively, for pumping the fission product removal fluid and the heat removal fluid to fluid control subassembly 80. Referring to FIG. 7B, a fluid control subassembly may alternatively comprise an inlet subassembly 426 for supplying the fission product removal fluid to fluid control subassembly 80. A valve 426′ may be interposed between inlet subassembly 426 and fluid control subassembly 80 for controlling flow of the fission product removal fluid from inlet subassembly 426 to volume 90. A fourth pump 340′, that is in communication with volume 90 and that is connected to fuel body 40 may thereafter pump the fission product removal fluid to porous nuclear fuel body 40. An outlet subassembly 427 is also provided for removing the fission product removal fluid from porous nuclear fuel body 40. In this regard, third pump 340 is operated to withdraw the fission product removal fluid from nuclear fuel body 40 and into fluid control subassembly 80. Thereafter, the fission product removal fluid flows into outlet subassembly 427. Another valve 427′ may be interposed between outlet subassembly 427 and fluid control subassembly 80 for controlling flow of the fission product removal fluid to outlet subassembly 427. During operation, when valve 427′ is closed and valve 426′ is opened, the fission product removal fluid in inlet subassembly 426 is drawn by pump 340′ into volume 90 and then into fuel body 40. After the fission product removal fluid is substantially exhausted from inlet subassembly 426, pump 340′ is caused to cease operation. Valve 426′ is then closed and valve 427′ is opened. Pump 340 is then operated to draw the fission product removal fluid from fuel body 40 and into volume 90. The fission product removal fluid will thereafter travel to outlet subassembly 427. Heat exchanger 355 may be interposed between fluid control subassembly 80 and outlet subassembly 427 for removing heat from the fluid, if desired. Referring to FIG. 7C, a fluid control subassembly may alternatively comprise inlet subassembly 426 that is coupled to enclosure 20. Optional pump 340a pumps the fission product removal fluid from inlet subassembly 426 to fuel body 40 and through pipe 426′ and pipe 70a. The fission product removal fluid is drawn from fuel body 40 and through pipe 70b, such as by another optional pump 340b, and then flows to fluid control subassembly 80. From there, the fission product removal fluid is pumped by optional pump 340c so that the fission product removal fluid flows through pipe 427′ to outlet subassembly 427. If desired, some or all of the pumps 340a, 340b, and 340c may be omitted. If desired, heat exchanger 355 may be interposed between fluid control subassembly 80 and outlet subassembly 427 for removing heat from the fission product removal fluid. Referring to FIG. 7D, a fluid control subassembly may alternatively comprise a plurality of outlet subassemblies 428a/428b/428c for receiving the fission product removal fluid from porous nuclear fuel body 40 and may further comprise a plurality of pumps 429a/429b/429c coupled to respective ones of outlet subassemblies 428a/428b/428c. Pumps 429a/429b/429c are configured to pump the fission product removal fluid along pipes 70a/70b/70c to respective ones of the plurality of outlet subassemblies 428a/428b/428c. The fission product removal fluid flows to fluid control subassembly 80 through pipe 71 due to the pumping action of a pump 71′. From there, the fission product removal fluid flows through pipe 427′ to a reservoir 427 due to the pumping action of a pump 429d. If desired, either or all of the pumps 429a, 429b, 429c, 429d and 71 ′ may be omitted. If desired, heat exchanger 355 may be interposed between fluid control subassembly 80 and outlet subassembly 427 for removing heat from the fluid. Referring to FIG. 7E, there is shown a seventh embodiment nuclear fission reactor fuel assembly and system, generally referred to as 430, for producing heat due to fission of a fissile nuclide. This seventh embodiment nuclear fission reactor fuel assembly and system is similar to the first embodiment nuclear fission reactor fuel assembly and system 10, except that there are a plurality of enclosures 20a, 20b, and 20c. Each of the enclosures 20a, 20b and 20c is connected to fluid control subassembly 80 by means of respective ones of a plurality of pipe segments 72a, 72b and 72c. Seventh embodiment nuclear fission reactor fuel assembly and system 430 otherwise operates in the same manner as first embodiment nuclear fission reactor fuel assembly and system 10. Referring to FIG. 8, there is shown an eighth embodiment nuclear fission reactor fuel assembly and system, generally referred to as 438. This eighth embodiment nuclear fission reactor fuel assembly 438 differs from fifth embodiment nuclear fission reactor fuel assembly 290 and sixth embodiment nuclear fission reactor fuel assembly 420 in that dual purpose circuit 330 is replaced by a fission product flow path, generally referred to as 440 and by a separate heat removal flow path, generally referred to as 450. The purpose of heat removal flow path 450 is to remove heat from fuel body 40. The purpose of fission product flow path 440 is to remove and isolate volatile fission products 15 from fuel body 40. Heat removal flow path 450 comprises the previously mentioned fluid control subassembly 80 that defines first volume 90. The first volume 90 contains the fluid, such as helium gas, that is used to remove heat. First pipe segment 70 is in communication with fuel body 40 at one end of first pipe segment 70 and is integrally connected at the other end of first pipe segment 70 to the inlet of third pump 340. The outlet of third pump 340 is connected to sixth pipe segment 350, which in turn is in communication with first volume 90. Second pipe segment 200 is in communication with first volume 90 at one end of second pipe segment 200 and is integrally connected to the inlet of first pump 210 at the other end of second pipe segment 200. The outlet of first pump 210 is connected to third pipe segment 220, which in turn is in communication with fuel body 40. Heat exchanger 355 is coupled to third pipe segment 220 for removing heat from the fluid. Thus, first pipe segment 70, third pump 340, sixth pipe segment 350, fluid control subassembly 80, second pipe segment 200, first pump 210, third pipe segment 220, fuel body 40 itself and heat exchanger 355, together define heat removal flow path 450. As described in more detail hereinbelow, heat removal flow path 450 is capable of circulating the heat removal fluid through heat exchanger 355 and open-cell pores 50 of fuel body 40, so that heat is removed from fuel body 40. Still referring to FIG. 8, fission product flow path 440 comprises a first flow pipe 460 having one end thereof in communication with fuel body 40. The other end of first flow pipe 460 is connected to an inlet of a fifth pump 470, which may be a centrifugal pump. The outlet of fifth pump 470 is connected to a second flow pipe 480. Second flow pipe 480 is in communication with a fourth volume 490, which is defined by a third fission product reservoir or holding tank 500. As described in more detail hereinbelow, fission product flow path 440 is capable of removing and isolating fission products 15 from fuel body 40. Referring again to FIG. 8, operation of heat removal flow path 450 to remove heat from fuel body 40 will now be described. In this regard, to remove heat from fuel body 40, first pump 210 and third pump 340 are activated, which may be by means of control unit 400. First pump 210 will draw the heat removal fluid, such as the previously mentioned helium gas, through first pipe segment 200 and thus from first volume 90, which is defined by fluid control subassembly 80. First pump 210 will pump the fluid through third pipe segment 220. The fluid flowing through third pipe segment 220 is received by the plurality (or multiplicity) of open-cell pores 50 that are defined by fuel body 40. The fluid received by open-cell pores 50 will acquire the heat produced by fuel body 40. The heat is acquired by means of convective heat transfer as the fluid flows through open-cell pores 50. As convective heat transfer is occurring within fuel body 40, third pump 340 is operated, such as by means of control unit 400. As third pump 340 is operated, the fluid that is experiencing the convective heat transfer in fuel body 40 is drawn through first pipe segment 70 by third pump 340 and then pumped by third pump 340 into first volume 90. First pump 210, third pump 340 and fourth pump 470 may each be selectively operated by means of control unit 400. The previously mentioned heat exchanger 355 that is in heat transfer communication with the fluid flowing in third pipe segment 220 removes the heat from the fluid. Pumps 340 and 210 are selected such that heat removal flow path 450 may be implemented with pump 340 alone, with pump 210 alone, or with pumps 340 and 210 together. In other words, simultaneous operation of pumps 340 and 210 will remove heat at a maximum rate. On the other hand, operation of either pump 340 or 210 alone will pump the heat removal fluid at a reduced, but sufficient, rate if either of pumps 340 or 210 is non-functional or otherwise unavailable. Referring again to FIG. 8, operation of second flow path 440 for removal and isolation of volatile fission product 15 from fuel body 40 will now be described. In this regard, heat removal flow path 450 is caused to cease operation, such as by deactivating pumps 210 and 340. Then, as fifth pump 470 is operated, volatile fission product 15 will be drawn into first flow pipe 460 and then pumped into second flow pipe 480. As volatile fission product 15 is pumped through second flow pipe 480, the fluid will enter fourth volume 490 that is defined by third fission product reservoir or holding tank 500. Thus, volatile fission product 15 will have been removed from fuel body 40 and then retained in third fission product reservoir or holding tank 500 for subsequent off-site disposal or the fission products 15 in reservoir or holding tank 500 may remain in situ, if desired. Fission product flow path 440 and heat removal flow path 450 may be operated either simultaneously or consecutively, as desired. Moreover, it may be appreciated from the description hereinabove, that volatile fission product 15 may remove itself from open-cell pores 50 and travel to volume 90 without assistance of fifth pump 470 by vaporization due to the inherently volatile nature of volatile fission product 15. Accordingly, fission product flow path 440 may be implemented with or without pump 470. Fission product flow path 440 may utilize one or more controllable shut-off valves (not shown) or back-flow prevention valves (also not shown) disposed in flow path 440 and operatively connected to control unit 400 for further isolating fourth volume 490. Referring to FIGS. 9 and 10, a ninth embodiment nuclear fission reactor fuel assembly and system 510 are there shown. In this ninth embodiment, fuel assembly 510 comprises a generally cylindrical enclosure 515 having enclosure wall 516 for enclosing fuel body 40 therein. The fission product removal fluid, which has the volatile fission product 15 entrained therein, is drawn from fuel body 40 and into fluid control subassembly 80 by pump 340. Heat exchanger 355 may be provided in pipe 220 to remove heat from the fluid. A potential benefit to using the cylindrical enclosure 515 is its utility in shaping fuel profiles. The terminology “fuel profile” is defined herein to mean the geometrical configuration of fissile material, fertile material, and/or neutron moderating material. Turning now to FIG. 11, a tenth embodiment nuclear fission reactor fuel assembly and system are there shown, generally referred to as 520. In this tenth embodiment, fuel assembly 520 comprises a generally spherical enclosure 525 having an enclosure wall 526 for enclosing fuel body 40 therein. A potential benefit to using the spherical enclosure 525 is that its spherical shape reduces the amount of cladding or enclosure material 20 required. Another potential benefit to using the spherical enclosure 525 is its utility in shaping fuel profiles. Referring to FIG. 12, an eleventh embodiment nuclear fission reactor fuel assembly and system are there shown, generally referred to as 530. In this eleventh embodiment, fuel assembly 530 comprises a generally hemi-spherical enclosure 540 having an enclosure wall 545 for enclosing fuel body 40 therein. A potential benefit to using the hemi-spherical enclosure 540 is that it may increase fuel assembly packing densities in well 320 that is defined by vessel 310. Another potential benefit to using the hemi-spherical enclosure 540 is its utility in shaping fuel profiles. Referring to FIGS. 13 and 14, a twelfth embodiment fuel assembly and system are there shown, generally referred to as 550. In this twelfth embodiment, fuel assembly 550 comprises a generally disk-shaped enclosure 560 having an enclosure wall 565 for enclosing fuel body 40 therein. A potential benefit to using the disk-shaped enclosure 560 is its utility in shaping fuel profiles. Referring to FIGS. 15 and 16, a thirteenth embodiment fuel assembly and system are there shown, generally referred to as 570. In this thirteenth embodiment, fuel assembly 570 comprises a polygonal-shaped (in transverse cross-section) enclosure 580 having an enclosure wall 585 for enclosing fuel body 40 therein. In this regard, enclosure 580 may have a hexagon shape in transverse cross section. A potential benefit attendant to the hexagonally shaped cross section of enclosure 580 is that more fuel assemblies 570 can be packed into well 320 of vessel 310 than otherwise would be allowed by many other geometric shapes for the fuel assembly. Another potential benefit to using the hexagonally shaped enclosure 580 is its utility in shaping fuel profiles. Referring to FIGS. 17 and 18, a fourteenth embodiment fuel assembly and system are there shown, generally referred to as 590. In this fourteenth embodiment, fuel assembly 590 comprises a parallelepiped-shaped enclosure 600 having enclosure walls 605 for enclosing fuel body 40 therein. A potential benefit to using the parallelepiped-shaped enclosure 600 is that it may increase fuel assembly packing densities in well 320 of vessel 310. Another potential benefit to using the parallelepiped-shaped enclosure 600 is its utility in shaping fuel profiles. Referring to FIG. 19, a fifteenth embodiment nuclear fission reactor fuel assembly and system, generally referred to as 610, is there shown. In this regard, fuel body 40 may include one or more fuel pellets 620 embedded therein. Fuel pellet 620 may function as a higher density fuel component to increase the effective density of fuel body 40. Referring to FIG. 20, a sixteenth embodiment nuclear fission rector fuel assembly and system, generally referred to as 625, is there shown. In this regard, fluid control subassembly 80 is coupled to a plurality of enclosures 20. Illustrative Methods Illustrative methods associated with exemplary embodiments of nuclear fission reactor fuel assemblies and systems 10, 100, 190, 230, 290, 420, 430, 510, 520, 530, 550, 570, 590, 610, and 625 will now be described. Referring to FIGS. 21A-21CQ, illustrative methods are provided for assembling the nuclear fission reactor fuel assembly and system. Referring now to FIG. 21A, an illustrative method 630 for assembling the nuclear fission reactor fuel assembly starts at a block 640. At a block 650, an enclosure is provided that encloses a porous nuclear fuel body. At a block 660, a fluid control subassembly is coupled to the enclosure 20 for removal of at least a portion of a volatile fission product at locations corresponding to a burn wave. The fluid control subassembly controls fluid flow in regions of the reactor proximate to locations corresponding to the burn wave. The method 630 stops at a block 670. Referring to FIG. 21B, an illustrative method 671 for assembling the nuclear fission reactor fuel assembly starts at a block 672. At a block 673, an enclosure is provided that encloses a nuclear fuel body. At a block 674, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 675, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. The method 671 stops at a block 676. Referring to FIG. 21C, an illustrative method 677 for assembling the nuclear fission reactor fuel assembly starts at a block 680. At a block 690, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 700, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 710, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. At a block 715, the control unit is coupled to permit a controlled release of the volatile fission product in response to a power level in the traveling wave nuclear fission reactor. The method 677 stops at a block 720. Referring to FIG. 21D, an illustrative method 730 for assembling the nuclear fission reactor fuel assembly starts at a block 740. At a block 750, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 760, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 770, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. At a block 780, the control unit is coupled to permit a controlled release of the volatile fission product in response to neutron population level in the traveling wave nuclear fission reactor. The method 730 stops at a block 790. Referring to FIG. 21E, an illustrative method 800 for assembling the nuclear fission reactor fuel assembly starts at a block 810. At a block 820, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 830, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 840, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. At a block 850, the control unit is coupled to permit a controlled release of the volatile fission product in response to a volatile fission product pressure level in the traveling wave nuclear fission reactor. The method 800 stops at a block 860. Referring to FIG. 21F, an illustrative method 870 for assembling the nuclear fission reactor fuel assembly starts at a block 880. At a block 890, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 900, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 910, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. At a block 920, the control unit is coupled to permit a controlled release of the volatile fission product in response to a time schedule associated with the traveling wave nuclear fission reactor. The method 870 stops at a block 930. Referring to FIG. 21G, an illustrative method 940 for assembling the nuclear fission reactor fuel assembly starts at a block 950. At a block 960, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 970, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 980, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. At a block 990, the control unit is coupled to permit a controlled release of the volatile fission product in response to an amount of time the nuclear fission reactor is operated. The method 940 stops at a block 1000. Referring to FIG. 21H, an illustrative method 1010 for assembling the nuclear fission reactor fuel assembly starts at a block 1020. At a block 1030, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1040, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1050, the enclosure is provided so as to enclose the nuclear fuel body. The method 1010 stops at a block 1060. Referring to FIG. 21I, an illustrative method 1070 for assembling the nuclear fission reactor fuel assembly starts at a block 1080. At a block 1090, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1100, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1110, the enclosure is provided so as to enclose a fissile material forming the nuclear fuel body. The method 1070 stops at a block 1120. Referring to FIG. 21J, an illustrative method 1130 for assembling the nuclear fission reactor fuel assembly starts at a block 1140. At a block 1150, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1160, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1170, the enclosure is provided so as to enclose a fissile material forming the nuclear fuel body. The method 1130 stops at a block 1180. Referring to FIG. 21K, an illustrative method 1190 for assembling the nuclear fission reactor fuel assembly starts at a block 1200. At a block 1210, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1220, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1230, the enclosure is provided so as to enclose a fissile and fertile material forming the nuclear fuel body. The method 1190 stops at a block 1240. Referring to FIG. 21L, an illustrative method 1250 for assembling the nuclear fission reactor fuel assembly starts at a block 1260. At a block 1270, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1280, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1290, the enclosure is provided so as to permit a controlled release of the volatile fission product in response to a power level in the traveling wave nuclear fission reactor. The method 1250 stops at a block 1300. Referring to FIG. 21M, an illustrative method 1310 for assembling the nuclear fission reactor fuel assembly starts at a block 1320. At a block 1330, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1340, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1350, the enclosure is provided so as to permit a controlled release of the volatile fission product in response to a neutron population level in the traveling wave nuclear fission reactor. The method 1310 stops at a block 1360. Referring to FIG. 21N, an illustrative method 1370 for assembling the nuclear fission reactor fuel assembly starts at a block 1380. At a block 1390, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1400, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1410, the enclosure is provided so as to permit a controlled release of the volatile fission product in response to a volatile fission product pressure level in the traveling wave nuclear fission reactor. The method 1370 stops at a block 1420. Referring to FIG. 21O, an illustrative method 1430 for assembling the nuclear fission reactor fuel assembly starts at a block 1440. At a block 1450, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1460, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1470, the enclosure is provided so as to permit a controlled release of the volatile fission product in response to a time schedule associated with the traveling wave nuclear fission reactor. The method 1430 stops at a block 1480. Referring to FIG. 21P, an illustrative method 1490 for assembling the nuclear fission reactor fuel assembly starts at a block 1500. At a block 1510, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1520, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1530, the enclosure is provided so as to permit a controlled release of the volatile fission product in response to an amount of time the traveling wave nuclear fission reactor is continuously operated. The method 1490 stops at a block 1540. Referring to FIG. 21Q, an illustrative method 1550 for assembling the nuclear fission reactor fuel assembly starts at a block 1560. At a block 1570, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1580, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1590, the enclosure is provided so as to enclose a porous nuclear fuel body in the form of a foam defining a plurality of pores. The method 1550 stops at a block 1600. Referring to FIG. 21R, an illustrative method 1610 for assembling the nuclear fission reactor fuel assembly starts at a block 1620. At a block 1630, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1640, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1650, the enclosure is provided to enclose a nuclear fuel body defining a plurality of pores, the plurality of pores having a spatially non-uniform distribution. The method 1610 stops at a block 1660. Referring to FIG. 21S, an illustrative method 1670 for assembling the nuclear fission reactor fuel assembly starts at a block 1680. At a block 1690, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1700, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1710, the enclosure is provided to enclose a nuclear fuel body having a plurality of channels. The method 1670 stops at a block 1720. Referring to FIG. 21T, an illustrative method 1730 for assembling the nuclear fission reactor fuel assembly starts at a block 1740. At a block 1750, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1760, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1770, the enclosure is provided so as to enclose a porous nuclear fuel body having a plurality of particles defining the plurality of channels therebetween. The method 1730 stops at a block 1790. Referring to FIG. 21U, an illustrative method 1800 for assembling the nuclear fission reactor fuel assembly starts at a block 1810. At a block 1820, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1830, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1840, the enclosure is provided so as to enclose a porous nuclear fuel body having a plurality of pores, at least one of the pores being of a predetermined configuration for allowing at least a portion of the volatile fission product to escape the porous nuclear fuel body within a predetermined response time. The method 1800 stops at a block 1850. Referring to FIG. 21V, an illustrative method 1860 for assembling the nuclear fission reactor fuel assembly starts at a block 1870. At a block 1880, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1890, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1900, the enclosure is provided so as to enclose a porous nuclear fuel body having a plurality of pores for allowing at least a portion of the volatile fission product to escape within a predetermined response time of between approximately 10 seconds and approximately 1,000 seconds. The method 1860 stops at a block 1910. Referring to FIG. 21W, an illustrative method 1920 for assembling the nuclear fission reactor fuel assembly starts at a block 1930. At a block 1940, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1950, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1960, the enclosure is provided fuel body having a plurality of pores for allowing at least a portion of the volatile fission product to escape within a predetermined response time of between approximately 10 seconds and approximately 1,000 seconds. The method 1920 stops at a block 1970. Referring to FIG. 21X, an illustrative method 1971 for assembling the nuclear fission reactor fuel assembly starts at a block 1972. At a block 1973, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 1974, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 1975, the enclosure is provided so as to sealingly enclose a porous nuclear fuel body having a cylindrical-shaped geometry. The method 1971 stops at a block 1976. Referring to FIG. 21Y, an illustrative method 1980 for assembling the nuclear fission reactor fuel assembly starts at a block 1990. At a block 2000, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2010, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2020, the enclosure is provided so as to sealingly enclose a porous nuclear fuel body having a polygonal-shaped geometry. The method 1980 stops at a block 2030. Referring to FIG. 21Z, an illustrative method 2040 for assembling the nuclear fission reactor fuel assembly starts at a block 2050. At a block 2060, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2070, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2080, the enclosure is provided so as to enclose a porous nuclear fuel body having a plurality of pores for acquiring the volatile fission product released by the burn wave in the traveling wave nuclear fission reactor. The method 2040 stops at a block 2090. Referring to FIG. 21AA, an illustrative method 2100 for assembling the nuclear fission reactor fuel assembly starts at a block 2110. At a block 2120, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2130, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2140, the enclosure is provided so as to enclose a porous nuclear fuel body having a plurality of pores to transport the volatile fission product through the porous nuclear fuel body. The method 2100 stops at a block 2150. Referring to FIG. 21AB, an illustrative method 2160 for assembling the nuclear fission reactor fuel assembly starts at a block 2170. At a block 2180, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2190, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2200, a reservoir is coupled to the fluid control subassembly to receive the volatile fission product. The method 2160 stops at a block 2210. Referring to FIG. 21AC, an illustrative method 2220 for assembling the nuclear fission reactor fuel assembly starts at a block 2230. At a block 2240, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2250, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2260, the fluid control subassembly is coupled to permit a controlled release of the volatile fission product in response to a position of the burn wave in the traveling wave nuclear fission reactor. The method 2220 stops at a block 2270. Referring to FIG. 21AD, an illustrative method 2280 for assembling the nuclear fission reactor fuel assembly starts at a block 2290. At a block 2300, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2310, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2320, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. The method 2280 stops at a block 2330. Referring to FIG. 21AE, an illustrative method 2340 for assembling the nuclear fission reactor fuel assembly starts at a block 2350. At a block 2360, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2370, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2380, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 2390, an inlet subassembly is provided to supply the fission product removal fluid to the porous nuclear fuel body. The method 2340 stops at a block 2400. Referring to FIG. 21AF, an illustrative method 2410 for assembling the nuclear fission reactor fuel assembly starts at a block 2420. At a block 2430, an enclosure is provided that encloses a nuclear fuel body in the manner previously mentioned. At a block 2440, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2450, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 2460, an inlet subassembly is provided to remove the fission product removal fluid from the porous nuclear fuel body. The method 2410 stops at a block 2470. Referring to FIG. 21AG, an illustrative method 2480 for assembling the nuclear fission reactor fuel assembly starts at a block 2490. At a block 2500, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2510, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2520, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 2530, a reservoir is provided to receive the fission product removal fluid from. The method 2480 stops at a block 2540. Referring to FIG. 21AH, an illustrative method 2550 for assembling the nuclear fission reactor fuel assembly starts at a block 2560. At a block 2570, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2580, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2590, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 2600, a reservoir is coupled to supply the fission product removal fluid. The method 2550 stops at a block 2610. Referring to FIG. 21AI, an illustrative method 2620 for assembling the nuclear fission reactor fuel assembly starts at a block 2630. At a block 2640, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2650, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2590, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a gas fluid through the porous nuclear fuel body and so that at least a portion of the volatile fission product is removed from the porous nuclear fuel. The method 2620 stops at a block 2670. Referring to FIG. 21AJ, an illustrative method 2680 for assembling the nuclear fission reactor fuel assembly starts at a block 2690. At a block 2700, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2710, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2720, the fluid control subassembly is coupled so that the fluid control subassembly is configured to circulate a liquid through the porous nuclear fuel body. The method 2680 stops at a block 2730. Referring to FIG. 21AK, an illustrative method 2740 for assembling the nuclear fission reactor fuel assembly starts at a block 2750. At a block 2760, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2770, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2780, the method comprises coupling a pump. The method 2740 stops at a block 2790. Referring to FIG. 21AL, an illustrative method 2800 for assembling the nuclear fission reactor fuel assembly starts at a block 2810. At a block 2820, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2830, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2840, a pump is integrally connected to the fluid control subassembly to circulate a fluid between the fluid control subassembly and the porous nuclear fuel body. The method 2800 stops at a block 2850. Referring to FIG. 21AM, an illustrative method 2860 for assembling the nuclear fission reactor fuel assembly starts at a block 2870. At a block 2880, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2890, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2900, the method comprises coupling a valve. The method 2860 stops at a block 2910. Referring to FIG. 21AN, an illustrative method 2920 for assembling the nuclear fission reactor fuel assembly starts at a block 2930. At a block 2940, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 2950, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 2960, a valve is interposed between the enclosure and the fluid control subassembly to control flow of a fluid between the enclosure and the fluid control subassembly. The method 2920 stops at a block 2970. Referring to FIG. 21AO, an illustrative method 2980 for assembling the nuclear fission reactor fuel assembly starts at a block 2990. At a block 3000, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 3010, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 3020, a valve is interposed between the enclosure and the fluid control subassembly to control flow of a fluid between the enclosure and the fluid control subassembly. At a block 3030, a back-flow prevention valve is interposed between the enclosure and the fluid control subassembly. The method 2980 stops at a block 3040. Referring to FIG. 21AP, an illustrative method 3050 for assembling the nuclear fission reactor fuel assembly starts at a block 3060. At a block 3070, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 3080, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 3090, the method comprises coupling a controllably breakable barrier. The method 3050 stops at a block 3100. Referring to FIG. 21AQ, an illustrative method 3110 for assembling the nuclear fission reactor fuel assembly starts at a block 3120. At a block 3130, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 3140, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 3150, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. The method 3110 stops at block 3160. Referring to FIG. 21AR, an illustrative method 3170 for assembling the nuclear fission reactor fuel assembly starts at a block 3180. At a block 3190, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 3200, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 3210, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. At a block 3220, a barrier breakable at a predetermined pressure is interposed between the enclosure and the fluid control subassembly. The method 3170 stops at a block 3230. Referring to FIG. 21AS, an illustrative method 3240 for assembling the nuclear fission reactor fuel assembly starts at a block 3250. At a block 3260, an enclosure is provided that encloses a porous nuclear fuel body in the manner previously mentioned. At a block 3270, a fluid control subassembly is coupled to the enclosure for removal of at least a portion of a volatile fission product as previously mentioned. The fluid control subassembly controls fluid flow in regions of the reactor proximate locations corresponding to a burn wave. At a block 3280, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. At a block 3290, a barrier breakable by operator action is interposed between the enclosure and the fluid control subassembly. The method 3240 stops at a block 3300. Referring to FIG. 21AT, an illustrative method 3310 for assembling the nuclear fission reactor fuel assembly starts at a block 3320. At a block 3330, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3340, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. The method 3310 stops at a block 3350. Referring to FIG. 21AU, an illustrative method 3360 for assembling the nuclear fission reactor fuel assembly starts at a block 3370. At a block 3380, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3390, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. At a block 3400, a control unit is coupled to the fluid control subassembly to control operation of the fluid control subassembly. The method 3360 stops at a block 3410. Referring to FIG. 21AV, an illustrative method 3420 for assembling the nuclear fission reactor fuel assembly starts at a block 3430. At a block 3440, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3450, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. At a block 3460, the enclosure is provided so as to enclose the nuclear fuel body. The method 3420 stops at a block 3470. Referring to FIG. 21AW, an illustrative method 3480 for assembling the nuclear fission reactor fuel assembly starts at a block 3490. At a block 3500, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3510, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. At a block 3520, the enclosure is provided so as to enclose a fissile material forming the nuclear fuel body. The method 3480 stops at a block 3530. Referring to FIG. 21AX, an illustrative method 3540 for assembling the nuclear fission reactor fuel assembly starts at a block 3550. At a block 3560, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3570, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. At a block 3580, the enclosure is provided so as to enclose a fertile material forming the nuclear fuel body. The method 3540 stops at a block 3590. Referring to FIG. 21AY, an illustrative method 3600 for assembling the nuclear fission reactor fuel assembly starts at a block 3610. At a block 3620, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3630, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in regions of the traveling wave nuclear fission reactor proximate to locations corresponding to the burn wave. At a block 3640, the enclosure is provided so as to enclose a mixture of fissile and fertile material forming the nuclear fuel body. The method 3600 stops at a block 3650. Referring to FIG. 21AZ, an illustrative method 3660 for assembling the nuclear fission reactor fuel assembly starts at a block 3670. At a block 3680, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3690, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 3700, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to a position of the burn wave in the traveling wave nuclear fission reactor. The method 3660 stops at a block 3710. Referring to FIG. 21BA, an illustrative method 3720 for assembling the nuclear fission reactor fuel assembly starts at a block 3730. At a block 3740, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3750, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 3760, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to a power level in the traveling wave nuclear fission reactor. The method 3720 stops at a block 3770. Referring to FIG. 21BB, an illustrative method 3780 for assembling the nuclear fission reactor fuel assembly starts at a block 3790. At a block 3800, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3810, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 3820, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to a neutron population level in the traveling wave nuclear fission reactor. The method 3780 stops at a block 3830. Referring to FIG. 21BC, an illustrative method 3840 for assembling the nuclear fission reactor fuel assembly starts at a block 3850. At a block 3860, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3870, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 3880, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to a volatile fission product pressure level in the traveling wave nuclear fission reactor. The method 3840 stops at a block 3890. Referring to FIG. 21BD, an illustrative method 3900 for assembling the nuclear fission reactor fuel assembly starts at a block 3910. At a block 3920, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3930, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 3940, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to a time schedule associated with the traveling wave nuclear fission reactor. The method 3900 stops at a block 3950. Referring to FIG. 21BE, an illustrative method 3960 for assembling the nuclear fission reactor fuel assembly starts at a block 3970. At a block 3980, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 3990, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4000, the fluid control subassembly is coupled so as to permit a controlled release of the volatile fission product in response to an amount of time the traveling wave nuclear fission reactor is operated. The method 3960 stops at a block 4010. Referring to FIG. 21BF, an illustrative method 4020 for assembling the nuclear fission reactor fuel assembly starts at a block 4030. At a block 4040, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4050, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4060, a reservoir is coupled to the fluid control subassembly to receive the volatile fission product. The method 4020 stops at a block 4070. Referring to FIG. 21BG, an illustrative method 4080 for assembling the nuclear fission reactor fuel assembly starts at a block 4090. At a block 4100, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4110, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4120, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. The method 4080 stops at a block 4130. Referring to FIG. 21BH, an illustrative method 4140 for assembling the nuclear fission reactor fuel assembly starts at a block 4150. At a block 4160, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4170, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4175, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 4180, an inlet subassembly is provided to supply the fission product removal fluid to the pores of the nuclear fuel body. The method 4140 stops at a block 4190. Referring to FIG. 21BI, an illustrative method 4200 for assembling the nuclear fission reactor fuel assembly starts at a block 4210. At a block 4220, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4230, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4240, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 4250, an outlet subassembly is provided to remove the fission product removal fluid from the pores of the nuclear fuel body. The method 4200 stops at a block 4260. Referring to FIG. 21BJ, an illustrative method 4270 for assembling the nuclear fission reactor fuel assembly starts at a block 4280. At a block 4290, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4300, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4310, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. The method 4270 stops at a block 4320. Referring to FIG. 21BK, an illustrative method 4330 for assembling the nuclear fission reactor fuel assembly starts at a block 4340. At a block 4350, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4360, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4370, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 4380, a reservoir is coupled to the fluid control subassembly to receive the heat removal fluid. The method 4330 stops at a block 4390. Referring to FIG. 21BL, an illustrative method 4400 for assembling the nuclear fission reactor fuel assembly starts at a block 4410. At a block 4420, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4430, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4440, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 4450, a reservoir is coupled to the fluid control subassembly to supply the heat removal fluid. The method 4400 stops at a block 4460. Referring to FIG. 21BM, an illustrative method 4470 for assembling the nuclear fission reactor fuel assembly starts at a block 4480. At a block 4490, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4500, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4510, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 4520, a heat sink is coupled to the fluid control subassembly, so that the heat sink is in heat transfer communication with the heat removal fluid to remove heat from the heat removal fluid. The method 4470 stops at a block 4530. Referring to FIG. 21BN, an illustrative method 4540 for assembling the nuclear fission reactor fuel assembly starts at a block 4550. At a block 4560, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4570, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4580, the fluid control subassembly that is configured to circulate a fission product removal fluid through the pores of the nuclear fuel body is coupled so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 4590, a heat exchanger is coupled to the fluid control subassembly, so that the heat exchanger is in heat transfer communication with the heat removal fluid to remove heat from the heat removal fluid. The method 4540 stops at a block 4600. Referring to FIG. 21BO, an illustrative method 4610 for assembling the nuclear fission reactor fuel assembly starts at a block 4620. At a block 4630, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4640, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4650, the fluid control subassembly is coupled so as to simultaneously circulate a fission product removal fluid and a heat removal fluid. The method 4610 stops at a block 4660. Referring to FIG. 21BP, an illustrative method 4670 for assembling the nuclear fission reactor fuel assembly starts at a block 4680. At a block 4690, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4700, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4710, the fluid control subassembly is coupled so as to sequentially circulate a fission product removal fluid and a heat removal fluid. The method 4670 stops at a block 4720. Referring to FIG. 21BQ, an illustrative method 4730 for assembling the nuclear fission reactor fuel assembly starts at a block 4740. At a block 4750, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4760, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4770, a pump is integrally connected to the fluid control subassembly to pump a fluid from the fluid control subassembly to the pores of the nuclear fuel body. The method 4730 stops at a block 4780. Referring to FIG. 21BR, an illustrative method 4790 for assembling the nuclear fission reactor fuel assembly starts at a block 4800. At a block 4810, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4820, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4830, the method comprises coupling a pump. The method 4790 stops at a block 4840. Referring to FIG. 21BS, an illustrative method 4850 for assembling the nuclear fission reactor fuel assembly starts at a block 4860. At a block 4870, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4880, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4890, a fission product reservoir is coupled to the fluid control subassembly to receive the volatile fission product. The method 4850 stops at a block 4900. Referring to FIG. 21BT, an illustrative method 4910 for assembling the nuclear fission reactor fuel assembly starts at a block 4920. At a block 4930, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 4940, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 4950, a plurality of first components are coupled so as to enable the fluid control subassembly to circulate a fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. The method 4910 stops at a block 4960. Referring to FIG. 21BU, an illustrative method 4970 for assembling the nuclear fission reactor fuel assembly starts at a block 4980. At a block 4990, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5000, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5010, a plurality of first components are coupled so as to enable the fluid control subassembly to circulate a fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 5020, a plurality of second components are coupled so as to enable the fluid control subassembly to circulate a heat removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. The method 4970 stops at a block 5030. Referring to FIG. 21BV, an illustrative method 5040 for assembling the nuclear fission reactor fuel assembly starts at a block 5050. At a block 5060, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5070, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5080, a plurality of first components are coupled so as to enable the fluid control subassembly to circulate a fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 5090, a plurality of second components are coupled so as to enable the fluid control subassembly to circulate a heat removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 5100, the method comprises operatively coupling the first components and the second components, so that at least one of the first components and at least one of the second components are identical. The method 5040 stops at a block 5110. Referring to FIG. 21BW, an illustrative method 5120 for assembling the nuclear fission reactor fuel assembly starts at a block 5130. At a block 5140, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5150, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5160, the method comprises coupling a dual-purpose circuit to selectively remove the volatile fission product and heat from the nuclear fuel. The method 5120 stops at a block 5170. Referring to FIG. 21BX, an illustrative method 5180 for assembling the nuclear fission reactor fuel assembly starts at a block 5190. At a block 5200, an enclosure is provided to enclose a heat generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5210, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5220, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a gas through the pores of the nuclear fuel body. The method 5180 stops at a block 5230. Referring to FIG. 21BY, an illustrative method 5240 for assembling the nuclear fission reactor fuel assembly starts at a block 5250. At a block 5260, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5270, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5280, the fluid control subassembly is coupled so that the nuclear fission fuel assembly is configured to circulate a liquid through the pores of the nuclear fuel body. The method 5240 stops at a block 5290. Referring to FIG. 21BZ, an illustrative method 5300 for assembling the nuclear fission reactor fuel assembly starts at a block 5310. At a block 5320, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5330, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5340, the enclosure is provided so as to enclose a nuclear fuel body in the form of a foam defining the plurality of pores. The method 5300 stops at a block 5350. Referring to FIG. 21CA, an illustrative method 5360 for assembling the nuclear fission reactor fuel assembly starts at a block 5370. At a block 5380, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5390, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5400, the enclosure is provided so as to enclose a nuclear fuel body having a plurality of channels. The method 5360 stops at a block 5410. Referring to FIG. 21CB, an illustrative method 5420 for assembling the nuclear fission reactor fuel assembly starts at a block 5430. At a block 5440, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5450, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5460, the enclosure is provided so as to enclose a nuclear fuel body having a plurality of channels. At a block 5470, the enclosure is provided so as to enclose a nuclear fuel body having a plurality of particles defining the plurality of channels therebetween. The method 5420 stops at a block 5480. Referring to FIG. 21CC, an illustrative method 5490 for assembling the nuclear fission reactor fuel assembly starts at a block 5500. At a block 5510, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5520, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5530, the enclosure is provided so as to enclose a nuclear fuel body defining the plurality of pores, the plurality of pores having a spatially non-uniform distribution. The method 5490 stops at a block 5540. Referring to FIG. 21CD, an illustrative method 5550 for assembling the nuclear fission reactor fuel assembly starts at a block 5560. At a block 5570, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5580, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5590, the enclosure is provided so as to enclose a nuclear fuel body having the plurality of pores for acquiring the volatile fission product released by the burn wave in the traveling wave nuclear fission reactor. The method 5550 stops at a block 5600. Referring to FIG. 21CE, an illustrative method 5610 for assembling the nuclear fission reactor fuel assembly starts at a block 5620. At a block 5630, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5640, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5650, the enclosure is provided so as to enclose a nuclear fuel body having the plurality of pores, one or more of the plurality of pores being of a predetermined configuration to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time. The method 5610 stops at a block 5660. Referring to FIG. 21CF, an illustrative method 5670 for assembling the nuclear fission reactor fuel assembly starts at a block 5680. At a block 5690, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5700, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At block a 5710, the enclosure is provided so as to enclose a nuclear fuel body having the plurality of pores to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time of between approximately 10 seconds and approximately 1,000 seconds. The method 5670 stops at a block 5720. Referring to FIG. 21CG, an illustrative method 5730 for assembling the nuclear fission reactor fuel assembly starts at a block 5740. At a block 5750, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5760, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5770, the enclosure is provided so as to enclose a nuclear fuel body having the plurality of pores to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time of between approximately one second and approximately 10,000 seconds. The method 5730 stops at a block 5780. Referring to FIG. 21CH, an illustrative method 5790 for assembling the nuclear fission reactor fuel assembly starts at a block 5800. At a block 5810, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5820, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5830, the enclosure is provided so as to enclose a nuclear fuel body having the plurality of pores to transport the volatile fission product through the nuclear fuel body. The method 5790 stops at a block 5840. Referring to FIG. 21CI, an illustrative method 5850 for assembling the nuclear fission reactor fuel assembly starts at a block 5860. At a block 5870, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5880, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5890, the enclosure is provided so as to sealingly enclose a nuclear fuel body having a cylindrical-shaped geometry. The method 5850 stops at a block 5900. Referring to FIG. 21CJ, an illustrative method 5910 for assembling the nuclear fission reactor fuel assembly starts at a block 5920. At a block 5930, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 5940, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 5950, the enclosure is provided so as to sealingly enclose a nuclear fuel body having a polygonal-shaped geometry. The method 5910 stops at a block 5960. Referring to FIG. 21CK, an illustrative method 5970 for assembling the nuclear fission reactor fuel assembly starts at a block 5980. At a block 5990, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6000, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6010, the method comprises coupling a valve. The method 5970 stops at a block 6020. Referring to FIG. 21CL, an illustrative method 6030 for assembling the nuclear fission reactor fuel assembly starts at a block 6040. At a block 6050, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6060, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6070, a valve is interposed between the enclosure and the fluid control subassembly to control flow of a fluid between the enclosure and the fluid control subassembly. The method 6030 stops at a block 6080. Referring to FIG. 21CM, an illustrative method 6090 for assembling the nuclear fission reactor fuel assembly starts at a block 6100. At a block 6110, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6120, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6130, a valve is interposed between the enclosure and the fluid control subassembly to control flow of a fluid between the enclosure and the fluid control subassembly. At a block 6140, the method comprises interposing a back-flow prevention valve. The method 6090 stops at a block 6150. Referring to FIG. 21CN, an illustrative method 6160 for assembling the nuclear fission reactor fuel assembly starts at a block 6170. At a block 6180, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6190, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At block 6200, the method comprises coupling a controllably breakable barrier. The method 6160 stops at a block 6210. Referring to FIG. 21CO, an illustrative method 6220 for assembling the nuclear fission reactor fuel assembly starts at a block 6230. At a block 6240, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6250, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6260, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. The method 6220 stops at a block 6270. Referring to FIG. 21CP, an illustrative method 6280 for assembling the nuclear fission reactor fuel assembly starts at a block 6290. At a block 6300, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6310, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6320, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. At a block 6330, the method comprises interposing a controllably breakable barrier breakable at a predetermined pressure. The method 6280 stops at a block 6340. Referring to FIG. 21CQ, an illustrative method 6350 for assembling the nuclear fission reactor fuel assembly starts at a block 6360. At a block 6370, an enclosure is provided to enclose a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 6380, a fluid control subassembly is coupled to the enclosure to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body as previously mentioned. At a block 6390, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. At a block 6400, the method comprises interposing a controllably breakable barrier breakable by operator action. The method 6350 stops at a block 6410. Referring to FIG. 22A, an illustrative method is provided for removal of a volatile fission product at a plurality of locations corresponding to a burn wave. In this regard, the illustrative method 6420 for removal of the volatile fission product starts at a block 6430. At a block 6440, removal of a volatile fission product is controlled at a plurality of locations corresponding to a burn wave of a traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. The method 6420 stops at a block 6450. Referring to FIGS. 23A-23CK, illustrative methods are provided for operating the nuclear fission reactor fuel assembly and system. Referring to FIG. 23A, an illustrative method 6460 for operating a nuclear fission reactor fuel assembly starts at a block 6470. At a block 6480, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6490, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. The method 6460 stops at a block 6500. Referring to FIG. 23B, an illustrative method 6510 for operating a nuclear fission reactor fuel assembly starts at a block 6520. At a block 6530, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6540, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6550, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. The method 6510 stops at a block 6560. Referring to FIG. 23C, an illustrative method 6570 for operating a nuclear fission reactor fuel assembly starts at a block 6580. At a block 6590, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6600, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6610, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. At a block 6620, operation of the fluid control subassembly is controlled by operating the control unit to permit a controlled release of the volatile fission product in response to a power level in the traveling wave nuclear fission reactor. The method 6570 stops at a block 6630. Referring to FIG. 23D, an illustrative method 6640 for operating a nuclear fission reactor fuel assembly starts at a block 6650. At a block 6660, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6670, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6680, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. At a block 6690, operation of the fluid control subassembly is controlled by operating the control unit to permit a controlled release of the volatile fission product in response to a neutron population level in the traveling wave nuclear fission reactor. The method 6640 stops at a block 6700. Referring to FIG. 23E, an illustrative method 6710 for operating a nuclear fission reactor fuel assembly starts at a block 6720. At a block 6730, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6740, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6750, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. At a block 6760, operation of the fluid control subassembly is controlled by operating the control unit to permit a controlled release of the volatile fission product in response to a volatile fission product pressure level in the traveling wave nuclear fission reactor. The method 6710 stops at a block 6770. Referring to FIG. 23F, an illustrative method 6780 for operating a nuclear fission reactor fuel assembly starts at a block 6790. At a block 6800, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6810, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6820, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. At a block 6830, operation of the fluid control subassembly is controlled by operating the control unit to permit a controlled release of the volatile fission product in response to a time schedule associated with the traveling wave nuclear fission reactor. The method 6780 stops at a block 6840. Referring to FIG. 23G, an illustrative method 6850 for operating a nuclear fission reactor fuel assembly starts at a block 6860. At a block 6870, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6880, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6890, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. At a block 6900, operation of the fluid control subassembly is controlled by operating the control unit to permit a controlled release of the volatile fission product in response to an amount of time the traveling wave nuclear fission reactor is operated. The method 6850 stops at a block 6910. Referring to FIG. 23H, an illustrative method 6920 for operating a nuclear fission reactor fuel assembly starts at a block 6930. At a block 6940, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 6950, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 6960, the enclosure is used so as to enclose the porous nuclear fuel body. The method 6920 stops at a block 6970. Referring to FIG. 23I, an illustrative method 6980 for operating a nuclear fission reactor fuel assembly starts at a block 6990. At a block 7000, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7010, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7020, the enclosure is used so as to enclose a fissile material forming the porous nuclear fuel body. The method 6980 stops at a block 7030. Referring to FIG. 23J, an illustrative method 7040 for operating a nuclear fission reactor fuel assembly starts at a block 7050. At a block 7060, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7070, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7080, the enclosure is used so as to enclose a fertile material forming the porous nuclear fuel body. The method 7040 stops at a block 7090. Referring to FIG. 23K, an illustrative method 7100 for operating a nuclear fission reactor fuel assembly starts at a block 7110. At a block 7120, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7130, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7140, the enclosure is used so as to enclose a mixture of fissile and fertile material forming the porous nuclear fuel body. The method 7100 stops at a block 7150. Referring to FIG. 23L, an illustrative method 7160 for operating a nuclear fission reactor fuel assembly starts at a block 7170. At a block 7180, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7190, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7200, the fluid control subassembly is used to permit a controlled release of the volatile fission product in response to a position of the burn wave in the traveling wave nuclear fission reactor. The method 7160 stops at a block 7210. Referring to FIG. 23M, an illustrative method 7220 for operating a nuclear fission reactor fuel assembly starts at a block 7230. At a block 7240, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7250, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7260, the enclosure is used so as to enclose a porous nuclear fuel body in the form of a foam defining a plurality of pores. The method 7220 stops at a block 7270. Referring to FIG. 23N, an illustrative method 7280 for operating a nuclear fission reactor fuel assembly starts at a block 7290. At a block 7300, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7310, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7320, the enclosure is used to enclose a porous nuclear fuel body defining a plurality of pores, the plurality of pores having a spatially non-uniform distribution. The method 7280 stops at a block 7330. Referring to FIG. 23O, an illustrative method 7340 for operating a nuclear fission reactor fuel assembly starts at a block 7350. At a block 7360, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7370, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7380, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of channels. The method 7340 stops at a block 7390. Referring to FIG. 23P, an illustrative method 7400 for operating a nuclear fission reactor fuel assembly starts at a block 7410. At a block 7420, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7430, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7440, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of channels. At a block 7450, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of particles defining the plurality of channels therebetween. The method 7400 stops at a block 7460. Referring to FIG. 23Q, an illustrative method 7470 for operating a nuclear fission reactor fuel assembly starts at a block 7480. At a block 7490, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7500, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7510, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of pores, at least one of the pores being of a predetermined configuration for allowing at least a portion of the volatile fission product to escape the porous nuclear fuel body within a predetermined response time. The method 7470 stops at a block 7520. Referring to FIG. 23R, an illustrative method 7530 for operating a nuclear fission reactor fuel assembly starts at a block 7540. At a block 7550, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7560, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7570, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of pores for allowing at least a portion of the volatile fission product to escape within a predetermined response time of between approximately 10 seconds and approximately 1,000 seconds. The method 7530 stops at a block 7580. Referring to FIG. 23S, an illustrative method 7590 for operating a nuclear fission reactor fuel assembly starts at a block 7600. At a block 7610, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7620, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7630, the enclosure so as to enclose a porous nuclear fuel body having a plurality of pores for allowing at least a portion of the volatile fission product to escape within a predetermined response time of between approximately one second and approximately 10,000 seconds. The method 7590 stops at a block 7640. Referring to FIG. 23T, an illustrative method 7650 for operating a nuclear fission reactor fuel assembly starts at a block 7660. At a block 7670, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7680, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7690, the enclosure is used so as to sealingly enclose a porous nuclear fuel body having a cylindrical-shaped geometry. The method 7650 stops at a block 7700. Referring to FIG. 23U, an illustrative method 7710 for operating a nuclear fission reactor fuel assembly starts at a block 7720. At a block 7730, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7740, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7750, the enclosure is used so as to sealingly enclose a porous nuclear fuel body having a polygonal-shaped geometry. The method 7710 stops at a block 7760. Referring to FIG. 23V, an illustrative method 7770 for operating a nuclear fission reactor fuel assembly starts at a block 7780. At a block 7790, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7800, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7810, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of pores for acquiring the volatile fission product released by the burn wave in the traveling wave nuclear fission reactor. The method 7770 stops at a block 7820. Referring to FIG. 23W, an illustrative method 7830 for operating a nuclear fission reactor fuel assembly starts at a block 7840. At a block 7850, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7860, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7870, the enclosure is used so as to enclose a porous nuclear fuel body having a plurality of pores to transport the volatile fission product through the porous nuclear fuel body. The method 7830 stops at a block 7880. Referring to FIG. 23X, an illustrative method 7890 for operating a nuclear fission reactor fuel assembly starts at a block 7900. At a block 7910, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7920, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7930, the volatile fission product is received into a reservoir coupled to the fluid control subassembly. The method 7890 stops at a block 7940. Referring to FIG. 23Y, an illustrative method 7950 for operating a nuclear fission reactor fuel assembly starts at a block 7960. At a block 7970, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 7980, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 7990, the fluid control subassembly is used to circulate a fission product removal fluid through the porous nuclear fuel body, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. The method 7950 stops at a block 8000. Referring to FIG. 23Z, an illustrative method 8010 for operating a nuclear fission reactor fuel assembly starts at a block 8020. At a block 8030, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8040, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8050, the fluid control subassembly is used to circulate a fission product removal fluid through the porous nuclear fuel body, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 8060, the fission product removal fluid is supplied to the porous nuclear fuel body by using an inlet subassembly. The method 8010 stops at a block 8070. Referring to FIG. 23AA, an illustrative method 8080 for operating a nuclear fission reactor fuel assembly starts at a block 8090. At a block 8100, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8110, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8120, the fluid control subassembly is used to circulate a fission product removal fluid through the porous nuclear fuel body, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 8130, the fission product removal fluid is removed from the porous nuclear fuel body by using an outlet subassembly. The method 8080 stops at a block 8140. Referring to FIG. 23AB, an illustrative method 8150 for operating a nuclear fission reactor fuel assembly starts at a block 8160. At a block 8170, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8180, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8190, the fluid control subassembly is used to circulate a fission product removal fluid through the porous nuclear fuel body, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 8200, the fission product removal fluid is received into a reservoir coupled to the fluid control subassembly. The method 8150 stops at a block 8210. Referring to FIG. 23AC, an illustrative method 8220 for operating a nuclear fission reactor fuel assembly starts at a block 8230. At a block 8240, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8250, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8260, the fluid control subassembly is used to circulate a fission product removal fluid through the porous nuclear fuel body, so that at least a portion of the volatile fission product is removed from the porous nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the porous nuclear fuel body. At a block 8270, the fission product removal fluid is supplied from a reservoir coupled to the fluid control subassembly. The method 8220 stops at a block 8280. Referring to FIG. 23AD, an illustrative method 8290 for operating a nuclear fission reactor fuel assembly starts at a block 8300. At a block 8310, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8320, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8330, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a gas through the pores of the porous nuclear fuel body. The method 8290 stops at a block 8340. Referring to FIG. 23AE, an illustrative method 8350 for operating a nuclear fission reactor fuel assembly starts at a block 8360. At a block 8370, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8380, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8390, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a liquid through the porous nuclear fuel body. The method 8350 stops at a block 8400. Referring to FIG. 23AF, an illustrative method 8410 for operating a nuclear fission reactor fuel assembly starts at a block 8420. At a block 8430, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8440, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8450, the method comprises operating a pump. The method 8410 stops at a block 8460. Referring to FIG. 23AG, an illustrative method 8470 for operating a nuclear fission reactor fuel assembly starts at a block 8480. At a block 8490, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8500, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8510, a fluid is circulated between the fluid control subassembly and the porous nuclear fuel body by operating a pump integrally connected to the fluid control subassembly. The method 8470 stops at a block 8520. Referring to FIG. 23AH, an illustrative method 8530 for operating a nuclear fission reactor fuel assembly starts at a block 8540. At a block 8550, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8560, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8570, the method comprises operating a valve. The method 8530 stops at a block 8580. Referring to FIG. 23AI, an illustrative method 8590 for operating a nuclear fission reactor fuel assembly starts at a block 8600. At a block 8610, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8620, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8630, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a valve interposed between the enclosure and the fluid control subassembly. The method 8590 stops at a block 8640. Referring to FIG. 23AJ, an illustrative method 8650 for operating a nuclear fission reactor fuel assembly starts at a block 8660. At a block 8670, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8680, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8690, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a valve interposed between the enclosure and the fluid control subassembly. At a block 8700, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a back-flow prevention valve. The method 8650 stops at a block 8710. Referring to FIG. 23AK, an illustrative method 8720 for operating a nuclear fission reactor fuel assembly starts at a block 8730. At a block 8740, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8750, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8760, the method comprises operating a controllably breakable barrier. The method 8720 stops at a block 8770. Referring to FIG. 23AL, an illustrative method 8780 for operating a nuclear fission reactor fuel assembly starts at a block 8790. At a block 8800, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8810, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8820, a controllably breakable barrier interposed between the enclosure and the fluid control subassembly is used. The method 8780 stops at a block 8830. Referring to FIG. 23AM, an illustrative method 8840 for operating a nuclear fission reactor fuel assembly starts at a block 8850. At a block 8860, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8870, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8880, a controllably breakable barrier interposed between the enclosure and the fluid control subassembly is used. At a block 8890, a barrier breakable at a predetermined pressure is used. The method 8840 stops at a block 8900. Referring to FIG. 23AN, an illustrative method 8910 for operating a nuclear fission reactor fuel assembly starts at a block 8920. At a block 8930, an enclosure is used that encloses a porous nuclear fuel body having the volatile fission product therein. At a block 8940, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the porous nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 8950, a controllably breakable barrier interposed between the enclosure and the fluid control subassembly is used. At a block 8960, a barrier breakable by operator action is used. The method 8910 stops at a block 8970. Referring to FIG. 23AO, an illustrative method 8980 for operating a nuclear fission reactor fuel assembly starts at a block 8990. At a block 9000, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9010, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. The method 8980 stops at a block 9020. Referring to FIG. 23AP, an illustrative method 9030 for operating a nuclear fission reactor fuel assembly starts at a block 9040. At a block 9050, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9060, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9070, operation of the fluid control subassembly is controlled by operating a control unit coupled to the fluid control subassembly. The method 9030 stops at a block 9080. Referring to FIG. 23AQ, an illustrative method 9090 for operating a nuclear fission reactor fuel assembly starts at a block 9100. At a block 9110, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9120, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9130, the enclosure is used so as to enclose the nuclear fuel body. The method 9090 stops at a block 9140. Referring to FIG. 23AR, an illustrative method 9150 for operating a nuclear fission reactor fuel assembly starts at a block 9160. At a block 9170, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9180, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9190, the enclosure is used so as to enclose a fissile material forming the nuclear fuel body. The method 9150 stops at a block 9200. Referring to FIG. 23AS, an illustrative method 9210 for operating a nuclear fission reactor fuel assembly starts at a block 9220. At a block 9230, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9240, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9250, the enclosure is used so as to enclose a fertile material forming the nuclear fuel body. The method 9210 stops at a block 9260. Referring to FIG. 23AT, an illustrative method 9270 for operating a nuclear fission reactor fuel assembly starts at a block 9280. At a block 9290, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9300, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9310, the enclosure is used so as to enclose a mixture of fissile and fertile material forming the nuclear fuel body. The method 9270 stops at a block 9320. Referring to FIG. 23AU, an illustrative method 9330 for operating a nuclear fission reactor fuel assembly starts at a block 9340. At a block 9350, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9360, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9370, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to a position of the burn wave in the traveling wave nuclear fission reactor. The method 9330 stops at a block 9380. Referring to FIG. 23AV, an illustrative method 9390 for operating a nuclear fission reactor fuel assembly starts at a block 9400. At a block 9410, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9420, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9430, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to a power level in the traveling wave nuclear fission reactor. The method 9390 stops at a block 9440. Referring to FIG. 23AW, an illustrative method 9450 for operating a nuclear fission reactor fuel assembly starts at a block 9460. At a block 9470, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9480, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9490, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to a neutron population level in the traveling wave nuclear fission reactor. The method 9450 stops at a block 9500. Referring to FIG. 23AX, an illustrative method 9510 for operating a nuclear fission reactor fuel assembly starts at a block 9520. At a block 9530, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9540, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9550, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to a volatile fission product pressure level in the traveling wave nuclear fission reactor. The method 9510 stops at a block 9560. Referring to FIG. 23AY, an illustrative method 9570 for operating a nuclear fission reactor fuel assembly starts at a block 9580. At a block 9590, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9600, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9610, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to a time schedule associated with the traveling wave nuclear fission reactor. The method 9570 stops at a block 9620. Referring to FIG. 23AZ, an illustrative method 9630 for operating a nuclear fission reactor fuel assembly starts at a block 9640. At a block 9650, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9660, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9670, the fluid control subassembly is used so as to permit a controlled release of the volatile fission product in response to an amount of time the traveling wave nuclear fission reactor is operated. The method 9630 stops at a block 9680. Referring to FIG. 23BA, an illustrative method 9690 for operating a nuclear fission reactor fuel assembly starts at a block 9700. At a block 9710, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9720, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9730, the volatile fission product is received into a reservoir coupled to the fluid control subassembly. The method 9690 stops at a block 9740. Referring to FIG. 23BB, an illustrative method 9750 for operating a nuclear fission reactor fuel assembly starts at a block 9760. At a block 9770, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9780, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9790, the fluid control subassembly is used to circulate a fission product removal fluid through the pores of the nuclear fuel body, so that at least a portion of the volatile fission product is removed from the pores of the nuclear fuel body while the fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. The method 9750 stops at a block 9800. Referring to FIG. 23BC, an illustrative method 9810 for operating a nuclear fission reactor fuel assembly starts at a block 9820. At a block 9830, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9840, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9850, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid comprises supplying the fission product removal fluid to the pores of the nuclear fuel body using an inlet subassembly. The method 9810 stops at a block 9860. Referring to FIG. 23BD, an illustrative method 9870 for operating a nuclear fission reactor fuel assembly starts at a block 9880. At a block 9890, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9900, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9910, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a fission product removal fluid comprises removing the fission product removal fluid from the pores of the nuclear fuel body using an outlet subassembly. The method 9870 stops at a block 9920. Referring to FIG. 23BE, an illustrative method 9930 for operating a nuclear fission reactor fuel assembly starts at a block 9940. At a block 9950, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 9960, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 9970, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. The method 9930 stops at a block 9980. Referring to FIG. 23BF, an illustrative method 9990 for operating a nuclear fission reactor fuel assembly starts at a block 10000. At a block 10010, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10020, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10030, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 10040, the heat removal fluid is received into a reservoir coupled to the fluid control subassembly. The method 9990 stops at a block 10050. Referring to FIG. 23BG, an illustrative method 10060 for operating a nuclear fission reactor fuel assembly starts at a block 10070. At a block 10080, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10090, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10100, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 10110, the heat removal fluid is supplied from a reservoir coupled to the fluid control subassembly. The method 10060 stops at a block 10120. Referring to FIG. 23BH, an illustrative method 10130 for operating a nuclear fission reactor fuel assembly starts at a block 10140. At a block 10150, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10160, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10170, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 10180, heat is removed from the heat removal fluid by using a heat sink coupled to the fluid control subassembly, so that the heat sink is in heat transfer communication with the heat removal fluid. The method 10130 stops at a block 10190. Referring to FIG. 23BI, an illustrative method 10200 for operating a nuclear fission reactor fuel assembly starts at a block 10210. At a block 10220, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10230, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10240, the fluid control subassembly is used so that the nuclear fission fuel assembly is configured to circulate a heat removal fluid through the pores of the nuclear fuel body, so that at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 10250, heat is removed from the heat removal fluid by using a heat exchanger coupled to the fluid control subassembly, so that the heat exchanger is in heat transfer communication with the heat removal fluid. The method 10200 stops at a block 10260. Referring to FIG. 23BJ, an illustrative method 10270 for operating a nuclear fission reactor fuel assembly starts at a block 10280. At a block 10290, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10300, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10310, the fluid control subassembly is used to simultaneously circulate a fission product removal fluid and a heat removal fluid. The method 10270 stops at a block 10311. Referring to FIG. 23BK, an illustrative method 10312 for operating a nuclear fission reactor fuel assembly starts at a block 10313. At a block 10314, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10315, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10316, the fluid control subassembly is used to sequentially circulate a fission product removal fluid and a heat removal fluid. The method 10312 stops at a block 10317. Referring to FIG. 23BL, an illustrative method 10318 for operating a nuclear fission reactor fuel assembly starts at a block 10319. At a block 10320, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10330, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10340, the method comprises operating a pump. The method 10318 stops at a block 10350. Referring to FIG. 23BM, an illustrative method 10360 for operating a nuclear fission reactor fuel assembly starts at a block 10370. At a block 10380, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10390, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10400, a fluid is pumped between the fluid control subassembly and the pores of the nuclear fuel body by operating a pump integrally connected to the fluid control subassembly. The method 10360 stops at a block 10410. Referring to FIG. 23BN, an illustrative method 10420 for operating a nuclear fission reactor fuel assembly starts at a block 10430. At a block 10440, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10450, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10460, a plurality of first components coupled to the fluid control subassembly are used to supply a fission product removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate the fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is acquired by the pores of the nuclear fuel body and is removed from the pores of the nuclear fuel body while said fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. The method 10420 stops at a block 10470. Referring to FIG. 23BO, an illustrative method 10480 for operating a nuclear fission reactor fuel assembly starts at a block 10490. At a block 10500, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10510, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10520, a plurality of first components coupled to the fluid control subassembly are used to supply a fission product removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate the fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is acquired by the pores of the nuclear fuel body and is removed from the pores of the nuclear fuel body while said fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 10530, a plurality of second components coupled to the fluid control subassembly are used to supply a heat removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate a heat removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. The method 10480 stops at a block 10540. Referring to FIG. 23BP, an illustrative method 10550 for operating a nuclear fission reactor fuel assembly starts at a block 10560. At a block 10570, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10580, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10590, a plurality of first components coupled to the fluid control subassembly are used to supply a fission product removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate the fission product removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the volatile fission product is acquired by the pores of the nuclear fuel body and is removed from the pores of the nuclear fuel body while said fluid control subassembly circulates the fission product removal fluid through the pores of the nuclear fuel body. At a block 10600, a plurality of second components coupled to the fluid control subassembly are used to supply a heat removal fluid to the fluid control subassembly, so as to enable the fluid control subassembly to circulate a heat removal fluid through the pores of the nuclear fuel body, whereby at least a portion of the heat generated by the nuclear fuel body is removed from the nuclear fuel body while the fluid control subassembly circulates the heat removal fluid through the pores of the nuclear fuel body. At a block 10610, the first components and the second components are used so that at least one of the first components and at least one of the second components are identical. The method 10550 stops at a block 10620. Referring to FIG. 23BQ, an illustrative method 10630 for operating a nuclear fission reactor fuel assembly starts at a block 10640. At a block 10650, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10660, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10670, a dual-purpose circuit coupled to the enclosure is used to selectively remove the volatile fission product and heat from the nuclear fuel body. The method 10630 stops at a block 10680. Referring to FIG. 23BR, an illustrative method 10690 for operating a nuclear fission reactor fuel assembly starts at a block 10700. At a block 10710, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10720, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10730, the fluid control subassembly is used to circulate a gas through the pores of the nuclear fuel body. The method 10690 stops at a block 10740. Referring to FIG. 23BS, an illustrative method 10750 for operating a nuclear fission reactor fuel assembly starts at a block 10760. At a block 10770, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10780, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10790, the fluid control subassembly is used to circulate a liquid through the pores of the nuclear fuel body. The method 10750 stops at a block 10800. Referring to FIG. 23BT, an illustrative method 10810 for operating a nuclear fission reactor fuel assembly starts at a block 10820. At a block 10830, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10840, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10850, the enclosure is used so as to enclose a nuclear fuel body in the form of a foam defining the plurality of pores. The method 10810 stops at a block 10860. Referring to FIG. 23BU, an illustrative method 10870 for operating a nuclear fission reactor fuel assembly starts at a block 10880. At a block 10890, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10900, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10910, the enclosure is used so as to enclose a nuclear fuel body having a plurality of channels. The method 10870 stops at a block 10920. Referring to FIG. 23BV, an illustrative method 10930 for operating a nuclear fission reactor fuel assembly starts at a block 10940. At a block 10950, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 10960, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 10970, the enclosure is used so as to enclose a nuclear fuel body having a plurality of channels. At a block 10980, the enclosure is used so as to enclose a nuclear fuel body having a plurality of particles defining the plurality of channels therebetween. The method 10930 stops at a block 10990. Referring to FIG. 23BW, an illustrative method 11000 for operating a nuclear fission reactor fuel assembly starts at a block 11010. At a block 11020, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11030, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11040, the enclosure is used so as to enclose a nuclear fuel body defining the plurality of pores, the plurality of pores having a spatially non-uniform distribution. The method 11000 stops at a block 11050. Referring to FIG. 23BX, an illustrative method 11060 for operating a nuclear fission reactor fuel assembly starts at a block 11070. At a block 11080, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11090, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11100, the enclosure is used so as to enclose a nuclear fuel body having the plurality of pores for acquiring the volatile fission product released by the burn wave in the traveling wave nuclear fission reactor. The method 11060 stops at a block 11110. Referring to FIG. 23BY, an illustrative method 11120 for operating a nuclear fission reactor fuel assembly starts at a block 11130. At a block 11140, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11150, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11160, the enclosure is used so as to enclose a nuclear fuel body having the plurality of pores, one or more of the plurality of pores being of a predetermined configuration to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time. The method 11120 stops at a block 11170. Referring to FIG. 23BZ, an illustrative method 11180 for operating a nuclear fission reactor fuel assembly starts at a block 11190. At a block 11200, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11210, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11220, the enclosure is used so as to enclose a nuclear fuel body having the plurality of pores to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time of between approximately 10 seconds and approximately 1,000 seconds. The method 11180 stops at a block 11230. Referring to FIG. 23CA, an illustrative method 11240 for operating a nuclear fission reactor fuel assembly starts at a block 11250. At a block 11260, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11270, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11280, the enclosure is used so as to enclose a nuclear fuel body having the plurality of pores to allow at least a portion of the volatile fission product to escape the nuclear fuel body within a predetermined response time of between approximately one second and approximately 10,000 seconds. The method 11240 stops at a block 11290. Referring to FIG. 23CB, an illustrative method 11300 for operating a nuclear fission reactor fuel assembly starts at a block 11310. At a block 11320, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11330, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11340, the enclosure is used so as to enclose a nuclear fuel body having the plurality of pores to transport the volatile fission product through the nuclear fuel body. The method 11300 stops at a block 11350. Referring to FIG. 23CC, an illustrative method 11360 for operating a nuclear fission reactor fuel assembly starts at a block 11370. At a block 11380, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11390, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11400 the enclosure is used so as to sealingly enclose a nuclear fuel body having a cylindrical-shaped geometry. The method 11360 stops at a block 11410. Referring to FIG. 23CD, an illustrative method 11420 for operating a nuclear fission reactor fuel assembly starts at a block 11430. At a block 11440, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11450, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11460, the enclosure is used so as to sealingly enclose a nuclear fuel body having a polygonal-shaped geometry. The method 11420 stops at a block 11470. Referring to FIG. 23CE, an illustrative method 11480 for operating a nuclear fission reactor fuel assembly starts at a block 11490. At a block 11500, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11510, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11520, the method comprises operating a valve. The method 11480 stops at a block 11530. Referring to FIG. 23CF, an illustrative method 11540 for operating a nuclear fission reactor fuel assembly starts at a block 11550. At a block 11560, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11570, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11580, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a valve interposed between the enclosure and the fluid control subassembly. The method 11540 stops at a block 11590. Referring to FIG. 23CG, an illustrative method 11600 for operating a nuclear fission reactor fuel assembly starts at a block 11610. At a block 11620, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11630, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11640, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a valve interposed between the enclosure and the fluid control subassembly. At a block 11650, flow of a fluid is controlled between the enclosure and the fluid control subassembly by operating a back-flow prevention valve. The method 11600 stops at a block 11660. Referring to FIG. 23CH, an illustrative method 11670 for operating a nuclear fission reactor fuel assembly starts at a block 11680. At a block 11690, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11700, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11710, a controllably breakable barrier is used. The method 11670 stops at a block 11720. Referring to FIG. 23CI, an illustrative method 11730 for operating a nuclear fission reactor fuel assembly starts at a block 11740. At a block 11750, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11760, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11770, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. The method 11730 stops at a block 11780. Referring to FIG. 23CJ, an illustrative method 11790 for operating a nuclear fission reactor fuel assembly starts at a block 11800. At a block 11810, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11820, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11830, a controllably breakable barrier is interposed between the enclosure and the fluid control subassembly. The method 11790 stops at a block 11840. Referring to FIG. 23CK, an illustrative method 11850 for operating a nuclear fission reactor fuel assembly starts at a block 11860. At a block 11870, an enclosure is used that encloses a heat-generating nuclear fuel body therein, the nuclear fuel body defining a plurality of interconnected open-cell pores. At a block 11880, a fluid control subassembly coupled to the enclosure is used to control removal of at least a portion of the volatile fission product from the pores of the nuclear fuel body and to control removal of at least a portion of the heat generated by the nuclear fuel body at a plurality of locations corresponding to the burn wave of the traveling wave nuclear fission reactor by controlling fluid flow in a plurality of regions of the traveling wave nuclear fission reactor proximate to the plurality of locations corresponding to the burn wave. At a block 11890, the method comprises interposing a barrier breakable by operator action. The method 11850 stops at a block 11900. One skilled in the art will recognize that the herein described components (e.g., operations), devices, objects, and the discussion accompanying them are used as examples for the sake of conceptual clarity and that various configuration modifications are contemplated. Consequently, as used herein, the specific exemplars set forth and the accompanying discussion are intended to be representative of their more general classes. In general, use of any specific exemplar is intended to be representative of its class, and the non-inclusion of specific components (e.g., operations), devices, and objects should not be taken as limiting. Moreover, those skilled in the art will appreciate that the foregoing specific exemplary processes and/or devices and/or technologies are representative of more general processes and/or devices and/or technologies taught elsewhere herein, such as in the claims filed herewith and/or elsewhere in the present application. While particular aspects of the present subject matter described herein have been shown and described, it will be apparent to those skilled in the art that, based upon the teachings herein, changes and modifications may be made without departing from the subject matter described herein and its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as are within the true spirit and scope of the subject matter described herein. It will be understood by those within the art that, in general, terms used herein, and especially in the appended claims (e.g., bodies of the appended claims) are generally intended as “open” terms (e.g., the term “including” should be interpreted as “including but not limited to,” the term “having” should be interpreted as “having at least,” the term “includes” should be interpreted as “includes but is not limited to,” etc.). It will be further understood by those within the art that if a specific number of an introduced claim recitation is intended, such an intent will be explicitly recited in the claim, and in the absence of such recitation no such intent is present. For example, as an aid to understanding, the following appended claims may contain usage of the introductory phrases “at least one” and “one or more” to introduce claim recitations. However, the use of such phrases should not be construed to imply that the introduction of a claim recitation by the indefinite articles “a” or “an” limits any particular claim containing such introduced claim recitation to claims containing only one such recitation, even when the same claim includes the introductory phrases “one or more” or “at least one” and indefinite articles such as “a” or “an” (e.g., “a” and/or “an” should typically be interpreted to mean “at least one” or “one or more”); the same holds true for the use of definite articles used to introduce claim recitations. In addition, even if a specific number of an introduced claim recitation is explicitly recited, those skilled in the art will recognize that such recitation should typically be interpreted to mean at least the recited number (e.g., the bare recitation of “two recitations,” without other modifiers, typically means at least two recitations, or two or more recitations). Furthermore, in those instances where a convention analogous to “at least one of A, B, and C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, and C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). In those instances where a convention analogous to “at least one of A, B, or C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, or C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). It will be further understood by those within the art that typically a disjunctive word and/or phrase presenting two or more alternative terms, whether in the description, claims, or drawings, should be understood to contemplate the possibilities of including one of the terms, either of the terms, or both terms unless context dictates otherwise. For example, the phrase “A or B” will be typically understood to include the possibilities of “A” or “B” or “A and B.” With respect to the appended claims, those skilled in the art will appreciate that recited operations therein may generally be performed in any order. Also, although various operational flows are presented in a sequence(s), it should be understood that the various operations may be performed in other orders than those which are illustrated, or may be performed concurrently. Examples of such alternate orderings may include overlapping, interleaved, interrupted, reordered, incremental, preparatory, supplemental, simultaneous, reverse, or other variant orderings, unless context dictates otherwise. Furthermore, terms like “responsive to,” “related to,” or other past-tense adjectives are generally not intended to exclude such variants, unless context dictates otherwise. While various aspects and embodiments have been disclosed herein, other aspects and embodiments will be apparent to those skilled in the art. For example, each of the embodiments of the nuclear fission reactor fuel assembly may be disposed in a thermal neutron reactor, a fast neutron reactor, a neutron breeder reactor or a fast neutron breeder reactor. Thus, each of the embodiments of the fuel assembly is versatile enough to be beneficially used in various nuclear reactor designs. Therefore, what are provided are a nuclear fission reactor fuel assembly and system configured for controlled removal of a volatile fission product and heat released by a burn wave in a traveling wave nuclear fission reactor and method for same. Moreover, the various aspects and embodiments disclosed herein are for purposes of illustration and are not intended to be limiting, with the true scope and spirit being indicated by the following claims.
claims
1. A semiconductor mask correcting device for inspecting a defective portion of a photo mask, which has a mask substrate and a mask pattern drawn on the mask substrate on the basis of mask data in which a main pattern and an assist pattern are previously stored as drawing data, and correcting the defective portion, the device comprising:a stage on which the photo mask is placed to be movable in parallel to the surface of the mask substrate;an image acquiring unit acquiring a mask image of the mask pattern;an extraction unit extracting only the main pattern from the mask data;an inspection unit matching and comparing the extracted main pattern with a drawn main pattern which is obtained from the mask image, along with inspecting whether the defective portion exists in the drawn main pattern in accordance with differences between both main patterns, and specifying the location of the defective portion; anda correction unit correcting the defective portion specified by the inspection unit by using a focused ion beam,wherein the extraction unit includesa recognition section recognizing the main pattern and the assist pattern as figures surrounded by only an outline, respectively,a specification section specifying a figure, which has a predetermined space from the nearest figure and of which at least a width and a length satisfy predetermined values, among the recognized figures as the assist pattern, anda main pattern extracting section extracting as the main pattern the figures other than the figure specified as the assist pattern. 2. The semiconductor mask correcting device according to claim 1, wherein the correction unit corrects the defective portion by using an etching process or a formation of deposition film depending on kinds of the defective portion. 3. A semiconductor mask correcting method of a defective portion of a photo mask, which has a mask substrate and a mask pattern drawn on the mask substrate on the basis of mask data in which a main pattern and an assist pattern are previously stored as drawing data, and correcting the defective portion, the method comprising:an image acquiring process of acquiring a mask image of the mask pattern drawn on the mask substrate;a recognition process of recognizing the main pattern and the assist pattern stored in the mask data as figures surrounded by only an outline, respectively;a specification process of specifying a figure, which has a predetermined space from the nearest figure and of which at least a width and a length satisfy predetermined values, among the recognized figures as the assist pattern, after the recognition process;an extraction process of extracting as the main pattern the figures other than the figure specified as the assist pattern, after the specification process;an inspection process of matching and comparing the extracted main pattern with a drawn main pattern which is obtained from the mask image, along with inspecting whether the defective portion exists in the drawn main pattern in accordance with differences between both main patterns, and specifying the location of the defective portion; anda correction process of correcting the specified defective portion by using a focused ion beam after the inspection process. 4. The semiconductor mask correcting method according to claim 3, wherein the correction process corrects the defective portion by using an etching process or a process of forming a deposition film depending on kinds of the defective portion.
abstract
The invention relates to a storage device for storing and/or transporting nuclear fuel assemblies, which includes recesses (2) defined by separating partitions (9) defining first and second recesses (2), the partition comprising: two first walls (22) defining the first and second recesses and made of an aluminium-alloy material which is free of neutron-absorbing elements, and defining therebetween a first inter-wall space (28); two second walls (30) arranged in the first space (28) and made of a material which comprises neutron-absorbing elements, the distance between the inner (36) and outer (34) surfaces of each second wall (30) defining a thickness (e2), and a distance (E) being defined between the outer surface (34) of each second wall and a median partition plane (20), the values meeting the condition 0.1≤e2/E≤0.43.
description
This application is a divisional of U.S. patent application Ser. No. 11/955,780, filed Dec. 13, 2007, now U.S. Pat. No. 8,009,790, the entire content of which is hereby incorporated by reference in this application. The invention relates to equipment for use in a nuclear reactor and, more particularly, to a debris trap for catching debris falling through a fuel bundle orifice in a nuclear reactor. A typical fuel assembly in a boiling water nuclear reactor includes a lower tie plate, an upper tie plate and a matrix of sealed fuel rods supported between upper and lower tie plates. The fuel rods contain nuclear fuel pellets in sealed containment for supporting a required critical reaction for the generation of steam. A channel surrounds the tie plates and fuel rods. Periodically, the locations of fuel bundles are changed, and when the bundles are moved, debris can fall from the moving bundle into other bundles. Such debris may affect operation of the reactor and may cause failures, and it is thus desirable to prevent any debris from falling into other bundles. In an exemplary embodiment, a debris trap is provided for catching debris falling through a fuel bundle orifice in a nuclear reactor. The debris trap includes a shaft including a pivot actuator at one end thereof, and a debris capture tray pivotally attached to an opposite end of the shaft. The debris capture tray includes a tray cavity sized larger than the fuel bundle orifice, and the pivot actuator is operable to pivot the debris capture tray between an insertion position and a capture position. In another exemplary embodiment, the debris trap includes a shaft and a debris capture tray attached to the shaft. The debris capture tray includes a conical tray cavity having a maximum diameter larger than a width of the fuel bundle orifice. In yet another exemplary embodiment, a method of inserting a debris trap in a fuel bundle includes the steps of lowering the debris trap to a position adjacent a bottom of the fuel bundle; and (b) positioning the debris capture tray under the fuel bundle. With reference to the drawings, a debris trap 10 serves to catch debris falling through a fuel bundle orifice in a nuclear reactor. The debris trap 10 includes a shaft 12 having a pivot actuator 14 (shown schematically via arrows in FIG. 1) at one end thereof. A debris capture tray 16 is pivotally attached to an opposite end of the shaft 12. A pivot mechanism (described below) connected between the debris capture tray 16 and the shaft 12 is actuated by the pivot actuator 14 to pivot the debris capture tray 16 via the pivot mechanism between an insertion position (shown in FIG. 3) and a capture position (shown in FIGS. 1 and 2). The debris capture tray 16 includes a tray cavity 17 that is sized larger than the fuel bundle orifice. Preferably, the tray cavity 17 is conical to reduce the possibility of debris exiting the tray 16. A length of the shaft 12 is preferably sufficient to extend from a refueling bridge of the nuclear reactor to a bottom of the fuel bundle. Of course, the length of the shaft 12 may vary depending on desired use, size of the reactor, etc. A pivot shaft 18 is slidably coupled with the shaft 12 and is connected to the pivot actuator 14. The pivot mechanism may be any number of mechanical arrangements that enable the debris capture tray 16 to pivot between the insertion position and the capture position. As shown, the pivot mechanism includes a tray bracket 20 secured to the debris capture tray 16 and a link 22 connected between the tray bracket 20 and the pivot shaft 18. A shaft connector 24 may be connected between the shaft 12 and the debris capture tray 16. The shaft connector 24 is pivotally connected to the debris capture tray 16 via a pivot joint 26, where relative movement of the pivot shaft 18 to the shaft 12 causes the debris capture tray 16 to pivot between the insertion position and the capture position. As shown in FIG. 3, in the insertion position, the debris capture tray 16 may be oriented substantially in alignment with the shaft 12. The pivot actuator 14 may be of any suitable construction to effect relative positioning of the pivot shaft 18 to the shaft 12. The actuator 14 may include a manual actuator or an automated actuator such as a pneumatic actuator or the like. The debris capture tray 16 preferably also includes a vacuum port 28 that is attachable to a vacuum source. If included, the vacuum system serves to remove the debris from the tray while also pulling additional debris from the bundle. In order to insert the debris trap 10 under a fuel bundle of a nuclear reactor, with the debris capture tray 16 in the insertion position (FIG. 3), the debris trap 10 is lowered to a position adjacent a bottom of the fuel bundle. The debris trap may be lowered from the refueling bridge in the reactor. Once the fuel bundle is lifted by the mast, the debris capture tray 16 is pivoted to the capture position (FIGS. 1 and 2). The debris capture tray 16 is then positioned under the fuel bundle, completely covering the orifice in the bottom of the bundle so that any debris falling through the orifice will fall in the cavity 17 of the debris capture tray 16. Preferably, the debris trap 10 remains in place until the mast is at the new bundle location. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention is not to be limited to the disclosed embodiments, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.
054220475
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to carbonaceous fuel particles and method for making same, particularly for making such particles containing fertile or fissile materials. 2. The Prior Art The most promising or advantageous fuels for high efficiency nuclear power plants or for rocket propulsion have included non-graphite, spherical fuel particles made from sol-gel such as uranium carbide spheres (UC.sub.2). Fuel made of such spherical particles however has a molten temperature at about 2654.degree. C. and thus needs to operate below, eg. 2200.degree. C. to avoid significant deformation. Attempts have been made to find a nuclear fuel that has a significantly higher melting temperature, to reduce the threat of melting and to achieve greater engine efficiency, e.g. for a gas turbine or for improved rocket engine specific impulse. Accordingly there is a need and market for such improved higher temperature nuclear fuel that lessens or obviates the above prior art shortcomings. There has now been discovered a nuclear fuel that has a significantly higher melting temperature than seen in the prior art and that concurrently can provide for greater efficiency of nuclear engine operation, including that of gas turbines and rocket engines for space vehicles. In related prior art, U.S. Pat. No. 4,035,452 to Davis et al (1977) discloses impregnating fuel particles with polymer, adding nuclear fuel and then joining the particles into a cohesive mass with a carbonaceous binder. U.S. Pat. No. 4,963,758 to Noren (1990) and U.S. Pat. No. 5,037,606 to DeVelasco, et al (1991) disclose coatings for fuel spheres made by others. In further prior art, an Article entitled "Preparation of carbon microbeads containing fine platinum particles from aqua-mesophase" Oct. 8, 1991, "Letters to the Editor" in Carbon, vol. 30, 1992 at pp. 120 & 122 by K Esumi, et al., discloses adding platinum to spheres made from aqua-mesophase in silicone oil for use, e.g. as a catalyst. Such microbeads are then heated to 1000.degree. C. to form carbon microbeads. There is no suggestion of preparing a fuel from such process, nor are carbon microbeads converted to graphite microbeads and the size of the microbeads ranges from 10-20 microns. SUMMARY OF THE INVENTION Broadly the present invention provides a method for making fuel particles having metal carbides dispersed in spherical graphite skeletons comprising: a) adding pitch-derived aqua-mesophase to a desired amount of alkaline solution (pH=9-12), to form a first solution, PA1 b) adding a metal salt to the first solution to form a fuel solution, PA1 c) adding said fuel solution to an oil bath to form an emulsion of aqueous spheres in oil and heating and stirring same until spheres with metal salts dispersed therein, dry to form solid spheres in the oil bath, PA1 d) filtering the solid spheres out of the oil bath and rinsing and drying the spheres, PA1 e) heating the solid spheres to 700.degree. C. to 1100.degree. C. for 1-24 hours to carbonize such spheres from pitch to amorphous carbon and convert the metal salts to metal oxides, PA1 f) heating the solid spheres to 2000.degree. to 3000.degree. C. for 2-10 hours, to carburize the metal oxides to form fertile or fissile carbides and graphitize the amorphous carbon to form the fuel particles and PA1 g) depositing a protective carbon-based coating on the fuel particles. PA1 a) a spherical graphite skeleton, PA1 b) metal carbides dispersed in the skeleton and PA1 c) a deposit of carbon-based coating covering the skeleton and the metal carbides dispersed therein. Definitions: The invention also provides a fuel particle comprising: By "dispersed in the skeleton" as used herein, is meant, both in and on the skeleton. By "pitch" as used herein, is included natural pitch and synthetic pitch. Natural pitch includes pitch from coal tar and petroleum residues and is discussed at length in Chemistry and Physics of Carbon, vol. 15, 1978, pp. 229-286, by H. Marsh and P. L. Walker and references therein, which Article is incorporated herein by reference. For discussion of synthetic pitch see Carbon, vol. 30, 1992, pp. 55-61 by I. Mochida et al and references therein, which Article is incorporated herein by reference. Aqua-mesophase is a carbon-containing material derived from mesophase pitch, which can be of natural or synthetic origin. For a further discussion of aqua-mesophase material and a discussion of the preparation thereof, see the above cited Article by K. Esumi, which Article is incorporated herein by reference. By "fissile metal salt" as used herein is meant salts of uranium (U) and plutonium (Pu), including any cation and anion containing U or Pu, e.g. uranyl nitrate, UO.sub.2 (NO.sub.3).sub.2, uranyl acetate, UO.sub.2 (CH.sub.3 COO).sub.2 and uranyl acetylacetonate, UO.sub.2 (C.sub.5 H.sub.7 O.sub.2).sub.2. By fertile metal salt as used herein is meant salts including any cation and anion containing Th, e.g. Th(NO.sub.3).sub.4 and Thorium nitrate. The fuel solution is aqueous and when added to the above oil bath, forms an emulsion of liquid pitch-derived spheres in oil. The bath is stirred under heated to, e.g. 80.degree. C. for several hours to drive off most of the water or water vapor, from the pitch-derived spheres (eg to dry and solidify the spheres), many of which remain suspended in the oil bath. Thus in a so dried pitch-derived sphere, e.g. only 10.0 to 0.1 wt % water remains. By "carbon-based" coating, as used herein, is meant a carbon or carbide coating.
description
The present invention relates to a bolus that is utilized in a particle beam therapy system utilizing a charged particle beam, in order to modulate the energy distribution of a charged particle beam in accordance with an irradiation subject, the manufacturing method therefor, a particle beam therapy system utilizing the bolus, and a treatment planning apparatus for determining the specification of the bolus. In the particle beam therapy, therapy is implemented by irradiating a charged particle beam onto a diseased site, which is a therapy subject, so as to cause damage to diseased tissue; the particle beam therapy is one of the broad-sense radiation therapies. Among radiations, a charged particle beam such as a proton beam or a heavy ion beam is different from a conventional γ-ray or an X-ray in that the dose delivery thereof steeply becomes maximum in a position that is deep in a body. The peak of a dose delivery is referred to as a Bragg peak; the position (attainable depth) where it occurs is determined by the energy of a charged particle. That is because a charged particle gradually loses its energy as it passes through a substance and it delivers many doses when its kinetic energy becomes zero. Accordingly, in the particle beam therapy, by controlling energy distribution, not only the planar shape but also the depth-direction irradiation coverage can be controlled. Therefore, in order to deliver a sufficient dose to a diseased tissue while suppressing exposure of the peripheral tissues, there is required a particle beam therapy system that can appropriately control planar and depth-direction irradiation coverage (referred to as an irradiation field, hereinafter). Among beam irradiation methods for a particle beam therapy system, in an irradiation method which is utilized often now and is referred to as a broad method, a thin beam supplied from an accelerator is enlarged and then is made to penetrate a collimator for forming a planar shape and a bolus for forming an energy distribution so that an irradiation field is formed (for example, refer to Patent Documents 1 through 4). In this situation, in the bolus, when a beam penetrates the bolus, the energy is attenuated in accordance with the penetration length (thickness); therefore, a thickness distribution is set in such a way as to compensate the depth distribution from the body surface of an irradiation subject. For example, when the distal plane of an irradiation subject is the setting reference, regarding a beam as a parallel light, the thickness of the bolus is set in such a way that the distal plane enters the bolus, or strictly speaking, in such a way that a constant thickness is obtained when the bolus is superimposed on the tissue ranging from the distal plane to the body surface. Alternatively, taking the spread from a point light source into consideration, the thickness of the bolus is set in such a way that a constant thickness is obtained, when the bolus which has been enlarged at a predetermined magnification in the planar direction is superimposed on the tissue. [Patent Document] [Patent Document 1] Japanese Patent Application Laid-Open No. 10-255707 (Paragraphs 0009 through 0020, FIGS. 1 and 5) [Patent Document 2] Japanese Patent Application Laid-Open No. 2006-166947 (paragraphs 0015 to 0016, FIG. 1) [Patent Document 3] National Publication of International Patent Application No. 2006-082651 (paragraphs 0012 to 0013, FIG. 4) [Patent Document 4] Japanese Patent Application Laid-Open No. 2007-54537 (paragraph 0017, FIG. 1) As described above, in the case of a conventional bolus, a thickness distribution is set only taking the parallel light of a particle beam or the spread from a point light source into consideration. However, even in the case of the broad method, when as disclosed in Patent Document 1 or 2, a beam is enlarged through scanning by means of an electromagnet, there are required respective electromagnets for two directions, e.g., an x-direction electromagnet and a y-direction electromagnet, in a plane perpendicular to the beam axis. Accordingly, the x-direction and y-direction spread starting points of an actual particle beam differ from each other. Thus, there has been a problem that in the case where the thickness of a bolus is set by taking the parallel light and the point light source into consideration but without considering the spreading manner that differs depending on the direction, there cannot be formed an irradiation field that is accurately suited to the depth-direction shape of an irradiation subject. The present invention has been implemented in order to solve the foregoing problem; the objective thereof is to obtain a bolus, with which there can be formed an irradiation field that is accurately suited to the depth-direction shape of an irradiation subject, and a particle beam therapy system. A bolus according to the present invention is disposed in a particle beam therapy system and modulates energy distribution of a particle beam in accordance with a to-be-irradiated portion; the bolus is characterized in that on a beam axis of a charged particle beam that enters the bolus at the upstream side thereof, a first reference point and a second reference point, which is at the downstream side of the first reference point, are situated; an irradiation orbit of a particle beam that penetrates the bolus and reaches the to-be-irradiated portion is defined by a first slant from the beam axis with respect to a first axis that starts from the first reference point, that is perpendicular to the beam axis, and that includes the first reference point and by a second slant from the beam axis with respect to a second axis that is perpendicular to the beam axis and the first axis and includes the second reference point; and the shape of the bolus is set in such a way that the path length, of a particle beam, within the bolus, in each of the irradiation orbit defined for combinations within a predetermined range among combinations of the first slant and the second slant, compensates the path length from a body surface situated at the upstream side of the to-be-irradiated portion to the to-be-irradiated portion. A bolus manufacturing method according to the present invention is characterized by including a step of acquiring inner-body depth data, which is the path length from the body surface to the to-be-irradiated portion, for each of combinations of the first slant and the second slant; a step of setting the shape of a bolus in such a way that the path length is obtained by compensating the acquired inner-body depth data; a step of creating bolus machining data, based on the set bolus shape; and a step of machining a bolus, based on the created machining data. A particle beam therapy system according to the present invention is provided with an irradiation nozzle that scans a particle beam supplied from an accelerator by means of two electromagnets that range in the traveling direction of the particle beam and whose scanning directions are different from each other, and that irradiates the particle beam in such a way as to enlarge the irradiation field thereof; and the bolus disposed in a particle beam irradiated from the irradiation nozzle. The particle beam therapy system is characterized in that the bolus is disposed in such a way that the first axis for setting the shape of the bolus coincides with the scanning axis of the upstream electromagnet out of the two electromagnets and the second axis coincides with the scanning axis of the other electromagnet. Moreover, a particle beam therapy system according to the present invention is provided with a three-dimensional data creation unit for creating three-dimensional data from image data of a body including the to-be-irradiated portion; an irradiation condition setting unit for setting an irradiation condition, based on the created three-dimensional data; and a bolus data creation unit for creating the shape data on the bolus in the particle beam therapy system, based on the set irradiation condition. The particle beam therapy system is characterized in that the three-dimensional data creation unit creates the three-dimensional data by utilizing at least the first slant obtained by means of scanning of the upstream electromagnet and the second slant obtained by means of scanning of said the other electromagnet. In a bolus, a bolus manufacturing method, a particle beam therapy system, and a treatment planning apparatus according to the present invention, the shape of a bolus is set in accordance with the spreading manner, of a beam, that differs depending on the direction of the beam that penetrates the bolus and reaches an irradiation subject; therefore, there can be formed an irradiation field that is accurately suited to the depth-direction shape of the irradiation subject. Embodiment 1 The configurations of a bolus and a particle beam therapy system according to Embodiment 1 of the present invention will be explained below. FIGS. 1 through 5 are views and a flowchart for explaining the configurations of a bolus and a particle beam therapy system and a manufacturing method for the bolus according to Embodiment 1 of the present invention; FIG. 1 is a view illustrating the configuration of an irradiation system, of a particle beam therapy system, that is provided with a bolus. FIG. 2 is a set of views for illustrating the configurations of a particle beam therapy system and a bolus when they are viewed from directions that are perpendicular to each other with respect to the center (z direction) of a charged particle beam in FIG. 1; FIG. 2(a) is a side view when viewed from the y direction; FIG. 2(b) is a side view when viewed from the x direction. FIG. 3 explains the shape of a beam bundle in an irradiation system of a particle beam therapy system; FIG. 3(a) is a view illustrating the overall appearance of a beam bundle; FIGS. 3(b) and 3(c) are views when a beam bundle is viewed from directions that are perpendicular to each other with respect to the center (z direction) of a charged particle beam in FIG. 3(a); FIG. 3(b) is a side view when viewed from the y direction; FIG. 3(c) is a side view when viewed from the x direction. FIG. 4 extracts and illustrates a bolus in a beam bundle and a patient body including a diseased site, which is an irradiation subject, in order to explain about setting of the bolus in consideration of the spreading manner of a beam; FIG. 4(a) is a view illustrating the appearances of a bolus in a beam bundle and an irradiation subject; FIGS. 4(b) and 4(c) are views when a bolus and an irradiation subject are viewed from directions that are perpendicular to each other with respect to the center (z direction) of a charged particle beam in FIG. 4(a); FIG. 4(b) is a side view when viewed from the y direction; FIG. 4(c) is a side view when viewed from the x direction. FIG. 5 is a flowchart for explaining a manufacturing method for a bolus. At first, as an assumption for a detailed explanation about the configuration of a bolus, there will be explained an irradiation system, including a bolus, for forming an irradiation field. As illustrated in FIGS. 1 and 2, a particle beam therapy system 10 is a system that processes a charged particle beam B supplied from an unillustrated accelerator, in accordance with a diseased site IS of a patient K as an irradiation subject, and to irradiate the charged particle beam B onto the diseased site. Accordingly, the particle beam therapy system 10 are provided with a wobbling electromagnet 1 (an upstream wobbling electromagnet 1a, a downstream wobbling electromagnet 1b) that functions as an irradiation nozzle for enlarging an irradiation field, by circularly scanning the charged particle beam B, which is supplied from the accelerator and has a so-called pencil-looking shape; a ridge filter 2 for enlarging the width of a Bragg peak in accordance with the thickness of the irradiation subject IS; a range shifter 3 for changing the energy (range) of the charged particle beam B in accordance with the depth (inner-body depth) of the irradiation subject IS from the body surface; a block collimator 4 for limiting the planar-direction (xy-direction) spread of an enlarged irradiation field to a predetermined area so as to prevent superfluous irradiation onto normal tissues; a multileaf collimator 5 that is configured with a plurality of leaf plates and leaf drive mechanisms for driving the respective leaf plates and limits an irradiation field in such a way that the planar-direction shape of the irradiation field coincides with the planar-direction shape of the irradiation subject IS; and a bolus 6 that limits the range of the charged particle beam B in such a way that the depth-direction (z-direction) shape of the irradiation field coincides with the depth-direction shape of the irradiation subject IS. Next, there will be explained the operation and the principle of an irradiation system that enlarges an irradiation field by means of an irradiation nozzle in which the Wobbling method is utilized. The charged particle beam B is accelerated by an unillustrated accelerator; then, as a so-called pencil beam having a diameter of approximately several millimeters, it is introduced to the irradiation system through a transport system. The charged particle beam B introduced to the irradiation system is scanned by the wobbling electromagnet 1 in such a way as to draw a circular orbit. As illustrated in FIG. 1 or 2, the wobbling electromagnet 1 is usually provided with an x-direction electromagnet 1a and a y-direction electromagnet 1b; the two electromagnets are arranged in series along the center axis XB of the charged particle beam B. Here, for clarity of description, the x direction and the y direction will be defined. In various specifications, coordinate systems are defined; however, in this “DESCRIPTION”, the coordinate system is defined in the following manner. The direction in which the charged particle beam B travels is defined as the positive direction of the z axis. The x axis and the y axis are axes that are perpendicular to the z axis; the x axis and the y axis are also perpendicular to each other. Then, the xyz coordinate system is established in such a way as to be a right-handed coordinate system. In each of the examples in FIGS. 1 and 2, the upstream wobbling electromagnet 1a and the downstream wobbling electromagnet 1b scan a beam in the x direction and in the y direction, respectively. Due to the scanning by the electromagnets 1a and 1b, the irradiation field is expanded in the xy direction (planar direction). The charged particle beam B whose irradiation field has been enlarged passes through the ridge filter 2. A ridge filter is formed, for example, in such a way that a great number of cones or plates whose cross sections are triangles are arranged on a plane; assuming that, for example, an irradiation field is divided into a great number of sub-areas, there exist beams that pass through different thickness from one another. For easier understanding, FIG. 1 or 2 illustrates cones that are arranged as in a pin holder (“kenzan”). In such a manner as described above, the width of a Bragg peak is spread out (SOBP: Spread-Out Bragg Peak). That is to say, the ridge filter 2 enlarges the irradiation field also in the z direction. Next, the charged particle beam B whose irradiation field has been enlarged passes through the range shifter 3. The range shifter 3 is a device that changes the energy of the charged particle beam B. Due to the range shifter 3, irradiation of the enlarged irradiation field can be performed onto a position of a desired inner-body depth. Next, the beam that has passed through the range shifter 3 passes through the block collimator 4. The block collimator 4 is, for example, a metal block in which a passing hole PH is provided, and limits the planar-direction (the xy plane) spread of the irradiation field. This is because superfluous irradiation onto normal tissues can be prevented by preliminarily limiting the irradiation coverage. Next, the charged particle beam B passes through the multileaf collimator 5. Through a penetration shape PS formed based on the position of a plurality of leaves 5L, the multileaf collimator 5 limits the shape of the irradiation field in the planar (xy) direction perpendicular to the beam axis XB in accordance with the shape of the diseased site IS, which is an irradiation subject. That is to say, the multileaf collimator 5 performs limitation and formation of the irradiation field in the xy direction. The multileaf collimator 5 is provided with at least the plurality of leaf plates 5L and a leaf drive mechanism 5D that drives the respective leaf plates 5L. However, the configuration of the leaf drive mechanism 5D itself is not important, as long as the driving orbit of a leaf can be specified; when the leaf drive mechanism 5D itself is illustrated in the drawing, it is difficult to illustrate the structure of the leaf plate 5L. Accordingly, for the sake of simplicity, in FIGS. 1 and 2 and the following drawings, there are illustrated only the leaf plate 5L and the formation in which the leaf plates 5L are integrated, which are extracted from the multileaf collimator 5. Lastly, the charged particle beam B passes through the bolus 6. The bolus 6 is a limiter formed of resin or the like; it is formed in such a shape as to compensate the depth-direction shape of the diseased site IS, for example, the depth of the diseased site IS from the distal surface thereof. In this situation, the energy of the irradiation field is limited (formed in the z direction) to have a shape the same as the distal shape. That is to say, the bolus 6 performs limitation and formation of the irradiation field in the depth (z) direction. In addition, the distal surface signifies the surface of the deeper side of the diseased site IS as viewed from the body surface side; it is conceivable that in some cases, the depth of the proximal surface, which means the shallower side, is compensated, in contrast. There will be explained in detail the principle and operation in which the bolus 6 performs the depth-direction limitation and formation. The function of the irradiation system of a particle beam therapy system is to form an irradiation field in accordance with the diseased site IS onto which a beam is irradiated. In the Wobbling method that is adopted, as the method therefor, in a particle beam therapy system according to Embodiment 1, a planar-direction irradiation field is enlarged only by the wobbling electromagnet 1. For example, the “large-area uniform irradiation method through spiral beam scanning” disclosed in Patent Document 1 is a specific example of this method, which is referred to as the “spiral wobbling method”, among the Wobbling methods. Briefly speaking, the spiral wobbling method is to scan a beam (hereinafter, a beam dealt with not only in the particle beam therapy system but also in an ordinary apparatus is simply referred to as a “charged particle beam”, and a beam utilized for explaining the radiation direction and the like of a charged particle beam is referred to as a “beam”) in a spiral manner so as to enlarge an irradiation field; the scanning orbit (scanning locus) in the irradiation field is contrived so that the flatness is secured. Additionally, a beam scanning orbit according to the spiral wobbling method can be seen in FIG. 1 and the like of Patent Document 1. Meanwhile, in general, the method which is referred to as the “Wobbling method” often signifies the “single-circle Wobbling method”; in that case, when an irradiation field is enlarged, the flatness is secured by means of a scatterer. Therefore, among the Wobbling methods, there exist not only a Wobbling method in which a scatterer is utilized but also a Wobbling method in which no scatterer is utilized; thus, the directional behavior of a beam differs depending on whether or not there exists a scatterer. In the case where a scatterer is utilized, the beam scanning angle for an irradiation field of a given size may be smaller than in the scanning method. Therefore, even in the case where as is the case in a conventional method, a bolus is created assuming that the beam is a parallel beam or a beam having a point-light-source spread, no significant problem is posed. In contrast, in the case where as the spiral wobbling method utilized in Embodiment 1, a beam is enlarged only by means of a scanning electromagnet without utilizing any scatterer, the irradiation direction of the beam that passes through a given point is a single direction that is determined mainly by the position thereof with respect to the scanning electromagnet. FIG. 3 is a set of schematic diagrams illustrating the spreading manner (the shape of a beam bundle FB) in which the charged particle beam B is enlarged by the couple of scanning electromagnets 1 in the irradiation system of the particle beam therapy system 10 according to Embodiment 1. Because the spiral wobbling method is utilized, the charged particle beam B is enlarged not in a point-light-source manner but in such a manner as illustrated in FIG. 3. For the sake of simplicity, the spreading manner of the charged particle beam, illustrated in FIG. 3, will be referred to as a “series-of-scanners spreading manner”. In the case where a beam is enlarged not in a point-light-source manner but in a series-of-scanners spreading manner, a limiter suitable therefor needs to be designed. The series-of-scanners spread will be explained in more detail hereinafter. As illustrated in FIG. 3, the charged particle beam B is irradiated from the top to the bottom (in the z direction). Originally, the charged particle beam B is supplied as a thin beam, which is called a pencil beam. Reference points CPa and CPb are set on the beam axis XB. The reference point CPa may be regarded as a position where the upstream wobbling electromagnet 1a (strictly speaking, a scanning axis ASa) is disposed; similarly, the reference point CPb may be regarded as a position where the downstream wobbling electromagnet 1b (strictly speaking, a scanning axis ASb) is disposed. The upstream wobbling electromagnet 1a disposed at the reference point CPa scans the charged particle beam B with respect to the reference point CPa. The scanning direction, of the upstream wobbling electromagnet 1a, in which the charged particle beam B is scanned is on a plane (the xz plane) of FIG. 3(b) and passes through the reference point CPa on the beam axis XB; the axis ASa, which is perpendicular to the beam axis XB, is the action axis (scanning axis) of the upstream wobbling electromagnet 1a. The downstream wobbling electromagnet 1b disposed at the reference point CPb scans the charged particle beam B with respect to the reference point CPb. The scanning direction, of the downstream wobbling electromagnet 1b, in which the charged particle beam B is scanned is on a plane (the yz plane) of FIG. 3(c) and passes through the reference point CPb on the beam axis XB; the axis ASb, which is perpendicular to the beam axis XB and the axis ASa, is the action axis (scanning axis) of the downstream wobbling electromagnet 1b. In other words, the scanning direction (x) of the upstream wobbling electromagnet 1a and the scanning direction (y) of the downstream wobbling electromagnet 1b are perpendicular to the beam axis XB; the scanning direction (x) of the upstream wobbling electromagnet 1a and the scanning direction (y) of the downstream wobbling electromagnet 1b are perpendicular to each other. Furthermore, the shape of the beam bundle FB will geometrically be explained with reference to FIG. 3. As illustrated in FIG. 3(b), there is drawn a vertical (z-direction) line whose top end point is the reference point CPa, and then the reference point CPb is provided at a position other than the reference point CPa on the vertical line. There is obtained a sector Fsa through which the line passes when the line is pivoted by ±α° with respect to the reference point CPa. In the case where only the upstream wobbling electromagnet 1a is utilized, the sector Fsa corresponds to the spread of the charged particle beam B. Next, the sector Fsa is divided into the upper part and the lower part by the reference axis Asb that passes through the reference point CPb. There is obtained a region through which the lower part of the sector Fsa passes when the lower part of the sector Fsa is pivoted by ±β° with respect to the reference axis Asb. This region is recognized as a sector Fsb in FIG. 3(c) and represents the spreading manner (the region through which the charged particle beam B can pass: the beam bundle FB) of the charged particle beam B. That is to say, the shape of the beam bundle FB having a series-of-scanners spread is a sector whose x-direction and y-direction curvature radiuses are different from each other. In order to explain the technical characteristics of a bolus corresponding to the spreading manner, of the charged particle beam B, which is created in accordance with the configuration of the foregoing irradiation system, the principle of a bolus will be explained at first. As described in “Background Art”, a charged particle beam is different from other radiations such as a γ-ray and an X-ray in that the dose delivery thereof steeply becomes maximal in a deep part of a body. The peak of the dose delivery is referred to as a “Bragg peak”; the position (attainable depth) where the Bragg peak occurs is determined by the energy of a charged particle. That is because a charged particle has a nature of gradually losing its kinetic energy as it passes through a substance and of delivering many doses when its kinetic energy becomes zero. In general, the accelerator, such as a synchrotron, of a particle beam therapy system accelerates a charged particle in such a way that it acquires certain energy. Accordingly, in the case where irradiation is directly performed without utilizing any limiter, a dose is delivered at an attainable depth corresponding to the energy accelerated by the accelerated. However, in practice, the shape of a diseased site, which is an irradiation subject, is three-dimensional and not constant in the depth direction. Thus, a bolus, which is a limiter, is utilized. The relationship between the principle of the bolus and a conventional bolus manufacturing method will be explained. A bolus is usually created by applying cutting processing to a resin block; while passing through the bolus, a charged particle beam loses its kinetic energy in accordance with the substance through which it passes, the density thereof, and the thickness thereof. In this situation, when comparison is made between substances having the same thickness, a substance having a larger atomic number causes more loss in the kinetic energy than a substance having a smaller atomic number. Additionally, as a result, even when a particle beam is irradiated in the air, it hardly loses its kinetic energy. For the sake of simplicity, it is assumed that the kinetic energy dissipated in the case where a charged particle beam passes through a resin by 1 cm is the same as the kinetic energy dissipated in the case where the charged particle beam passes through a human body by 1 cm. Moreover, it is assumed that the energy of a charged particle beam is adjusted in such a way that in the case where the charged particle beam, accelerated by an accelerator and then supplied, is directly irradiated, a Bragg peak is produced at a position that is 15 cm away from the body surface (the attainable depth is 15 cm). For the sake of simplicity, it is assumed that a charged particle beam to be irradiated is a parallel beam. In the case where a bolus is disposed in the planar direction that is perpendicular to the incident direction of a charged particle beam, when the thickness of the end portion A, of the bolus, through which a charged particle beam enters is 3 cm, the attainable depth of the charged particle beam that penetrates the bolus becomes 12 cm (=15-3). When the thickness of the end portion B, of the bolus, through which a charged particle beam enters is 4 cm, the attainable depth of the charged particle beam that penetrates the bolus becomes 11 cm (=15-4). Accordingly, Bragg peaks are caused at a position that is 12 cm deep from the body surface immediately underneath the end portion A and at a position that is 11 cm deep from the body surface immediately underneath the end portion B. Thus, by letting tB(x, y) and tK(x, y) denote the thickness of a bolus at an arbitrary position (x, y) in the planar direction thereof and the depth of a desired irradiation portion immediately underneath of the bolus from the body surface, i.e., the thickness between the body surface and the desired irradiation portion, respectively, and by setting the thickness distribution of the bolus in such a way as to satisfy the equation (1), it is made possible that the energy of a charged particle beam can be emitted intensively on the surface of the desired irradiation portion of a diseased site, i.e., the surface of the desired irradiation portion can be damaged.tB(x,y)+tK(x,y)=R  (1)where R is the attainable depth of a particle beam that enters the bolus; under the above assumption, R is 15 cm. In other words, the thickness distribution of a bolus is set in such a way as to compensate the depth distribution (the shape, simply speaking) from the body surface of a diseased site immediately underneath the bolus. Conventionally, with regard to the setting of the thickness, it is assumed that a charged particle beam is a parallel beam or a beam having a point-light-source spread; then, a bolus is manufactured. In addition, with regard to the setting of the thickness, in some cases, by regarding the body tissue as water, the thickness of a bolus is represented as the water-equivalent thickness obtained by converting the thickness of the bolus into the thickness equivalent to water; however, the foregoing manufacturing method applies also to this case. In the bolus according to Embodiment 1 of the present invention, because the charged particle beam spreads in a series-of-scanners manner, a thickness distribution or, strictly speaking, the path-length distribution is set. More particularly, explanation will be made with reference to FIG. 4. In FIG. 4, the desired irradiation position P denotes the point at which the desired irradiation portion of the diseased site IS, which is an irradiation subject, is situated. For example, it is assumed that the desired irradiation position P is a point on the surface of the diseased site IS, deepest from the body surface fK of a patient body K. In the case where it is assumed that a beam spreads in a series-of-scanners manner, in order to make the beam reach the desired irradiation position P, an angle αP at the reference point CPa and an angle βP on the action axis Asb are obtained; with respect to the action axis Asa that passes through the reference point CPa, the charged particle beam B is deflected by the angle αP from the beam axis XB, and with respect to the action axis Asb that passes through the reference point CPb, the charged particle beam B is deflected by the angle βP from the beam axis XB. The reason why the starting point of the angle αP is not the action axis Asa but the reference point CPa is that because the charged particle beam B supplied from the accelerator 1 is pencil-like in shape, it can be regarded that all of it passes through the reference point CPa that is the intersection point of the action axis Asa with the beam axis XB. The point, on the action axis Asb, through which the charged particle beam B passes is uniquely determined by the scanning angle αP with respect to the reference point CPa and the distance between the reference point CPa and the reference point CPb. As described above, under the assumption that a beam has a series-of-scanners spread (passing range), the polygonal line along which the beam reaches the desired irradiation position P, i.e., a “beam irradiation orbit TB” can be drawn by defining it with the reference point CPa, the action axis Asb, and the scanning angles αP and βP. Before reaching the desired irradiation position P, the beam irradiation orbit TB penetrates the bolus 6 and the patient body K (at least from the body surface fk to the desired irradiation position P). The kinetic energy to be lost when the charged particle beam B penetrates the bolus 6 and the patient body K may be set to be the same as the energy that has been accelerated by the accelerator. That is to say, the bolus thickness, which determines the path length of the penetration, is set in such a way that the kinetic energy lost in the charged particle beam becomes the same as the accelerated energy. In this situation, the beam irradiation orbit TB is defined by the scanning angle α with respect to the reference point CPa and the scanning angle β with respect to the action axis Asb that passes through the reference point CPb located away from the reference point CPa. Then, when with regard to the path before the to-be-irradiated portion IS, LB denotes the penetration path length in the bolus 6, LK denotes the path length from the body surface fK to the to-be-irradiated portion IS, and as is the case in the equation (1), the energy of the charged particle beam B is represented by the attainable depth R, the shape of the bolus 6 may be set in such a way that the relationship among them in the equation (2) is satisfied.LB(α,β)+LK(α,β)=R  (2) In other words, assuming that two reference points CPa and CPb are specified, the shape of the bolus is directly defined (set) by three parameters (coordinates) consisting of the scanning angles α and β and the path length LB. That is to say, strictly speaking, the shape of the bolus is defined not by the thickness distribution but by the path-length distribution. In this case, for example, in a region that is largely slanted from the beam axis XB, correction in the thickness t cannot simply be performed by the angle of the slant. However, by defining it with (α, β, L) coordinates, the shape of the bolus can accurately be defined. Moreover, in the case where when a bolus is formed by applying cutting processing to a plate material, the machine that performs the cutting processing can change the direction of the rotation axle thereof, the direction of the rotation axle is defined by the scanning angles α and β and the cutting depth is defined by a simple conversion value obtained by applying four arithmetic operations to the path length LB, so that processing data for the bolus can directly be created. In contrast, in the case where even when the shape of the bolus is defined by the thickness distribution, the shape does not change compared with the path-length distribution, it is not necessarily required to stick to the path-length distribution. In that case, when the shape of the bolus 6 is set, it may be allowed that the distribution of the path length LB in the bolus 6 from the reference point CPa is specified, and then the shape of the bolus 6 is set, for example, by converting the path-length distribution into the planar-direction thickness distribution, as (x, y, z) coordinates. By converting into the thickness distribution, even a conventional machining apparatus makes it possible to manufacture a bolus that realizes an accurate energy distribution in which the spread of a charged particle beam is taken into consideration. In addition, a specific example of coordinate transformation will be explained in the following embodiments. As described above, in practice, the charged particle beam B supplied from the accelerator is made to enter the bolus 6 after the width of the Bragg peak and the attainable depth are adjusted by the ridge filter 2 and the range shifter 3; therefore, in this case, “accelerated energy” may be read as “energy at a time when the charged particle beam B enters the bolus 6”. In other words, as represented in FIG. 5, there are provided a process of acquiring the length LK(α, β) of a body path, i.e., acquiring inner-body depth data (the step S10); a bolus shape setting process in which there is calculated path length data LB((α, β) that compensates the acquired inner-body depth data LK(α, β), i.e., that satisfies the equation (2)(the step S20), and in the case where coordinate transformation of the acquired data is required ([Y] at the step S30), the acquired data is converted into orthogonal data (the step S40) and as the thickness distribution, the shape of the bolus is set (the step S100), and in the case where the coordinate transformation is not required ([N] at the step S30), the shape of the bolus is set without changing the coordinates; a process of creating bolus machining data (the step S110), based on the set bolus shape; and a process of machining a bolus (the step S120), based on the created machining data. As a result, the foregoing bolus 6 can be obtained, whereby a Bragg peak can securely by caused at the desired irradiation position P. Similarly, with regard to the multileaf collimator 5, the driving orbit and the shapes and the arrangement of the leaves may be set, as described above, by considering the shape of the beam bundle FB having a series-of-scanners beam spread that is caused by enlarging an irradiation field by means of two scanning electromagnets 1a and 1b whose scanning directions are different from each other. As a method of enlarging an irradiation field, there has been explained a spiral wobbling method in which a scanning locus becomes a spiral; however, as explained in the following embodiments, another spiral wobbling method may be utilized, and the method may not be limited to a spiral wobbling method. Moreover, the electromagnet that functions as an irradiation nozzle is not limited to the wobbling electromagnet 1; it is only necessary that the irradiation nozzle is to enlarge an irradiation field by means of two electromagnets whose scanning directions are different from each other. As described above, the bolus 6 according to Embodiment 1 is provided in the particle beam therapy system 10 and is to modulate the energy distribution of the particle beam B, which is the charged particle beam B, in accordance with the to-be-irradiated portion IS. The particle beam therapy system 10 is configured in such a way that on the beam axis XB of the charged particle beam B that enters the bolus 6 at the upstream side thereof, a first reference point CPa and a second reference point CPb, which is at the downstream side of the first reference point CPa, are situated; the irradiation orbit TB of the particle beam B that penetrates the bolus 6 and reaches the to-be-irradiated portion IS is defined by a first slant α from the beam axis XB with respect to a first axis Asa that starts from the first reference point CPa, that is perpendicular to the beam axis XB, and that includes the first reference point CPa and by a second slant β from the beam axis XB with respect to a second axis Asb that is perpendicular to the beam axis XB and the first axis Asa and includes the second reference point CPb; and the shape of the bolus 6 is set in such a way that the path length LB, of the particle beam B, within the bolus 6 in each of the irradiation orbits TB defined for combinations within a predetermined range and each have a numerical-value range, a resolution, and the like required to cover the to-be-irradiated portion IS, among combinations of the first slant α and the second slant β, compensates the path length LK from the body surface fK situated at the upstream side of the to-be-irradiated portion IS to the to-be-irradiated portion IS. As a result, even though the spreading manners differ from one another in the planar direction, there can be formed an irradiation field that is accurately suited to the depth-direction shape of the to-be-irradiated portion IS, which is an irradiation subject, in accordance with the spreading manner. In particular, the shape of the bolus 6 is set in such a way that the relationship represented in “LB(α, β)+LK(α, β)=R” is satisfied: where α denotes the first slant, β denotes the second slant, LB(α, β) denotes the path length, of a particle beam B, within the bolus 6 in an irradiation orbit TB defined by a combination of the first slant α and the second slant β, LK(α, β) denotes the path length from the body surface fk to the to-be-irradiated portion IS in the irradiation orbit TB defined by the combination of the first slant α and the second slant β, and R denotes the attainable depth corresponding to the energy of a particle beam B that enters the bolus 6, respectively; therefore, the definition of the actual irradiation orbit TB and the bolus shape can be performed in the same coordinates system, and there can be formed a bolus that accurately compensates the depth distribution in the to-be-irradiated portion IS. Moreover, the bolus manufacturing method according to Embodiment 1 of the present invention is configured in such a way as to include a process (S10) of acquiring inner-body depth data, which is the path length from the body surface fK to the to-be-irradiated portion IS, for each of the combinations of the first slant α and the second slant β; a process (S20 to S100) of setting the shape of a bolus in such a way that the path length is obtained by compensating the acquired inner-body depth data; a process (S110) of creating bolus machining data, based on the set bolus shape; and a process (S120) of machining a bolus, based on the created machining data. As a result, there can be obtained a bolus that can securely cause a Bragg peak at the desired irradiation position P. Furthermore, the particle beam therapy system 10 according to Embodiment 1 of the present invention is configured in such a way as to be provided with the irradiation nozzle 1 that scans the particle beam B with two electromagnets 1a and 1b that range in the traveling direction of the particle beam B supplied from an accelerator and whose scanning directions are different from each other, and that irradiates the particle beam B in such a way as to enlarge the irradiation field and with the bolus 6 disposed in the particle beam B irradiated from the irradiation nozzle 1, and configured in such a way that the bolus 6 is disposed in such a way that the first axis for setting the shape of the bolus 6 coincides with the scanning axis Asa of the upstream electromagnet 1a out of two electromagnets and the second axis coincides with the scanning axis Asb of the other electromagnet 1b; therefore, even though due to the series-of-scanners spread, the spreading manners differ from one another in the planar direction, there can be formed an irradiation field that is accurately suited to the depth-direction shape of the to-be-irradiated portion IS, which is an irradiation subject, in accurate accordance with the spreading manner. Embodiment 2 In Embodiment 1, there has been described the application of a bolus according to the present invention to the spiral wobbling method in which a beam is scanned in a spiral manner. However, the technical idea of the present invention is not limited to the foregoing scanning orbit shape (scanning locus) in the irradiation field of a beam; the effect of the present invention is demonstrated even in the case of other beam scanning loci, as long as the spreading manner is a series-of-scanners manner. Thus, in Embodiment 2, there will be described a case where a bolus according to the present invention is applied to an irradiation system having another typical beam scanning locus. At first, there will be explained a beam scanning locus produced through the spiral wobbling method utilized in Embodiment 1. As disclosed in Patent Document 1, the spiral scanning locus is given by the equation (3) including the following three equalities. r ⁡ ( t ) = R ma ⁢ ⁢ x - R m ⁢ ⁢ i ⁢ ⁢ n π ⁢ ⁢ N ⁢ v 0 ⁢ t + R m ⁢ ⁢ i ⁢ ⁢ n 2 ⁢ ⁢ ω ⁡ ( t ) = v 0 R ma ⁢ ⁢ x - R m ⁢ ⁢ i ⁢ ⁢ n π ⁢ ⁢ N ⁢ v 0 ⁢ t + R m ⁢ ⁢ i ⁢ ⁢ n 2 ⁢ ∴ θ ⁡ ( t ) = θ ⁡ ( 0 ) + ∫ 0 t ⁢ ω ⁡ ( τ ) ⁢ ⅆ τ ( 3 ) where Rmin is the radius at a time when the time t=0, Rmax is the radius at a time when the time t=T, and N is the scanning rotation speed. In addition, r(t) is the radial-direction coordinates, and θ(t) is the angle-direction coordinates; r(t) and θ(t) are represented through a polar coordinate system. The shape of the beam scanning locus given by the equation (3) is spiral; the shape is effective in obtaining a uniform dose distribution by scanning a beam within a circular region. However, it is not required that in order to obtain a uniform dose distribution, the beam scanning locus is limited to a spiral locus. It is conceivable that the beam scanning loci for obtaining a uniform dose distribution through scanning by two electromagnets can be categorized into a number of typical patterns. The Wobbling method is to form a uniform dose distribution by continuously scanning a beam. That is to say, it is desirable that the beam scanning locus in the Wobbling method is continuous and periodical. Thus, there has been studied a pattern in which a beam orbit is represented by a polar coordinate system and r(t) and θ(t) are continuously and periodically changed. <Typical Pattern-1> In the first pattern, r(t) and θ(t) are each defined as a function that changes continuously and periodically, as described below. r(t)=continuous and periodical function (period T1) θ(t)=continuous and periodical function (period T2) In this situation, the respective periods of r(t) and θ(t), which are different from each other, may be utilized. Attention should be drawn to the fact that as for the angle θ, 360° can be regarded as 0° as it rotates once. In other words, 360° continues to 0°. When represented in radian, 2π can be regarded as 0. As an example that realizes the foregoing pattern, there is advanced the spiral scanning locus represented by the equation (4) including the following three equalities.r(τ)=r1+r2 sin(ωrτ+φr)θ(τ)=ωθττ=τ(t)  (4)where τ(t) is the parameter of the equation (4) that is represented by utilizing a parameter, and is the function of the time. ωr is the angular velocity that determines r(t), and the period of r(t) is 2π/ωr. φr is the initial phase. ωθ is the angular velocity that determines θ(t), and the period of θ(t) is 2π/ωθ. FIG. 6 represents an example of beam scanning locus STI created according to the equation (4). FIG. 6 represents a scanning locus on a given plane that is perpendicular to the beam axis; the abscissa denotes “x” and the ordinate denotes “y”; x and y are each normalized. The reason why in the equation (4), the parameter is not the time, is that it is required to make the drawing speed changeable depending on the place. For example, in FIG. 6, beam scanning concentrates in the vicinity of the center of the beam axis represented as the coordinates (0, 0); thus, in a portion in the vicinity of the center portion where the locus concentrates, contrivance such as raising the scanning speed is made so that a uniform dose distribution is obtained. <Typical Pattern-2> In the second pattern, two or more functions for defining a drawing pattern are combined so that a beam scanning locus is formed. For example, a function for drawing a large circle is combined with a function for drawing a small circle. An example is represented by the equation (5) including the following three equalities.x(τ)+r1 cos(ω1τ+φ1)+r2 cos(ω2τ+φ2)y(τ)=r1 sin(ω1τ+φ1)+r2 sin(ω2τ+φ2)τ=τ(t)  (5)where x(τ) and y(τ) are the x coordinate and the y coordinate, respectively, of a beam scanning locus; they are represented by use of an orthogonal coordinates system. FIG. 7 represents an example of beam scanning locus created according to the equation (5). As is the case with FIG. 6, FIG. 7 represents a scanning locus on a given plane that is perpendicular to the beam axis; the abscissa denotes “x” and the ordinate denotes “y”; x and y are each normalized. Among toys, there exists a tool in which a gear-shaped disk is disposed in a circular hole inside of which teeth are formed; a geometrical pattern is drawn by inserting a pen tip into a small hole provided at a predetermined position in the disk and rolling the disk along the circular hole. A geometrical pattern created with the tool also belongs to this category. A curve drawn with this tool is referred to as a hypotrochoid; geometrically, the curve is defined as a locus drawn by a fixed point that is lr away from the center of a circle of a radius r when the circle of a radius r rolls without sliding along the inner circumference of a circle of a radius kr. In many mixing devices, the curve is adopted as the driving pattern for a mixing unit. The reason why the parameter is not the time t is that it is required to make the drawing speed changeable depending on the place, as is the case with the above example. As described above, in the method in which through a wobbling electromagnet, a continuous and periodical pattern (line drawing) is drawn, the pattern is not necessarily a spiral. However, the idea in which by utilizing no scatterer but by contriving a beam orbit, large-area uniform irradiation is realized originates in the “spiral wobbling method”; therefore, in some cases, each of these methods described in Embodiment 2 is also referred to as a broad-sense spiral wobbling method. In addition, also in these broad-sense spiral wobbling methods, a beam spreads not in a point-light-source manner but in a series-of-scanners manner. In other words, also in the particle beam therapy system having an irradiation system utilizing the broad-sense spiral wobbling method according to Embodiment 2, by utilizing the bolus 6 described in Embodiment 1, the energy attenuation distribution of a particle beam that penetrates the bolus 6 can be set in such a way that the depth distribution of an irradiation subject corresponding to the spread of the beam bundle FB of the charged particle beam B is compensated. Accordingly, it is made possible that based on the geometrical arrangement of the electromagnets, there is accurately formed an irradiation field that is suitable for the depth-direction shape of an irradiation subject. As a result, a difference, in the compensation accuracy, that is caused when a couple of electromagnets are utilized is eliminated, whereby a high-accuracy irradiation field can be formed. Embodiment 3 In each of Embodiments 1 and 2, there has been described a case where a bolus is applied to irradiation through the Wobbling method. However, as described above, the irradiation method itself is not essential and does not confine the technical idea of the present invention. With regard to a particle beam therapy system, there has been proposed a spot-scanning method in which a charged particle beam is scanned by means of a couple of scanning electromagnets, and a spot is irradiated onto an irradiation subject in a pointillism manner. Also in the spot-scanning method, a beam spreads in a series-of-scanners manner. Therefore, in the case where a bolus is utilized in spot scanning, there is demonstrated an effect that the foregoing high-accuracy irradiation field can be formed. Embodiment 4 In Embodiment 3, there has been described the application of a bolus according to the present invention to the spot-scanning method. There exists a raster-scanning method in which a charged particle beam is scanned by means of a couple of scanning electromagnets, as is the case with a spot-scanning method, and raster irradiation is performed onto an irradiation subject in a one-stroke writing manner. Also in the raster-scanning method, a beam spreads in a series-of-scanners manner. Therefore, in the case where a bolus is utilized in the raster-scanning method, the bolus according to the foregoing embodiment demonstrates an effect. In other words, also in the case where an irradiation field is enlarged through a scanning method such as a spot-scanning method or a raster-scanning method, when the bolus 6 according to the embodiment of the present invention is utilized, there is demonstrated an effect that the foregoing high-accuracy irradiation field can be formed. Embodiment 5 There has been proposed a particle beam therapy system in which, for example, as disclosed in Patent Document 2, one of two scanning electromagnets is omitted, by contriving control method for a deflection electromagnet. However, even in the case of such an irradiation system, a deflection electromagnet for changing the orbit direction (that is not the irradiation orbit TB explained in each of Embodiments 1 through 4 but the direction of the beam axis itself) replaces the omitted scanning electromagnet that scans a charged particle beam; therefore, the beam bundle has a series-of-scanners spread, whereby a bolus according to each of the foregoing embodiments demonstrates an effect in forming a high-accuracy irradiation field. FIG. 8 is a view illustrating an irradiation system, in a particle beam therapy system, including a bolus 206 according to Embodiment 5. In FIG. 8, the beam axis of a charged particle beam B supplied in the horizontal direction (the x direction) is deflected to the vertical direction by a deflection electromagnet 201a and passes through a scanning electromagnet 201b; then, as is the case in Embodiment 1, the charged particle beam B is irradiated onto an irradiation subject, by way of a ridge filter 2, a range shifter 3, a ring collimator 4, a multileaf collimator 5, and the bolus 206. The configuration of a particle beam therapy system 210 according to Embodiment 5 is the same as that of a particle beam therapy system according to Embodiment 1, excluding the fact that instead of the scanning electromagnet 1a in the particle beam therapy system 10 according to Embodiment 1, the deflection electromagnet 201a is provided and that the setting reference of the shape (path-length distribution) of the bolus 206 is different. In FIG. 8, inside the deflection electromagnet 201a, the charged particle beam B supplied in the horizontal direction is deflected in the z direction, while the beam axis PX draws an arc. In this situation, in the case of a normal deflection electromagnet, because control is performed in such a way that the magnetic field becomes constant, the beam bundle of the charged particle beam does not spread; however, by periodically changing the magnetic field, the deflection electromagnet 21 scans the charged particle beam B in the x direction so that the beam bundle can spread in the x direction from PE1 to PE2. In other words, the deflection electromagnet 201a plays the role of the upstream scanning electromagnet 1a of Embodiment 1. The portion thereafter is basically the same as the embodiment; the scanning electromagnet 201b further spreads the beam bundle, which has been spread in the x direction, in the y direction. This beam spreading manner can be regarded as a spreading manner at a time when the scanning axis of the upstream scanning electromagnet 201a exists at an equivalent reference point EAS in FIG. 8 and a beam, irradiated from the upper side along the beam axis Ex, is scanned in the x direction (including the z-direction component) and spreads in the x direction from EE1 to EE2. Because inside the deflection electromagnet 201a, the beam axis is gradually deflected as the beam advances, the beam axes (=beam axis EXB) at the entrance side and at the exit side are different from each other; thus, a scanning axis EAsa exists off the deflection electromagnet 201a. However, because the axis of a beam that enters the bolus 206 is the beam axis EXB, the reference point CPa that specifies the position of the scanning axis EAsa can be regarded as existing on the beam axis of the beam that enters the bolus 206, as a manner of thinking; therefore, the scanning axis EAsa can be also regarded as being perpendicular to the beam axis EXB of the beam that enters the bolus 206. Accordingly, also in an irradiation system in which one of the electromagnets that perform scanning also plays the role of a deflection electromagnet, it may be allowed that the equivalent scanning axis EAsa is calculated based on the manner of beam spreading with respect to the beam axis EXB of the beam that enters a bolus, and as is the case in Embodiment 1, the path-length distribution in the bolus is set based on the equivalent scanning axis EAsa and the scanning axis Asb (the reference point CPb). As can be seen from FIG. 8, in the case of an irradiation system in which one of the scanning electromagnets is omitted and instead of the omitted scanning electromagnet, the deflection electromagnet 201a that bends the orbit is utilized, the distance between the reference point (equivalent) CPa that specifies the equivalent scanning axis EAsa and the reference point CPb is wider than an ordinary irradiation system in which scanning is performed by an electromagnet dedicated to scanning (e.g., 1a and 1b in Embodiment 1). Accordingly, in the case of a bolus, a beam in which is assumed to spread in a point-light-source manner, there is more conspicuously posed a problem that the actual path differs from the calculated path. However, with regard to the shape of the bolus 206 according to Embodiment 5 of the present invention, the path length is set, taking the actual spread of a beam into consideration; therefore, a depth-direction irradiation field can accurately be formed. As described above, the particle beam therapy system 210 according to Embodiment 5 is configured in such a way that one of the x-direction scanning and the y-direction scanning is performed by the deflection electromagnet 201a that deflects the direction of a beam axis, and by regarding that the beam axis for setting the reference points CPa and CPb passes through a point on the beam axis EXB of the charged particle beam B that enters the bolus 206, the shape and the position of the bolus 206 are set in such a way that the beam axis coincides with a first axis or a second axis; therefore, there is demonstrated an effect that a high-accurate irradiation field can be formed. Embodiment 6 In each of Embodiments 1 through 5, there have been explained the configurations of a bolus and an irradiation system utilizing the bolus and the beam orbit in the irradiation system. In Embodiment 6, there will be explained a treatment planning apparatus in which with regard to a bolus and a particle beam therapy system according to each of the foregoing embodiments of the present invention, the operation condition and bolus manufacturing data are set. Here, before explaining a treatment planning apparatus, there will be explained medical practice on which a treatment plan to be implemented by the treatment planning apparatus is based. In general, it is conceivable that medical practice is configured with a number of stages. FIG. 9 represents the stages (flow) of medical practice by a flowchart and describes one or more apparatuses utilized in each stage. With reference to FIG. 9, the flow of a medical practice will be explained. Specifically, medical practice may be roughly configured with a preventive diagnosis stage (MS1), a diagnosis stage (MS2), a treatment planning stage (MS3), a treatment stage (MS4), and a rehabilitation/follow-up stage (MS5). In particular, with regard to a particle beam therapy system, the respective apparatuses utilized in the foregoing stages are those described in the right column of FIG. 9. For example, the apparatuses utilized in the diagnosis stage (MS2) are an X-ray image-capturing device, a CT (Computed Tomography), an MRI (Magnetic Resonance Imaging); the apparatus utilized in the treatment planning stage (MS3) is the one that is called a treatment planning apparatus. In addition, the apparatuses utilized in the treatment stage (MS4) are a radiation therapy system and a particle beam therapy system. Next, each of the stages will be explained. The preventive diagnosis stage (MS1) denotes a stage where a diagnosis is implemented preventively, regardless of whether or not there has been shown the onset of a disease. For example, a regular health check and a complete physical examination fall into this stage; with regard to a cancer, a method utilizing fluoroscopic imaging such as radiology, a method utilizing tomography such as PET (Positron Emission Tomography) or PET/CT, and a method utilizing a genetic test (immunological test) are known. The diagnosis stage (MS2) denotes a stage where a diagnosis to be followed by a treatment is implemented after the onset of a disease. In the case of particle beam therapy, in order to implement a treatment, three-dimensional information on the position and the shape of a diseased site is required. Accordingly, there are utilized various kinds of CT and MRI that are capable of obtaining three-dimensional data on a diseased site. The treatment planning stage (MS3) denotes a stage where a treatment plan is created based on the result of the diagnosis. In the case of particle beam therapy, a treatment plan is created, in this stage, by a treatment planning apparatus according to Embodiment 6. The treatment planning apparatus will be explained in detail later; here, the residual stage will be explained. The treatment stage (MS4) denotes a stage where an actual treatment is performed based on the result of the treatment plan. In the case of particle beam therapy, a particle beam therapy system is utilized in this stage. A bolus according to each of the foregoing embodiments is utilized for forming an irradiation field in the irradiation system of a particle beam therapy system. In addition, in some cases, the treatment stage is completed with a single irradiation; however, usually, there are implemented a plurality of irradiations, each irradiation of which is performed every certain period. The rehabilitation/follow-up stage (MS5) literally denotes a stage where rehabilitation is performed or there is performed a follow-up to check whether or not a disease has recurred. In the case of a cancer, in a follow-up of this stage, as is the case in the preventive diagnosis stage, a method utilizing fluoroscopic imaging such as radiology, a method utilizing tomography such as PET or PET/CT, or a method utilizing a genetic test (immunological test) is adopted. As described above, in medical practice, the treatment planning is a series of works performed after the diagnosis stage and before the treatment stage. In a particle beam therapy system, a charged particle beam is irradiated based on a treatment plan obtained through a treatment planning apparatus; therefore, a treatment planning apparatus in particle beam therapy is provided with units that approximately play the following roles. Role A: a unit for creating three-dimensional data, based on a plurality of image information items for an irradiation subject, which are preliminarily obtained. Role B: a unit for creating an optimum irradiation condition (treatment planning draft) under given requirements. Role C: a unit for simulating and displaying a final dose distribution for the optimum result (treatment planning draft). In other words, a treatment planning apparatus is provided with a role in which in response to the result of a diagnosis, irradiation condition required for treatment is set; furthermore, the treatment planning apparatus has a unit that plays a role of creating control data for the particle beam therapy system and the like, based on the set condition. In order to play the foregoing roles, specifically, the treatment planning apparatus is provided with the following functions. <Role A> Function a: a function for creating three-dimensional data based on a tomographic image obtained in the diagnosis stage. Function b: a function for displaying the created three-dimensional data as seen from various viewing points, as is the case with a three-dimensional CAD. Function c: a function for distinguishing a diseased site from normal tissues and storing them in the created three-dimensional data. <Role B> Function d: a function for setting parameters for a particle beam therapy system utilized in the treatment stage and for simulating irradiation. Function e: a function for optimizing irradiation under the requirements set by a user of the apparatus. <Role C> Function f: a function for displaying the optimized irradiation result in such a way as to be superimposed on the three-dimensional data. <Role D> Function g: a function for setting the shapes, of a multileaf collimator and a bolus, for realizing the optimized irradiation (including multi-port irradiation in the case where broad-beam irradiation is anticipated). Function h: a function for setting the beam irradiation orbit for realizing the optimized irradiation (in the case where scanning irradiation is anticipated). Function i: a function for creating a driving code, for a particle beam therapy system, for realizing the beam irradiation orbit. <Others> Function j: a function for storing various kinds data items created in the apparatus. Function k: a function capable of reading various kinds of data items stored in the past and reusing past information. There will be explained the system configuration of a treatment planning apparatus for realizing the foregoing functions. In recent years, almost no manufacturer of a treatment planning apparatus has designed and manufactured dedicated hardware; the hardware is configured based on a commercially available Unix (registered trademark) workstation or a PC, and as peripheral devices, universal devices are utilized in many cases. That is to say, manufacturers of treatment planning apparatuses primarily develop, manufacture, and sell treatment planning software. In the treatment planning software, for example, there is prepared a module for realizing the functions a through k, as a subprogram to be called by main program. By omitting, as may be necessary, the flow between the function a and the function k or re-implementing it by changing the requirements, the user of a treatment planning apparatus can create a treatment plan while calling necessary modules. Next, while advancing the explanation to the functions or the modules for realizing those functions, there will be explained a treatment planning apparatus according to Embodiment 6. Function a (module a) creates three-dimensional data based on a series of tomographic images obtained in the diagnosis stage. It is desirable that when a tomographic image is read, patient information such as a patient ID and scanning information (such as a slice distance, a slice thickness, FOV, and a tomographic condition) are also read in a corresponding manner. Here, the three-dimensional data denotes information required for virtually and three-dimensionally reproducing an imaging subject including a diseased site in a treatment planning apparatus. In general, there is utilized a method in which a virtual space is defined in a treatment planning apparatus, points are arranged within the virtual space in such a way as to be spaced evenly apart from one another and in a lattice-like manner, and the respective material information items, which are obtained from a tomographic image, are positioned at the corresponding points. The reason why Function a is required is that one of the biggest objects of a treatment planning apparatus is to simulate treatment, and for that purpose, it is necessary to reproduce a diseased site, which is an irradiation subject, and the peripheral tissues thereof. Function b (module b) displays the created three-dimensional data as seen from various viewing points, as is the case with a three-dimensional CAD. Function c (module c) distinguishes a diseased site from normal tissues and stores them in the created three-dimensional data. For example, it is assumed that a tomographic image is obtained through X-ray CT. In this case, the “material information” utilized in Function a corresponds to the radiolucency of an X-ray. That is to say, the three-dimensional model reproduced in the virtual space from this tomographic image represents the shape of a three-dimensional body formed of materials whose radiolucencies are different from one another. In the virtual space of a treatment planning apparatus, the “material information”, i.e., the X-ray radiolucency is rendered by changing the color and the brightness. Furthermore, this “material information” makes it possible to understand that this part of the three-dimensional model reproduced in the virtual space corresponds to a bone or that part corresponds to a tumor, and a diseased site is distinguished from normal tissues. The result of the distinction between a diseased site and normal tissues can be stored in a storage device (such as a hard disk) of the treatment planning apparatus. Function d (module d) sets parameters for a particle beam therapy system utilized in the treatment stage and simulates irradiation. The parameters for a particle beam therapy system denote geometric information on the particle beam therapy system and information on an irradiation field. The geometric information includes the position of the isocenter, the position of the bed, and the like. The information on an irradiation field includes the foregoing “coordinates of the reference point CPa and the coordinates of the reference point CPb” and the like. Additionally, the information on an irradiation field also includes the respective positions and the respective orientations of the bolus 6 or 206 (only 6 is described as a representative, hereinafter) from the reference point CPa and the reference point CPb. Function e (module e) optimizes irradiation under the requirements set by a user of the treatment planning apparatus. Function f (module f) displays the optimized irradiation result in such a way as to be superimposed on the three-dimensional data. Function g (module g) sets the shapes, of the multileaf collimator 5 and the bolus 6, for realizing the optimized irradiation. This function is a one when broad-beam irradiation is anticipated, and includes a case of multi-port irradiation. Function h (module h) sets the beam irradiation orbit for realizing the optimized irradiation. This function is a one when scanning such as spot scanning or raster scanning is anticipated. Function I (module i) creates a driving code, for a particle beam therapy system, for realizing the beam irradiation orbit. In this situation, when as described later, a coordinate system conforming to a series-of-scanners spread is adopted and as described in Embodiment 1, the multileaf collimator 5 conforming to series-of-scanners is utilized, there can readily be created a driving code for realizing an opening shape (penetration shape SP) corresponding to the obtained optimum irradiation plan. Function j (module j) stores various kinds of data items set and created in the apparatus. Function k (module k) can read various kinds of data items stored in the past and reuse past information. <Coordinate System Conforming Series-of-Scanners Spread> In a conventional treatment planning apparatus, the three-dimensional data utilized in Function a and functions following to Function a are represented by an orthogonal coordinate system (xyz coordinate system). In the case of a multileaf collimator whose total shape is a conventional rectangular parallelepiped and a bolus whose shape is specified by the thickness distribution within a plane that is perpendicular to a beam axis, the arrangement direction thereof, the leaf driving direction, and bolus machining data (for example, NC data) are also represented by orthogonal-coordinate directions (for example, the x direction and the y direction); therefore, it is convenient to represent the three-dimensional data by an orthogonal coordinate system. That is because leaf driving data and shape data for creating the shape of the opening portion in such a way as to coincide with the shape of a diseased site coincide with each other or because bolus shape data and bolus machining data coincide with each other. In contrast, in the case where the bolus 6 according to each of Embodiments of the present invention is manufactured in such a way that the depth distribution is faithfully compensated for the spread of a beam, the three-dimensional data cannot accurately be represented, in some cases, by the depth (machining depth) distribution in the direction of a plane that is perpendicular to the beam axis XB, as described above. Accordingly, it is desirable to give the data for manufacturing a bolus, as an angle with respect to a reference point. That is to say, it is required to represent the shape data for manufacturing a bolus by machining a plate material, as a length corresponding to an angle with respect to a reference point, as explained in the equation (2). Thus, the treatment planning apparatus according to Embodiment 6 of the present invention is configured in such a way that the three-dimensional data for a diseased site is represented by a special coordinate system. Specifically, it is a special coordinate system represented by the following definition.[φa,φb,rb]  (D1)where φa is a beam deflection angle (corresponding to α in the equation (2)) with respect to the reference axis (Asa) that is perpendicular to the beam axis XB and passes through the reference point CPa; φb is a beam deflection angle (corresponding to β in the equation (2)) with respect to the reference axis (Asb) that is perpendicular to the beam axis XB and the reference axis Asa and that passes through the reference point CPb; rb is a distance between the reference point CPa (or a point on the reference axis Asb) and the irradiation point P. An arbitrary point in the three-dimensional space can uniquely be represented by the foregoing three information items. In this regard, however, it is required to preliminarily determine the reference points CPa and CPb in accordance with the arrangement of the scanning electromagnet 1a and 1b. Here, it is assumed that the isocenter, which is an irradiation reference, is utilized as the origin of the xyz coordinate system, and the xyz coordinates of the reference point CPa and the xyz coordinates of the reference point CPb are given as follows. reference point CPa: (0, 0, −1a) reference point CPb: (0, 0, −1b) Then, it is assumed that as illustrated in FIGS. 1 through 3, the upstream scanning electromagnets 1a and the downstream scanning electromagnet 1b are the x-direction scanning electromagnet and the y-direction scanning electromagnet, respectively. In this situation, when the coordinates of a certain point is given by [φa, φb, rb] represented by use of the special coordinate system described in the definition (D1), the x coordinate, the y coordinate, and the z coordinate of this certain point are given by the following equation (6). [ x y z ] = Rot x ⁡ ( φ b ) ⁢ { Rot y ⁡ ( φ a ) ⁡ [ 0 0 l a - l b + r b ] - [ 0 0 l a - l b ] } - [ 0 0 l b ] ( 6 ) Here, when Rotx(φb), and Roty(φa) in the equation (6) are defined as in (D2), the xyz coordinates of this certain point is obtained as in the equation (7). Rot x ⁡ ( φ b ) = [ 1 0 0 0 cos ⁢ ⁢ φ b - sin ⁢ ⁢ φ b 0 sin ⁢ ⁢ φ b cos ⁢ ⁢ φ b ] , Rot y ⁡ ( φ a ) = [ cos ⁢ ⁢ φ a 0 sin ⁢ ⁢ φ a 0 1 0 - sin ⁢ ⁢ φ a 0 cos ⁢ ⁢ φ a ] ( D2 ) [ x y z ⁢ ] = ⁢ Rot x ⁡ ( φ b ) ⁢ { [ ( l a - l b + r b ) ⁢ sin ⁡ ( φ a ) 0 ( l a + l b + r b ) ⁢ cos ⁡ ( φ a ) ] - [ 0 0 l a - l b ⁢ ] } - [ 0 0 l b ] = ⁢ [ ( l a - l b + r b ) ⁢ sin ⁡ ( φ a ) - sin ⁡ ( φ b ) ⁢ { ( l a - l b + r b ⁢ ) ⁢ cos ⁡ ( φ a ) - ( l a - l b ) } cos ⁡ ( φ b ) ⁢ { ( l a - l b + r b ) ⁢ cos ⁡ ( φ a ) - ( l a - l b ) } ] - [ 0 0 l b ] = ⁢ [ ( l a - l b + r b ) ⁢ sin ⁡ ( φ a ) - sin ⁡ ( φ b ) ⁢ { ( l a - l ⁢ b + r b ) ⁢ cos ⁡ ( φ a ) - ( l a - l b ) } cos ⁡ ( φ b ) ⁢ { ( l a - l b + r b ) ⁢ cos ⁡ ( φ a ) - ( l a - l b ) } - l b ⁢ ] ( 7 ) On the contrary, the method of obtaining the special coordinate system from the xyz coordinate system is described below. Because 1b is a given value that is inherent to an irradiation system, φb can be obtained, as in the equation (8), from the relationship between y and z in the equation (7). - y z + l b ⁢ = sin ⁢ ⁢ φ b cos ⁢ ⁢ φ ⁢ b = tan ⁢ ⁢ φ b ⁢ ∴ φ b = arctan ⁡ ( - y z + l b ) ( 8 ) Because being also a given value that is inherent to an irradiation system, 1a can be defined, as in the definition (D3), from the relationship between y and z in the equation (7); thus, from the relationship with z in the equation (7) and the definition (D3), φa can be obtained from the equation (9). Λ := ⁢ y 2 + ( z + l b ) 2 + ( l a - l b ) = ⁢ ( l a - l b + r b ⁢ ) ⁢ cos ⁢ ⁢ φ a ( D ⁢ ⁢ 3 ) x Λ = sin ⁢ ⁢ φ a cos ⁢ ⁢ φ a = tan ⁢ ⁢ φ a ⁢ ∴ φ a = arctan ⁡ ( x Λ ) ( 9 ) Lastly, rb can be obtained from the equation (10).x2+Λ2=(la−lb+rb)2 ∴rb=√{square root over (x2+Λ2)}−(la−lb)  (10) There is provided a coordinate transformation function in which the coordinate system [φa, φb, rb] conforming to the foregoing series-of-scanners beam spread is utilized already from the stage of Function a, i.e., as Function a or as an auxiliary function for implementing Function a, there is performed transformation to a special coordinate system, under the assumption of series-of-scanners. For example, FIG. 10 illustrates, with a block diagram, the characteristic parts in the roles (units) and the functions (modules) of a treatment planning apparatus according to Embodiment 6 of the present invention. In FIG. 10, a treatment planning apparatus 20 is provided with a three-dimensional data creation unit 21 for creating three-dimensional data from image data on a diseased site, which is an irradiation subject; an irradiation condition setting unit 22 for setting an irradiation condition, based on the created three-dimensional data; and a bolus data creation unit 23 for creating bolus shape data and machining data for manufacturing, based on the set irradiation condition. As described above, these units and modules are formed in a computer by software; thus, these parts are not physically formed. The three-dimensional data creation unit 21 is provided with a three-dimensional data creation module 21M1 for, as Function a, creating three-dimensional data on a diseased site, a body shape, and the like; a coordinate transformation module 21M2 for transforming the created three-dimensional data into data in the coordinate system [φa, φb, rb] represented through the definition (D1) under the assumption of series-of-scanners; a display data creation module 21M3 for, as Function b, creating display data, based on the transformed data; and an irradiation subject separation module 21M4 for distinguishing a diseased site, which is an irradiation subject, from normal tissues, based on the transformed data. As Role A, the three-dimensional data creation unit 21 creates, from image information, three-dimensional data in the coordinate system represented through the definition (D1). As Functions d and e of Role B, the irradiation condition setting unit 22 sets an optimum irradiation condition, based on three-dimensional data in the coordinate system represented through the definition (D1). In order to realize Function g of Role D, the bolus data creation unit 23 is provided with at least a shape setting module 23M1 that sets, based on the set irradiation condition, the shape of a bolus (path-length distribution data) in such a way that the sum of the depth LK of the diseased site IS and the path length LB through the bolus 6 satisfies the equation (2); and a machining data creation module 23M2 that creates bolus machining data, based on the set shape. Accordingly, in the three-dimensional data creation unit and the irradiation condition setting unit 22, the three-dimensional data in the coordinate system, represented through the definition (D1), for determining the irradiation position is specified by utilizing at least a beam deflection angle α(φa) with respect to the reference axis (Asa) that is perpendicular to the beam axis XB and passes through the reference point CPa, a beam deflection angle β(φb) with respect to the reference axis (Asb) that is perpendicular to the beam axis XB and the reference axis Asa and passes through the reference point CPb, and the distance rb. Thus, the path length LK, in the equation (2), between the body surface fK and the desired irradiation position P is obtained as the difference between the value rb of the body surface fk and the value rb of the desired irradiation position P with respect to the same values of α and β. Similarly, the penetration path length LB of a bolus is obtained as the difference between the value rb of the incident surface of the bolus and the value rb of the emitting side of the bolus with respect to the same values of α and β. In the case where there is utilized a machining apparatus whose axis angle can be changed, machining data is obtained by setting the cutting depth in accordance with the distribution of the bolus path length LB with respect to α and β. In other words, in the case of the treatment planning apparatus 20 according to Embodiment 6 of the present invention, by directly utilizing the three-dimensional data inputted and outputted in the treatment planning apparatus 20, there can be created machining data for manufacturing a bolus for a particle beam therapy system utilizing the bolus 6 or 206 that accurately compensates the depth distribution for an irradiation system in which a particle beam spreads in a series-of-scanners manner. In the case where it is required to describe the machining data with the depth distribution, the machining data is transformed into the x, y, z coordinates and based on the x, y, z coordinates, there are set cutting depths, in the machining data, in accordance with the depths t (in the z direction) corresponding to x and y. In the case where the foregoing coordinate system is utilized, also with regard to a driving code related to the multileaf collimator 5, the opening shape (penetration shape SP) itself conforming to the optimum irradiation plan obtained by the irradiation condition setting unit 22 becomes a driving code for realizing the shape. Accordingly, in an irradiation system in which a beam spreads in a series-of-scanners manner, a driving code for optimally controlling the multileaf collimator 5 can readily be created, as well. As described above, the treatment planning apparatus 20 according to Embodiment 6 is provided with the three-dimensional data creation unit 21 for creating three-dimensional data from image data of a body including the to-be-irradiated portion IS; the irradiation condition setting unit 22 for setting an irradiation condition, based on the created three-dimensional data; and the bolus data creation unit 23 for creating the shape data on the bolus 6 in a particle beam therapy system according to each of foregoing embodiments, based on the set irradiation condition. The three-dimensional data creation unit 21 is configured in such a way as to create the three-dimensional data by utilizing at least the first slant α obtained by means of scanning of the upstream electromagnet 1a and the second slant β obtained by means of scanning of the other electromagnet 1b. In other words, three-dimensional data creation unit 21 is configured in such a way as to create the three-dimensional data by utilizing the beam deflection angle φa with respect to the reference axis Asa that is perpendicular to the beam axis XB and passes through the reference point CPa, and the beam deflection angle φb with respect to the reference axis Asb that is perpendicular to the beam axis XB and the reference axis Asa and passes through the reference point CPb. Therefore, there can be created the shape data and the machining data on a bolus for accurately compensating the depth of a diseased site, which is an irradiation subject, by directly utilizing three-dimensional data inputted and outputted in the treatment planning apparatus 20. That is to say, in the bolus data creation unit 23, the foregoing control data can be specified by two deflection angles φa and φb and the distance rb; therefore, in an irradiation system in which a particle beam spreads in a series-of-scanners manner, there can be performed irradiation with a charged particle beam B formed into an accurate irradiation field only by the simple difference of the distance rb for the combination of the values φa and φb. 1: irradiation nozzle (1a: x-direction (upstream) scanning electromagnet, 1b: y-direction (downstream) scanning electromagnet) 2: ridge filter 3: range shifter 4: ring collimator 5: multileaf collimator 6: bolus 10: particle beam therapy system 20: treatment planning apparatus 21: three-dimensional data creation unit 22: irradiation condition setting unit 23: bolus data creation unit Asa: scanning axis (1st axis) of upstream scanning electromagnet (EAs: virtual axis) Asb, scanning axis (2nd axis) of downstream scanning electromagnet CPa: 1st reference point CPb: 2nd reference point FB: beam bundle (spread) of particle beam LB: inner-bolus path length LK: inner-body path length (inner-body depth) up to to-be-irradiated portion PS: penetration shape R: attainable depth ST: scanning locus of particle beam TB: irradiation orbit XB: beam axis of particle beam (Ex: beam axis of a beam entering bolus) α: 1st slant β: 2nd slant Three-digit numbers each denotes a variant example in Embodiment.
description
1. Field of the Invention The present invention relates to an electron beam writing apparatus that has a holding mechanism for holding a mask at its back surface and irradiates the surface of the mask held by the holding mechanism with an electron beam thereby to write a desired pattern, and an electron beam writing method therefor. 2. Background Art An upper surface holding mechanism for holding a mask upper surface has heretofore been adopted upon writing of each pattern on a mask by an electron beam writing apparatus. FIG. 7A shows a state in which a mask M is held by the upper surface holding mechanism. The upper surface holding mechanism is provided on an XY stage 104 movable in the direction orthogonal to the direction of an optical axis of an electron beam (not shown) emitted from an electronic optical lens barrel 101 equipped with an electronic optical system and comprises a clamp 102 having a top reference piece, for clamping the upper surface of the mask M and clamp pins 103 which are brought into contact with the back surface of the mask M coaxially with the top reference piece and urged upwardly by urging means provided outside the drawing, and has a structure in which the mask M is interposed between and held by the top reference piece of the clamp 102 and the clamp pins 103 (refer to, for example, a patent document 1). Since the upper surface holding mechanism minimizes the contact with the mask M, cleanliness of the mask M can be maintained. Further, the upper surface holding mechanism suppresses an influence of an error in the surface of the mask M, which occurs due to the correction of the shape of the back surface of the mask M and is excellent in reproducibility. Meanwhile, in order to meet a demand for miniaturization of circuit patterns of a recent semiconductor and the like, there is a need to enhance the resolution of an electron beam. To this end, an approximation of the electronic optical lens barrel 101 to the mask M is becoming very important. While the distance between the electronic optical lens barrel 101 and the mask M is now on the order of a few mm or so, the thickness of the top reference piece of the clamp 102 is also on the same order as that. Therefore, when the electronic optical lens barrel 101 is brought close to the mask M to meet the above demand as shown in FIG. 7B, it is becoming difficult to ensure space for the top reference piece of the clamp 102. In order to solve such a problem, a back surface holding mechanism 105 for holding a mask M at its back surface, such as an electrostatic chuck may be used as a mask holding mechanism as shown in FIG. 8A. When, however, the back surface holding mechanism 105 is used, the thickness of the mask M has a tolerance of the order of 100 μm. Therefore, unlike the case where the upper surface holding mechanism described in FIG. 7A and 7B are used, a vertical position displacement of the surface of the mask M becomes manifest (refer to FIG. 8B). When the vertical position displacement occurs, focus senility, a position displacement and the like occur upon actual writing even though a focal adjustment to the electron beam and a beam position adjustment are conducted by a mark table, thus causing a disadvantage that such influence is exerted on writing accuracy. If the heights of a mark table 106 and a mask M1 coincide with each other as shown in FIG. 9A by way of example, then writing can be conducted by an electron beam adjusted in advance by the mark table 106. However, when a vertical displacement g1 is taking place because a mask M2 is higher than a mark table 106 as shown in FIG. 9B and when a vertical displacement g2 is taking place because a mask M3 is lower than a mark table 106 as shown in FIG. 9C, there is a need to correct the influences of the vertical displacements. Here, an electronic optical lens barrel is equipped with a focal adjustment mechanism for varying a focal height of an electron beam in a predetermined adjustable range. It is considered that the correction of the influence of a vertical displacement is performed by the adjustment of the focal height by the focal adjustment mechanism (refer to, for example, a patent document 2). While there is a need to set an adjustable range for the focal height to the order of 100 μm corresponding to a mask's thickness tolerance in this case, it is difficult to ensure such a large adjustable range. [Patent Document 1] Japanese Patent Application Laid-Open No. Hei 10(1998)-55950 [Patent Document 2] U.S. Pat. No. 6,741,331 With the foregoing in view, the present invention aims to provide an electron beam writing apparatus and method capable of correcting an influence of a vertical displacement of a mask without increasing an adjustable range of a focal height by a focal adjustment mechanism when the mask is held at its back surface. According to one aspect of the present invention, an electron beam writing apparatus which has a holding mechanism for holding a mask at a back surface thereof and applies an electron beam onto a surface of the mask held by the holding mechanism by electron beam applying means thereby to write a desired pattern, comprises an XY stage movable in a direction orthogonal to the direction of an optical axis of the electron beam, an electron-beam focal adjusting mark table fixed onto the XY stage, a Z stage mounted onto the XY stage in avoidance of an area to which the mark table is fixed, and movable in the optical axis direction, and measuring means for measuring a height of the mark table and a height of the mask placed on the holding mechanism. The electron beam applying means includes a focal adjustment mechanism for varying a focal height of the electron beam within a predetermined adjustable range and a middle value of the adjustable range coincides with the height of the mark table. The mask holding mechanism includes difference calculating means placed on the Z stage and for calculating a difference between the height of the mark table measured by the height measuring means and the height of the mask placed on the holding mechanism, and Z stage control means for moving the Z stage based on information about the calculated difference in such a manner that the height of the mask coincides with the height of the mark table. The height of the mask used in the calculation of the difference calculating means is a middle value between highest and lowest values of heights of a plurality of measurement points, which are obtained by measuring the plurality of measurement points on the mask by the height measuring means. According to another aspect of the present invention, in an electron beam writing method for applying an electron beam to a surface of a mask held by a holding mechanism for holding the mask at a back surface thereof by electron beam applying means to write a desired pattern, an electron beam focal adjusting mark table to is fixed an XY stage movable in a direction orthogonal to the direction of an optical axis of the electron beam. A Z stage movable in the optical axis direction is mounted on the XY stage in avoidance of an area to which the mark table is fixed. The holding mechanism is placed on the Z stage. The electron beam applying means is adjusted in such a manner that a middle value of a predetermined adjustable range of a focal height of the electron beam, the range being varied by a focal adjustment mechanism provided in the electron beam applying means, coincides with a height of the mark table. The height of the mark table is measured. Heights of a plurality of measurement points on the mask placed on the holding mechanism are measured. A middle value between highest and lowest values of the heights of the measurement points is set as a measured height of the mask. The measured height of mark table and the measured height of mask are compared to calculate a difference therebetween. The Z stage is movably controlled based on information about the calculated difference in such a manner that the height of the mask coincides with the height of the mark table. FIG. 1 shows an electron beam writing apparatus which applies an electron beam onto the surface of a mask M to write a desired pattern. The electron beam writing apparatus includes a wring chamber 1, an electronic optical lens barrel 2 corresponding to electron beam applying means provided upright at a top or ceiling portion of the writing chamber 1. The writing chamber 1 is provided with an XY stage 3 movable in X and Y directions orthogonal to the direction of an optical axis of the electron beam. As shown clearly in FIGS. 2 and 3, a mark table 4 is provided upright on the XY stage 3. The mark table 4 is used to adjust the focal point of the electron beam and the position thereof before writing. A Z stage 5 movable in the optical-axis direction of the electron beam, i.e., a Z direction is mounted on the XY stage 3 and to an area where the area at which the mark table 4 is provided upright is avoided. A holding mechanism 6 for holding the mask M at its back surface is provided on the Z stage 5. Incidentally, when the moving velocity of the XY stage 3 is fast, an inertia force that acts on the mask M increases upon acceleration/deceleration thereof, thereby making it easy for the mask M to cause its position displacement. If the holding mechanism 6 is configured by an electrostatic chuck for adsorbing the back surface of the mask M here, then the position displacement of the mask M can be prevented. The electronic optical lens barrel 2 is of a known one for forming an electron beam emitted from a built-in electron gun in a required sectional shape and thereafter deflecting the electron beam to apply it onto the mask M. It detailed description will be omitted. The electronic optical lens barrel 2 is controlled by an irradiation controller 7. Incidentally, the electronic optical lens barrel 2 is equipped with an objective lens 2a shown in FIG. 3A and changes the voltage applied to the objective lens 2a under the irradiation controller 7 thereby to allow a focal height of the electron beam to vary within a predetermined adjustable range. That is, the objective lens 2a and the irradiation controller 7 constitute a focal adjustment mechanism that causes the focal height of the electron beam to vary within the predetermined adjustable range. A focal position of the objective lens 2a can be adjusted by other constituent elements of an electronic optical system, e.g., another objective lens or the like provided on the upper side of the objective lens 2a. Other constituent elements of the electronic optical system, e.g., another objective lens or the like is adjusted in such a manner that a middle value Fm (=(Fh+F1)/2) between a high limit Fh of the adjustable range of the focal height of the electron beam and a low limit F1 thereof coincides with the height of the mark table 4. Incidentally, the installed height of the objective lens 2a can also be adjusted by an adjusting screw or the like. Upon this adjustment, the electron beam is scanned so as to cross a mark 4a engraved onto the upper surface of the mark table 4 in a state in which the focal height of the electron beam is maintained at the middle value Fm. Electrons reflected from the mark table 4 are detected by a reflected electron detector provided outside the drawing. When the focal height of the electron beam coincides with the height of the upper surface of the mark table 4, the detected number of reflected electrons rises steeply upon application of the electron beam onto the mark 4a. When, however, the focal height of the electron beam is shifted from the height of the upper surface of the mark table 4, a rise in the detected number of reflected electrons becomes slow. Then, the installed height of the objective lens 2a is adjusted in such a manner that the rise in the detected number of reflected electrons becomes steep, thereby causing the middle value Fm to coincide with the height of the upper surface of the mark table 4. The XY stage 3 is controlled movably in the X and Y directions by an XY stage controller 8. The Z stage 5 is controlled movably in the Z direction by a Z stage controller 9. The irradiation controller 7, the XY stage controller 8 and the Z stage controller 9 are centralizedly controlled by an overall controller 10. A first memory 111 and a second memory 112 are connected to the overall controller 10. Pattern data are stored in the first memory 111. The overall controller 10 creates writing data for defining the shape and position of each graphic form to be written based on the pattern data and causes the second memory 112 to store the same therein. The electron beam writing apparatus is equipped with stage position measuring means 12 for measuring the position in the X and Y directions of the XY stage 5 and height measuring means 13 for measuring the height of the mask M held by the holding mechanism 6. The stage position measuring means 12 comprises a laser length measuring unit or instrument for measuring the position of the XY stage 3 by incidence/reflection of laser light on and from a stage mirror 3a fixed to the XY stage 3. Incidentally, the stage mirror 3a is omitted in FIGS. 2 and 3. The height measuring means 13 comprises a light projector 13a for causing the laser light to converge on the surface of the mask M from an oblique direction and applying the same thereto, a light detector 13b for receiving the light reflected from the mask M and detecting the position of the reflected light, and a height calculator 13c for calculating the height of the mask M from the position of the reflected light. Height data of the mask M measured by the height measuring means 13 is inputted to the overall controller 10. Upon writing of each pattern onto the mask M, the overall controller 10 outputs an operation command to the XY stage controller 8 to move the XY stage 3. The irradiation controller 7 performs molding control and deflection control on the electron beam lying in the electronic optical lens barrel 2, based on the writing data inputted thereto from the overall controller 10 while confirming the position of the XY stage 3 measured by the stage position measuring means 12, thereby to apply the electron beam to a required position of the mask M. Further, the height measuring means 13 measures the height of an electron beam irradiation spot of the mask M in real time and adjusts the focal height of the electron beam in matching with the height of the mask M thereby to correct an influence produced due to a vertical displacement. On the other hand, when the back surface of the mask M is held by the holding mechanism 6, a vertical displacement corresponding to a thickness tolerance of the mask M occurs. In order to correct the influence produced due to the vertical displacement by the focal height adjustment, there is a need to set a focal height adjustable range to the order of 100 μm corresponding to the thickness tolerance of the mask M. It is however difficult to ensure such a large adjustable range. Thus, in the present embodiment, the movement control of the Z stage 5 is performed to allow the height of the mask M to coincide with the height of the mark table 4 subjected to the adjustment of the electron beam. FIGS. 3A and 3B show states prior and subsequent to a height adjustment by the Z stage 5. FIG. 3A shows a pre-adjustment state where the height of the mask M is lower than the height of the mark table 4. FIG. 3B illustrates a post-adjustment state where the Z stage 5 is moved in an upward direction to cause the height of the mask M to coincide with the height of the mark table 4. Incidentally, when the mask M is higher than the mark table 4, although not shown in the drawing, the Z stage 5 may be moved in a downward direction to adjust the height. A drive mechanism of the Z stage 5 is, for example, configured in such a manner that a pair of tables are provided with guide means such as bearings interposed therebetween such that opposite taper-shaped inclined surfaces become parallel, and the lower table is moved in the horizontal direction by a stepping motor or an ultrasonic motor thereby to move the upper table in the upward and downward directions. FIG. 4 shows a processing procedure of a height adjustment by the Z stage 5. The mark table 4 is first fixed to the XY stage 3 (S1). Next, the installed height of the objective lens 2a is adjusted as described above to cause the middle value Fm between the high limit Fh of the adjustable range of the focal height of the electron beam and the low limit F1 thereof to coincide with the height of the mark table 4 (S2). The height (h0) of the mark table 4 is measured by the height measuring means 13 (S3). The measured height (h0) of mark table 4 is stored in a memory or the like (S4). Then, the holding mechanism 6 is mounted onto the Z stage 5 (S5). The mask M is carried into the writing chamber 1 and placed on the holding mechanism 6 (S6). Next, the height (h1) of the placed mask M is measured by the height measuring means 13 (S7). Incidentally, since the mask M has a vertical height difference depending on locations, there is a possibility that variations in height will occur depending on measurement points. Thus, upon measurement of the height of the mask M, a plurality of measurement points lying on the mask M are measured by the height measuring means 13, and an intermediate value (=(highest value+lowest value)/2) between the highest and lowest values of the heights of the plural measured points is assumed to be the height (h1) of the mask M. Incidentally, when the mask M is held by the holding mechanism 6, the shape of the surface of the mask M changes due to the parallelism and gravity sag. Therefore, the plurality of measurement points on the mask M measured by the height measuring means 13 are preferably at least five spots corresponding to the four corners of the mask M and its center. After the measurement of the height (h1) of the mask M, the stored height (h0) of mark table is read. A difference calculator 14 (refer to FIG. 1) compares the height (h1) of the mask M and the height (h0) of the mark table 4 and calculates a difference between the two (S8). Since both heights coincide with each other where the difference is “0” (S9), the height adjustment is finished and writing is started. On the other hand, when the difference is not “0”, i.e., the two do not coincide with each other in height, the Z stage controller 9 movably controls the Z stage 5 based on information about the difference (S10). The processes from S7 to S9 are executed again. Incidentally, the difference is utilized as a constant threshold value or less without assuming “0”. If such height adjustments as described above are conducted, then writing to the mask M can be performed at the same height as that of the mark table 4 subjected to the electron beam adjustment. Further, the height of the mark table 4 is matched with the middle value Fm of the adjustable range of the focal adjustment mechanism, and the height of the mask M matched with the height of the mark table 4 is set to the middle value between the highest and lowest values of the heights of the plural measurement points, whereby the heights of the highest and lowest points of the surface of the mask M fall within the adjustable range of the focal adjustment mechanism. As a result, even though the adjustable range of the focal adjustment mechanism is not set large according to the thickness tolerance of the mask M, the influence of the vertical displacement of the mask M can be corrected by making the most of the adjustable range of the focal adjustment mechanism. Incidentally, the height (h0) of the mask table 4 may be measured after the placement of the mask M on the holding mechanism 6. Further, the adjustment for the coincidence of the middle value Fm of the adjustable range of the focal adjustment mechanism with the height of the mark table 4 may be performed after the placement of the holding mechanism 6 on the Z stage 5. Although the Z stage 5 and the holding mechanism 6 are respectively comprised of the single member in the first embodiment, it is also possible to configure the Z stage 5 by a plurality of support pins 5a vertically moved in sync with each other by a single drive mechanism, and to configure the holding mechanism 6 by a plurality of reception seats 6a placed on the support pins 5a respectively as in the case of a second embodiment shown in FIG. 5A and 5B. A mask M is seated on and held by these reception seats 6a at its back surface. Incidentally, the second embodiment is applied to the case where the moving velocity of an XY stage 3 is slow. A position displacement of the mask M is prevented by a frictional force produced between each reception seat 6a and the mask M. Even in the second embodiment, when the height of the mask M is different from that of a mark table 4 as shown in FIG. 5A, the above-described height adjustment processing is performed and the plurality of support pins 5a are driven to allow the height of the mask M to coincide with the height of the mark table 4 as shown in FIG. 5B. On the other hand, there is also a case where it is necessary to adjust the height of the mask M by correcting not only its upward and downward movements by the Z stage 5 but also its inclination or tilt. Thus, in a third embodiment shown in FIG. 6A and 6B, the Z stage 5 is configured as one equipped with inclination correcting means. That is, the Z stage 5 is comprised of three support pins (support mechanisms) 5b movable independently with respect to the direction of an optical axis of an electron beam. Reception seats 6a that constitute a holding mechanism 6 are provided on the support pins 5b respectively. Incidentally, the number of the support pins 5b is not limited to three, but may be set to four or more. When the thickness of the mask M is ununiform, the surface of the mask M tilts as shown in FIG. 6A. In this case, the inclination of the mask M is calculated and the support pins 5b are individually driven according to the inclination to correct the inclination of the mask M such that the surface of the mask M becomes orthogonal to the optical axis of the electron beam. Thereafter, in a manner similar to the first embodiment, the heights of a plurality of measurement points on the mask M are measured, and the support pins 5b are sync-driven in such a manner that a middle value between the highest and lowest values of the heights of these measurement points coincides with the height of the mark table 4. Thus, as shown in FIG. 6B, the height of the mask M can be made coincident with the height of the mark table 4 in a state in which the surface of the mask M is set orthogonal to the optical axis of the electron beam. Incidentally, the heights of the plurality of measurement points of the mask M are measured before the correction of the inclination of the mask M. The support pins 5b are sync-driven in such a manner that the middle value between the highest and lowest values of the heights of these measurement points coincides with the height of the mark table 4, thereby to adjust the height of the mask M. Thereafter, the support pins 5b may be individually driven according to the inclination of the mask M to correct the inclination of the mask M. As to a method for calculating the inclination of the mask M, height measuring means 13 may measure the heights of plural measurement points on the mask M and determine, for example, an optimal linear approximate equation by a least squares method, based on data about the measured heights. That is, a and b determined by approximating x and y of a differential equation Z′=f(x, y) of the above measured data Zi=F(xi, yi) by a linear approximate equation ax+by+c become slopes. In order to correct the slopes, the slopes determined in like manner after the correction may be set to reach 0. Incidentally, c corresponds to a vertical correction portion of each support pin 5b. The inclination of the mask M is calculated by an inclination calculator 15 indicated by a virtual line in FIG. 1. Then, information about the inclination calculated by the inclination calculator 15 is transmitted to the Z stage controller 9, where the support pins 5b are movably controlled individually in such a manner that the inclination of the mask M is corrected. Incidentally, although the reception seats 6a are respectively provided on the support pins 5a and 5b in the second and third embodiments, the reception seats 6a may be formed integrally with the support pins 5a and 5b at upper ends thereof respectively. The holding mechanism 6 comprised of the electrostatic chuck employed in the first embodiment can also be provided on the support pins 5a and 5b of the second and third embodiments respectively. The features and advantages of the present invention may be summarized as follows. According to the electron beam writing apparatus and method of the present invention, there is no constraint to physical space of a holding mechanism required where held at an upper surface of a mask. Thus, an electronic optical lens barrel can be brought closer to the mask than conventional, thereby making it possible to meet a high demand for miniaturization. Separating a Z stage provided with a mask's holding mechanism and a mark table from each other makes it possible to perform writing to the mask at the same height as that of the mark table subjected to an electron beam adjustment. Further, a middle value of an adjustable range of a focal adjustment mechanism is matched with the height of the mark table, and the height of the mask made coincident with the height of the mark table is set to a middle value between highest and lowest values of heights of a plurality of measurement points, whereby the heights of the highest and lowest points of the surface of the mask fall within the adjustable range of the focal adjustment mechanism. As a result, even though the adjustable range of the focal adjustment mechanism is not set large according to the thickness tolerance of the mask M, the influence of a vertical displacement of the mask can be corrected by making the most of the adjustable range of the focal adjustment mechanism. Obviously many modifications and variations of the present invention are possible in the light of the above teachings. It is therefore to be understood that within the scope of the appended claims the invention may be practiced otherwise than as specifically described. The entire disclosure of a Japanese Patent Applications No. 2008-076945, filed on Mar. 25, 2008 and No. 2009-020272, filed on Jan. 30, 2009 including specifications, claims, drawings and summarys, on which the Convention priority of the present application is based, are incorporated herein by reference in its entirety.
summary
052951669
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of a start-up range neutron monitor system according to the present invention will be described hereunder with reference to the accompanying drawings, in which like reference numerals are added to elements or portions corresponding to those shown in FIGS. 8 to 10 showing a conventional structure. A first embodiment is first described with reference to FIGS. 1 to 3. FIG. 1 shows a start-up range neutron monitor system, which is adapted preferably for a nuclear reactor and is composed of an isolated, i.e. non-earthed, neutron detector 1 disposed inside the reactor, coaxial cables 3 and 4 transferring a neutron detection signal form the neutron detector 1 to a signal processing unit 2a in a monitor 2 disposed in a central control chamber, a preamplifier 5 interposed between these coaxial cables 3 and 4, and a shield cable 10 applied to the coaxial cable 3 as a shield member. Namely, the neutron detector 1 is connected to the preamplifier 5 disposed in a reactor building through the coaxial calbe 3, on the side of the detector, arranged so as to pass a reactor containment vessel, and on the other hand, the preamplifier 5 is connected to the signal procesing unit 2a through the coaxial cable 4 on its output side. These coaxial cables 3 and 4 are composed of cores 3a and 4a and sheaths 3b and 4b for earthing, respectively. It is of course to be noted that the coaxial cables 3 and 4 are composed of a single cable on the way of which the preamplifier is incorporated or two coaxial cables are disposed on the input and output sides of the preamblifier. The preamplifier 5 is composed of an amplifying circuit 5a and a casing 5b forming an earth circuit, and the coaxial cables 3 and 4 have the outer sheaths 3b and 4b which are operably connected to each other through the casing 5b of the preamplifier 5. The shield cable 10 covering the coaxial cable 3 on the side of the detector 1 is formed of a conductive material and is connected to the casing 5b through an earth cable 11. The earth circuit is grounded through the signal processing unit 2a, whereby whole the system is constructed so as to have one point earth structure. According to the start-up range neutron monitor system of this embodiment, electric pulse signals in response to thermal neutrons in the start-up range of the reactor are detected by the neutron detector 1 likely as in the conventional system. Although the detected signal has a weak magnitude, it is amplifed by the preamplifier 5 and subjected to the predetermined signal treatment through the signal processing unit 2a in the monitor 2. FIGS. 2 and 3 are views for the explanatory of the noise shielding functions and effects according to this first embodiment of the present invention. Referring to FIG. 2, floating capacities C.sub.1 to C.sub.3 are present between the coaxial cable 3 on the input side of the preamplifier 5 and the shield cable 10 covering the coaxial cable 3. That is, the floating capacity C.sub.1 exists between the core 3a of the coaxial cable 3 and the shield cable 10, the floating capacity C.sub.2 exists between the core 3a and the sheath 3b and the floating capacity C.sub.3 exists between the sheath 3b and the shield cable 10. Reference numeral 1' denotes a detection signal source for the neutron detector 1. The circuit structure schematically shown in FIG. 2 will be substituted with an equivalent circuit of FIG. 3. In the circuit connected as described above, when the external noise of the noise voltage V.sub.N is transferred to the coaxial cable 3, the external noise is captured by the shield cable 10 and the noise current is earthed through the shield cable 10. Namely, the noise current is directly flown to the earth through a pass in which the earth resistance is most lowered by means of the shield cable 10, whereby the voltage V.sub.12 between input ends P.sub.1 and P.sub.2 of the amplifying circuit 5a caused in response to the noise voltage V.sub.N becomes V.sub.12 =0. According to the shielding structure described above, the external noise hardly affects on the neutron detection signal and the lowering of the S/N ratio caused by the external noise can be hence prevented substantially perfectly. Therefore, there is no need for adding a specific treating circuit to the coaxial cable 3 for the treatment of the external noise and the operational load on the signal treatment cannot be increased, thus easily facilitating the signal treatment. A second embodiment of the start-up range neutron monitor system according to the present invention will be next described hereunder with reference to FIGS. 4 to 6, in which like reference numerals are added to elements or portions corresponding to those of the first embodiment. In the start-up range neutron monitor system of FIG. 4, a ring core 20 formed by forming a magnetic member into a ring shape is newly added, and a portion of the coaxial cable 3 connecting the neutron detector 1 and the preamplifier 5 is wound around the ring core 20. The structure of the monitor system other than this structure of the ring core 20 is substantially the same as the structure of the monitor system of the first embodiment. The noise shielding function and effect according to the second embodiment will be explained hereunder with reference to FIGS. 5 and 6. As described above, in a circuit constituted by the coaxial cable 3 in association with the ring core 20, coils 21a and 21b are equivalently incorporated, and the coils 21a and 21b have inductances L.sub.1 and L.sub.2 of substantially the same value (L.sub.1 =L.sub.2 =L) and have directions of magnetic flux generations reverse to each other with respect to the detection signal source 1'. Namely, FIG. 5 shows an equivalent circuit with rspect to the neutron detection signal in the case where the coil 21a inserted into the core 3a of the coaxial cable 3 has the inductance L.sub.1 and the coil 21b inserted into the outer sheath 3b of the coaxial cable 3 has the inductance L.sub.2. FIG. 6 shows an equivalent circuit with respect to the external noise. In FIGS. 5 and 6, symbols R.sub.C1 and R.sub.C2 represent line impedances of the core 3a and the outer sheath 3b and a symbol R.sub.S represents an input impedance of the preamplifier 5. In this second embodiment, the input impedance R.sub.S of the preamplifier 5 is set to a value sufficiently larger than those of the line impedances R.sub.C1 and R.sub.C2. Referring to the equivalent circuit of FIG. 5, a voltage V.sub.S between both ends of the input impedance R.sub.S in the case of an detection current I.sub.S by means of the detection signal source 1' for the neutron detector 1 is expressed as follows. EQU V.sub.S =I.sub.S .multidot.R.sub.S (2) As can be seen from this equation, the insertion of the coils 21a and 21b does not affect on the detection current I.sub.S. Next, with reference to FIG. 6, showing the state of the external noise invasion, symbols I.sub.n2 and I.sub.n1 represent noise currents passing the core 3a and the sheath 3b, respectively, due to the external noise, and a symbol V.sub.sn represents a noise voltage generated between both ends of the input impedance R.sub.S due to the external noise. In this equivalent circuit, the relationship L.sub.1 =L.sub.2 =L is established and the impedance R.sub.S has a value sufficiently larger than those of the line impedances R.sub.C1 and R.sub.C2. Accordingly, the noise voltage V.sub.sn is approximately determined by an impedance distribution ratio on the side of the sheath and expressed as follows. ##EQU1## In this equation, the line impedance R.sub.C2 is extremely samll and the inductance L is sufficiently larger than the value R.sub.C2 /.omega. , and accordingly, the absolute value of the denominator of the equation (3) becomes larger than 1 and the noise voltage V.sub.sn hence becomes small. Namely, in consderation of circuit theory, as almost all the noise current due to the external noise passes on the side of the outer sheath, the noise voltage V.sub.sn becomes sufficiently smaller than the external noise voltage V.sub.N. Thus, the invasion of the external noise into the signal system can be suppressed, thus improving the S/N ratio. This noise suppressing effect can be more improved as the frequency of the noise becomes higher. According to the second embodiment, substantially the same effects as those attained by the first embodiment can be achieved, thus improving the noise resisting property. Particularly, according to this second embodiment, the coils 21a and 21b having same inductance and reverse magnetic flux directions can be equivalently and easily inserted, respectively, to the core 3a and the core 10. It is of course understood that independent coils can be inserted respectively. In a modified embodiment, a combination of the shield structures of the first and second embodiments may be realized as shown in FIG. 7, in which the like reference numerals are added to elements or portions corresponding to those of FIGS. 1 and 4. According to this modified embodiment, combined effects of those of the first and second embodiments will be attained to thereby further improve the noise resisting property with respect to the input side of the preamplifier. As described above, the structure of the present invention can be applied to other systems each in which the detection system has same one point earth structure, that is, a detection signal source is non-earthed and a signal processing side connected to the detection signal source through a coaxial cable is earthed. According to such structure, the noise resisting property can be remarkably improved even with respect to a weak detection signal.
046997512
description
BEST MODE FOR CARRYING OUT THE INVENTION Referring now to FIG. 1, shown therein is the most general form of the present invention. A target 10 is provided for interception of neutrons 12. This target contains, therein or thereon, a material 14 which is characterized by being sputtered from the target in response to the neutron irradiation of the dosimeter. Material sputtered from the target can be in the form of a gas or a solid. Accordingly, the drawing illustrates a gas collection region 16 and a solids collector 18 which are used for these sputtered materials, respectively. Although not necessary for all embodiments, the target 10 (and the sputterable material 14), together with the collectors 16 or 18, are encased in a thin enclosure 20. This enclosure, typically thin plastic or metal, can be evacuated if desirable. Although the neutron field 12 is shown as being unidirectional, the dosimeter of the present invention has non-directional response unless affected by its physical location. The sputtered material, either in the form of gas or solid, is collected for sensitive analysis. Typical gaseous materials could be atomic gases like helium, neon, argon, krypton, xenon or radon, or molecular gases such as hydrogen, (H.sub.2), nitrous oxide (NO) or carbon monoxide (CO). In dosimeters where the sputtered material is a solid, the solid could be a noble metal such as gold, or a stable alkali halide such as lithium iodide (LiI). Many or all atoms in the sputterable materials can be normally abundant isotopes, enriched stable isotopes, or radioactive elements. As indicated above, the sputtered material is analyzed by any suitable means to determine the quantity thereof and therefore to provide information as to the quantity (dose) of neutrons that are impinging (or impinged) upon the dosimeter. The target 10 utilized in this most general embodiment of the present invention, as well as in the other embodiments to be disclosed hereinafter, should have certain characteristics. For example, the target should be such that the material to be sputtered therefrom is relatively easily incorporated therewith. The combination of the target and the material to be sputtered should normally be stable under all conditions whereby the sputtered material is released only upon the interaction with radiation. Lastly, the matrix material must be such that a sufficient quantity of the material to be sputtered therefrom can be incorporated therein or thereon whereby the resultant dosimeter has sufficient sensitivity to meet the goals of low level neutron dosimetry. A more specific embodiment of the present invention is illustrated schematically in FIG. 2. Positioned within the enclosure 20 are numerous thin films 22A, 22B of matrix material which contain xenon gas deposited therein or thereon by appropriate techniques. Interposed with the films 22 are numerous radiators of charged particles, two of which are labelled 24A, 24B. These radiators may be, for example, flakes or extended surfaces of a hydrogeneous material, or even a hydrogeneous liquid, which gives up protons or other recoil atoms upon being bombarded with the neutrons 12. The recoil atoms, upon striking the films 22, cause a portion of the xenon to be released into void space 16 within the enclosure 20. This liberated xenon gas is then subjected to one of several analytical methods to determine the quantity thereof and therefore to determine the dose of the impinging neutrons. Because of the gaseous nature of the sputtered material in this embodiment, the enclosure 20 is preferably evacuated. Xenon gas can be incorporated into various materials for use in this embodiment in several ways. For example, xenon ions can be accelerated to about 10 keV to impinge upon the substrate material, for example, a metal foil. This causes the xenon ions to penetrate into the first few atomic layers of the solid. The number of atoms which can be trapped by ion implantation is about 10.sup.15 per square centimeter. Another method which can be used is sublimation in which neutral atoms on the surface are covered with atoms from a filament or a sputter source. During the sublimation the noble gas becomes entrapped. A further method of incorporation is the mechanism known as plasma injection or bias sputtering process. In this process, the noble gas is ionized in an electrical discharge and some of these ions strike the surface and are momentarily retained while other ions sputter a target material which covers the implanted atoms. If the target material is a mixture of two metals containing atoms of two sizes, an amorphous film is grown on the substrate. This film, if formed in the presence of a noble gas, will contain a significantly large amount of the noble gas which is known to be very stably retained even at high temperatures. Accordingly, the technology is known relative to the incorporation of a large number of xenon atoms into a matrix material for use with the subject dosimeter. Sufficient atoms of xenon can be incorporated in the matrix such that one rad of fast neutrons impinging upon the dosimeter will liberate approximately 10.sup.8 atoms, the resultant dosimeter provides a measurement for 10.sup.-5 rads. These levels of exposure can be measured using the device described in U.S. patent application Ser. No. 300,019, filed Sept. 8, 1981, now U.S. Pat. No. 4,426,576, which device is incorporated herein by reference. Even static mass spectrometers without resonance ionization spectroscopy can measure on the order of 10.sup.7 xenon atoms, and thus less than 1 rad of radiation is easily detectable with this dosimeter. The "rad", as used herein and well known in the art, is a unit of energy absorbed from ionizing radiation and is equal to 100 ergs per gram of irradiated material. A millirad is 10.sup.-3 rad, and a microrad is 10.sup.-6 rad. The rem is a unit used for biological dose, and is equal to the rad of exposure multiplied by a quality factor that depends on neutron energy. An embodiment illustrating the sputtering of a metal is shown in FIG. 3. As in the embodiment of FIG. 2, a radiator material 24 is encompassed within an enclosure 20. Adjacent to the radiator material is a deposit of a metal 26, such as gold. Spaced from the gold surface is a collector plate 28 which is initially substantially free of gold. In this embodiment, the enclosure 20 is evacuated. The gold, when sputtered from foil 26 by particles from the radiator 24, is deposited upon the collector plate 28. This collector plate is then removed and analyzed at a given time interval to ascertain the amount of gold deposited thereon. This transferred amount of gold is then relatable to the neutron dose. The gold surface 26 may be in the form of gold foils or, more practically, a thin evaporated layer of gold upon a suitable substrate. With the embodiment shown in FIG. 3, a higher yield of atoms per rad of radiation is to be achieved. This is due to two factors: (1) there are 100 times more gold atoms per square centimenters of surface than in the xenon case shown in FIG. 2; and (2) the sputter yield of gold is intrinsically large, e.g., one atom per ion at low energy rather than 0.1 atom achieved with the xenon detector. Accordingly, this provides approximately 10.sup.8 gold atoms per square centimeter per rad transferred to the collector plate 28. The quantity of gold on the collector plate can be determined using sputter initiated resonance ionization spectroscopy by which approximately 10% of all of the atoms on the surface of the collector can be counted. Sputter initiated resonance ionization spectroscopy (SIRIS) is described in U.S. patent application Ser. No. 341,895, filed Jan. 22, 1982, now U.S. Pat. No. 4,442,354, the contents thereof being incorporated herein by reference. Other known techniques of analysis can provide a counting of about 10.sup.-4 of the total atoms. As stated above, the collector plate 28 initially must be substantially free of gold. An electronic grade silicon can be used which contains less than one portion of gold per 10.sup.10 portions of silicon. A one square centimeter silicon crystal would have about 10.sup.15 atoms of silicon on the surface but only about 10.sup.5 gold atoms. This amount of gold would create only about 1 millirad of background per square centimeter of material analyzed. There are other metals and various oxides that also have a low amount of gold therein and these would permit a detection of low levels of neutron irradiation. Also a surface deposition of lithium iodide (LiI) can be used in a similar fashion to the gold sputtering whereby the sputtered material is collected on a surface that is initially free of lithium iodide. Thereafter the collector is analyzed for the quantity of the sputtered material. A sodium iodide (NaI) surface can be used for the collection of LiI. A dosimeter with a noble gas implanted in a metal matrix, with the gas as the sputterable material, was chosen for careful study. Specifically the noble gases were selected because of their nonreactivity with other materials. Of the rare gases, xenon was studied in particular because of the availability of known analytical techniques for this element. Both polycrystalline and amorphous type metals for the incorporating of the gas were studied. With the polycrystalline metals, the gas is held at many types of sites within the structure, some being more easily emptied than other sites. Accordingly, preannealing of the substrate is necessary to remove any gas that is too easily separated from the matrix and which would not be related to a release due to the radiation interaction. Amorphous metal compositions, as discussed above, apparently have a large number of interstitial spaces large enough to hold a foreign gaseous atom. These gas atoms remain trapped until the structure rearranges on crystallization. Accordingly, gaseous atoms are tightly bound by such amorphous materials and therefore the gas is released only upon the reaction of the radiation upon the matrix material. A lower background of gas that is not due to radiation will exist in a dosimeter fabricated from this material. Such a dosimeter will be more stable than those utilizing the polycrystalline metal as the sites for the gaseous atoms. Methods are known for producing amorphous alloys using a noble gas. In such methods two or more metals, where the radii of the various metals differ substantially, are simultaneously sputtered upon a substrate. When the sputtering is performed in the presence of a noble gas, such as xenon, the noble gas is trapped in a high degree and is held very stably in the resultant material. Typical compositions involve a binary alloy of gadolinium and cobalt or a ternary alloy of Gd-Co-Cr. Another amorphous material which is suitable for retaining the noble gas is a composition of 20% mischemetal, 60% iron and 20% chromium. The mischemetal contains rare earth elements of large atomic radii and iron, having a small radius, serves to disorder the structure of the growing film in the radiofrequency plasma deposition process. The chromium of the composition protects the rare earths against oxidation and thus chemically stabilizes the film. Within this general composition, a tantalum-iron-chromium amorphous alloy has been prepared. Specifically, a deposit of about 1500 angstroms of this alloy was deposited upon a stainless steel substrate using an argon discharge. A cover layer of similar thickness of the same alloy was then deposited in a xenon discharge. Subsequent analysis showed a significant retention of xenon in the second layer which was not released under normal heating conditions to any appreciable degree. This substrate had approximately 30% concentration of the xenon. In those cases where the sputtered material is a solid, it is accumulated on a collector as described above. This collector is removed from the dosimeter, and the quantity of sputtered material is determined by suitable means. One such means is a sputter initiated resonance ionization spectrometer as described in the aforementioned U.S. Pat. No. 4,442,354. One typical construction for a dosimeter is a structure having alternating layers of a hydrocarbon, such as (C.sub.2 H.sub.2)n, and thin films of amorphous metal alloy containing xenon gas. A typical hydrocarbon for this application is polyethylene. The films of amorphous metal are of the order of 10-100 angstroms in thickness. The range of a 10 keV proton is about 2000 angstroms in nickel metal. Thus, each proton will enter and exit many of the thin metal films. On each entry and on each exit of the proton into or from each film there is about a 1% probability that a xenon atom will be sputtered from the metal and these atoms will collect in the spaces allowed between the hydrogenous radiators and the metal films. The sensitivity of the dosimeter is increased by the number of films traversed by the proton. Using these figures, about 2.times.10.sup.9 xenon atoms will be released per rad of radiation incident upon the dosimeter. Thus, in the absence of background, doses well below a millirad can be detected. A further enhancement of the release of xenon from the matrix material is affected by forming the xenon-containing amorphous metal alloy into flakes and mixing these flakes with small plastic (radiator) particles which particles supply protons for the sputtering of the xenon. As above, liberated xenon atoms will collect in the voids and can be easily transferred to other apparatus to determine the concentration of the xenon atoms. Since a conventional quadrupole mass spectrometer alone can measure 10.sup.6 atoms, one millirad sensitivity is achieveable. With a resonance ionization mass spectrometer, 10.sup.3 atoms are easily measured and hence will provide means for determining one microrad of neutron exposure. Alternately, these same metal alloy flakes can be mixed with a suitable hydrocarbon liquid, the liquid now supplying the protons and heavier particles for the sputtering of the xenon. The xenon collects in the liquid and can easily be removed and transferred to an analysis system as above. In FIG. 5 are shown the results of a theoretical calculation of the relative number of xenon atoms that would be liberated, a dosimeter of the type shown in FIG. 2, per rad of neutron absorbed dose in a tydrogen-containing radiator as a function of neutron energy. The undesirable decline in sensitsivity is due to the fact that the sputter yield for protons peaks and then declines for energies above about 1 keV. However, for recoil atoms of masses greater than hydrogen, the sputter yield rises to a higher value of neutron energy before it declines. By choice of radiator material composition, dosimeters can be designed so that the response per rad is independent of neutron energy over the range from 10.sup.3 ev to 10.sup.6 ev. Similarly, another preselected composition of radiator material would make the biological dose measured in rem units more constant than that shown in FIG. 5. Even for the simple configuration of FIG. 2, the total number of neutrons passing through a unit of area (the fluence) is almost constant requiring little adjustment of composition. From the foregoing it will be understood by those versed in the art that a useful personnel neutron dosimeter is provided for use where very low doses are to be determined for low energy neutrons. Through the choice of appropriate materials, high sensitivity in the determination of the neutron doses is achieved. Significant discrimination against electromagnetic radiation is achieved with the present dosimeter since electromagnic radiation produces electrons instead of heavy particles, and heavy particles produce a substantially greater sputtering of material. The neutron-sputter dosimeter, as described herein, may be incorporated as an element into any conventional device worn by personnel in environments where they may be subjected to low levels of neutron irradiation. Furthermore, other applications may not involve devices worn by personnel. For instance, devices according to the present invention can be used to indicate the fluence of neutrons at a fixed location. Absorbed dose in materials exposed to neutrons can be measured by using small dosimeters of the invention integrated into more complex equipment. It is, of course understood that although preferred embodiments of the present invention have been illustrated and described, various modifications thereof will become apparent to those skilled in the art. Accordingly, the scope of the invention should be defined by only the appended claims and the equivalent thereof.
043371183
summary
BACKGROUND OF THE INVENTION This invention relates to nuclear reactor power monitoring systems for monitoring the power level of a reactor and preventing an excessive rise thereof, and more particularly it is concerned with a system for controlling the core coolant flow rate of a nuclear reactor particularly designed for preventing an excessive rise in power level attributable to a transient increase in the core coolant flow rate. Generally, control of the power level of a boiling-water nuclear reactor (BWR) is effected not only by practicing selective operation of a plurality of neutron absorbing control rods which are movable into and out of the reactor core, but also by effecting adjustment of the core coolant flow rate in combination with the selective operation of the control rods. The principle of power control of BWR relying on the adjustments of the core coolant flow rate is that the relation between variations in the manner of production of voids in the core caused by changes in the core coolant flow rate and the negative reactivity coefficient of voids can be utilized for controlling reactor power. More specifically, in increasing the nuclear reactor power, the core coolant flow rate is increased to increase reactivity as the volume of voids in the core is transiently reduced, thereby increasing reactor power. This increase in reactor power continues until balance is achieved between the amount of the increase in reactivity in the transition period and the amount of voids newly produced in the core. Thus a new reactor power level is attained. The core coolant flow is created by leading part of the coolant out of the pressure vessel and driving recirculation pumps which forces the coolant to flow into jet pumps within the pressure vessel. The core coolant flow rate is controlled by a flow control system associated with the recirculation pumps. The core coolant flow does not show the same rate as the recirculation flow. However, there is a uniform functional relation between these two values, so that the core flow rate can be indirectly controlled by controlling the recirculation flow rate. Control of the recirculation flow rate can be effected either by adjusting the opening of flow control valves at the discharge side of the recirculation pumps or by controlling the number of revolutions of the recirculation pumps. Operation of BWR is practiced in accordance with a predetermined operation plan by the aforesaid selective operation of the control rods and the control of the core coolant flow rate. The operation plan is determined in such a manner that reactor power can be maximized without impairing the integrity of fuels by an excessive rise in power. Core characteristics parameters regarding the integrity of fuels include a maximum linear heat generating rate which is a monitor index for preventing mechanical breakdown of the fuel cladding due primarily to thermal deformation and a minimum critical power ratio (MCPR) which is a monitor index for preventing thermal breakdown of the fuel cladding caused by transition boiling or film boiling of the coolant, both being controlled in such a manner that they do not exceed the respective critical levels that would cause breakdown of the fuel cladding. During operation of BWR, reactor power may deviate from the aforesaid operation plan for some reason and give rise to an excessive rise in power. To avoid this phenomenon, a core monitoring system is provided. The conventional power monitoring system includes an average power range monitor (APRM), a thermal power monitor (TPM) and a rod block monitor (RBM). These monitors all operate as follows on the basis of signals from a neutron monitoring system including local power range monitors (LPRMs). APRM monitors the power level of the nuclear reactor which is obtained by averaging the local power signals from all LPRMs, and prevents withdrawing of control rods when the power level attained by withdrawing of control rods has reached a predetermined rod block threshold and scrams the nuclear reactor when the power level has reached a predetermined scram threshold as a result of withdrawing of control rods, as increase in the core coolant flow rate and the core pressure, etc. TPM monitors thermal power transferred through fuel cladding surfaces, the thermal power being converted from local power signals from LPRMs through a time delay circuit, and scrams the nuclear reactor when the thermal power has reached a predetermined scram threshold. RBM monitors changes in the readings of LPRMs adjacent to the control rod to be withdrawn and prevents withdrawing of the control rods when the readings reaches a predetermined rod block threshold. APRM and RBM are disclosed, for example, in U.S. Pat. No. 3,565,760 granted to G. R. Parkos et al on Feb. 23, 1971. In the core monitoring system described hereinabove, withdrawing of control rods is blocked either by APRM or RBM when the power level of the core or the local power level thereof attained by withdrawing of control rods reaches the respective threshold. Thus APRM and RBM suppress an excessive rise in reactor power caused by withdrawing of control rods but do not provide means for suppressing an excessive rise in reactor power caused by a change in the core coolant flow rate. The suppression of the latter excessive power rise is effected by APRM and TPM in the existing circumstances, which scram the nuclear reactor when the power level or thermal power level of the core reaches the corresponding one of their scram thresholds. APRM and TPM also scram the reactor when the reactor power level reaches the corresponding one of their scram thresholds as a result of withdrawing of control rods. The nuclear reactor continues its operation when withdrawing of control rods is blocked by APRM or RBM, so that the blocked control rods can be actuated again if reactor power is reduced by inserting other control rods or reducing the core coolant flow rate. However, in the event of the core flow rate being increased and the reactor power level being raised by an operator turning some wrong valves or some equipment misoperating, for example, the power level only rises until the scram threshold of APRM or TPM is reached as aforesaid and the reactor is scrammed. As a result, the number of times the reactor is scrammed increases more than is necessary and interfers with the operation of the reactor. Also, when the reactor power level rises as aforesaid, the aforesaid core characteristics parameters such as the maximum linear heat generating rate and minimum critical power ratio may exceed the critical level that may cause breakdown of the fuel cladding. To avoid this accident, it has hitherto been necessary to set the power level of normal operation of a nuclear reactor at a relatively low level to provide reserves for this accident. Japanese Patent Publication No. 21518/79 published on July 31, 1969 for Tokyo Shibaura Electric Company, Ltd. discloses a control system in which (1) in a slow power increase mode a recirculation coolant flow rate increase rate m or a core coolant flow rate increase rate c is limited to a level lower than the level obtained by calculation performed as predetermined, and (2) in a normal operating mode, a recirculation coolant flow rate threshold M and a core coolant flow rate threshold C are reset only when power density is found to be higher in level than the value obtained at the preceding calculation as calculation thereof is carried out at certain time intervals, to thereby avoid an increase in flow rate above the reset threshold. In this control system, when the core flow rate falls and power is reduced after the thresholds M and C are reset at a high power level P.sub.H following a slow rise in power, the reset thresholds M and C are kept at a high level. If a rise in flow rate is caused, for example, by the failure of a recirculation flow controller after the power is kept at a low level for some time, the flow rate continues to rise until it reaches the level of M or C. The power level attained at this time would be higher than the power level P.sub.H by an amount corresponding to a reduction in Xenon (neutron absorber) in the core occurring during the time of low level operation of the reactor. Thus, the process for setting the thresholds shown in Japanese Patent Publication No. 21518/79 is such that a flow rate threshold is set at the power level P.sub.H and the threshold, once set, may be kept constant irrespective of power until the power level exceeds P.sub.H again and a fresh threshold is set following recalculation of power density by the computer. Therefore, even if the flow rate rises to the threshold again in the interval due to a reduction in power and a variation in the amount of Xenon, there is no assurance that power will be lower than the threshold P.sub.H. In fact, there is no express mention of the amount of Xenon in formulas (1)-(12) described in Japanese Patent Publication No. 21518/79. SUMMARY OF THE INVENTION A principal object of the present invention is to provide a system for controlling the core coolant flow rate which enables an excessive rise in power level to be suppressed before the nuclear reactor is scrammed, when the excessive power rise is caused by an increase in the core coolant flow rate. Another object is to provide a nuclear reactor power monitoring system which enables an excessive rise in power level to be suppressed so as to permit the nuclear reactor to continue its operation when the excessive power rise is caused by an increase in the core coolant flow rate. A still another object is to provide a nuclear reactor control system which enables a variation in the core characteristic parameters such as a minimum critical power ratio and a maximum linear heat generating rate to be minimized when an excessive rise in power level is caused for any reasons including an increase in the core coolant flow rate, thereby preventing the critical level causing breakdown of the fuel cladding from being exceeded by these characteristics parameters even if a power level for normal operation is set at a relatively high level. A further object is to provide a system for controlling the core coolant flow rate of a nuclear reactor which enables an excessive rise in power level exceeding a threshold level to be prevented by all means when the excessive rise is caused by an increase in the core coolant flow rate no matter what the situation bringing about the increase may be. According to one aspect of the invention, there is provided, in a nuclear reactor having a core including means for recirculating a coolant through the core to make a core coolant flow, means associated with said recirculating means for controlling the core coolant flow rate, means for detecting the power level of the reactor and producing a signal indicative of the detected power level, and means for detecting the core coolant flow rate and producing a signal indicative of the detected flow rate, the combination of (a) means responsive to the signal from said flow rate detecting means for producing a signal indicative of the threshold power level at the detected flow rate, the threshold being previously determined and inputted to said means as a function of the core coolant flow rate, (b) means for receiving and comparing the signals from said power level detecting means and said threshold power level signal producing means, and (c) means for producing a coolant block signal or coolant run-back signal when the signal from said power level detecting means exceeds the signal from said threshold power level signal producing means, said coolant block signal or run-back signal being received by said flow rate control means whereby said recirculation means is operated to block the change in the core coolant flow rate or run-back the flow rate. In the aforesaid combination, said flow control means is adapted to control the flow rate along a predetermined flow control line to operate the reactor, and said threshold power level may be about 103 to 108% of that on said flow control line at the rated flow rate and at flow rates adjacent to the rated flow rate, and said threshold power level is about 102 to 107% of that on said flow control line in a substantial range of flow rates below the first-mentioned flow rates. According to another aspect of the invention, there is provided a nuclear reactor power monitoring system for monitoring the power level of a nuclear reactor and preventing an excessive rise of the power level, the nuclear reactor including a core, a plurality of control rods selectively insertable in said core, means for recirculating a coolant through the core to make a core coolant flow, and means associated with said recirculating means for controlling the core coolant flow rate, the control system comprising in combination: (a) first means for detecting the power level and producing a signal indicative of the detected power level; (b) second means for detecting the core coolant flow rate and producing a signal indicative of the detected flow rate, (c) first monitor means responsive to the signals from said first and second detecting means for blocking the withdrawing of the control rods when the detected power level exceeds a predetermined rod block threshold power level at the detected flow rate; (d) second monitor means responsive to the signals from said first and second detecting means for initiating a scram action when the detected power level exceeds a predetermined scram threshold power level at the detected flow rate; and (e) third monitor means responsive to the signals from said first and second detecting means for blocking the change in the core coolant flow rate or running-back the flow rate when the detected power level exceeds a predetermined coolant block threshold power level at the detected flow rate. In the aforesaid monitoring system, said third monitor means may include an averaging circuit for receiving the signal from said first detecting means and producing a signal indicative of the average of the detected power level, a coolant block threshold circuit for receiving the signal from said second detecting means and producing a signal indicative of the coolant block threshold power level at the detected flow rate, the threshold being previously determined and inputted to said threshold circuit as a function of the core coolant flow rate, a comparator for receiving and comparing the signals from said averaging circuit and said threshold circuit, and a signal generating circuit for producing a coolant block signal or coolant run-back signal when the signal from said averaging circuit exceeds the signal from said threshold circuit, said coolant block signal or run-back signal being received by said flow control means whereby said recirculating means is operated to block the change in the core coolant flow rate or run-back the flow rate. Moreover, in the monitoring system, said flow control means is adapted to control the flow rate along a predetermined flow control line to operate the reactor, and said coolant block threshold power level may be about 103 to 108% of that on said flow control line at the rated flow rate and at flow rates adjacent to the rated flow rate and is about 102 to 107% of that on said flow control line in a substantial range of flow rates below the first-mentioned flow rates.
description
Field The present disclosure relates to methods of fabricating nuclear fuel. Description of Related Art Relatively large stockpiles of weapons-grade plutonium were built up by various countries during the Cold War. Additionally, more reactor-grade plutonium is being produced every year as a by-product of the nuclear power industry. The accumulation of plutonium presents toxicity and proliferation concerns. To reduce this accumulation, efforts have been made to utilize the plutonium as fuel for nuclear reactors. Converting plutonium into a usable nuclear fuel conventionally requires manufacturing the fuel into oxide form. However, manufacturing the fuel into oxide form involves numerous steps and is expensive, thereby hindering the use of more plutonium as an energy source. A method of fabricating metallic fuel from surplus plutonium may include combining plutonium oxide powder and uranium oxide powder to obtain a mixed powder with reduced proliferation potential. The mixed powder may be electroreduced in a bath of molten salt so as to convert the mixed powder to a first alloy. The first alloy may be pressed to remove a majority of the molten salt adhered to the first alloy to form a pressed alloy-salt mixture. The first alloy may be isolated from the salt by melting the pressed alloy-salt mixture. The combining step may include introducing the plutonium oxide powder at a quantity of about 20% to 50% by weight relative to a total weight of the mixed powder. Additionally, the combining step may include ensuring that the uranium oxide powder has a 235U enrichment of less than 5.0 wt %. The electroreducing step may include loading the mixed powder into a porous basket followed by immersion in the bath of molten salt. Additionally, the electroreducing step may include producing the first alloy so as to be in a form of a dendritic structure. The pressing step may include removing at least 80% of the molten salt adhered to the first alloy. Additionally, the pressing step may include a simultaneous application of heat (e.g., hot press) to facilitate removal of the molten salt adhered to the first alloy. The isolating step may include separating the first alloy from the molten salt based on density. The method may further include reintroducing the molten salt back into the bath of molten salt after the pressing step and isolating the first alloy step. The method may further include adding zirconium or zirconium-like metals (e.g., Group 4 metals) and uranium metal to the first alloy after the isolating so as to form a second alloy. The adding zirconium metal and uranium metal step may be performed such that the second alloy has about 20% to 50% plutonium by weight. A desired reactor component, such as a fuel rod, may be formed from the second alloy. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. FIG. 1 is a schematic view of a method of fabricating a metallic fuel from surplus plutonium according to an example embodiment. Referring to FIG. 1, cans of powdered plutonium oxide are delivered to a can receiving center 100. The plutonium may be recovered from used nuclear fuel (reactor-grade) or nuclear weapon stockpiles (weapons-grade) using reprocessing. The can receiving center 100 is designed to receive a spectrum of can sizes. In addition, the can receiving center 100 is capable of accepting a variety of nuclear feed material with respect to can configurations as well as different isotopic mixtures of plutonium, uranium, and/or other contaminants (e.g., MOX pellets). The cans received can also be placed into storage so that the fuel fabrication processes are independent from the timeliness of delivery of the nuclear feed material to the point of operation. The storage area may be designed to store up to two years' worth of nuclear feed material, although example embodiments are not limited thereto. From the can receiving center 100, the cans are transferred to a can processing center 102. At the can processing center 102, the cans are opened by standard mechanical means. Mechanical energy (e.g., vibration) may be used as needed to remove the solid plutonium oxide (or uranium oxide or MOX pellets) from the cans. The plutonium oxide may be in the form of PuO2, while the uranium oxide may be in the form of UO2, U3O8, and/or UO3. The plutonium oxide from the cans may be emptied into a sifter/screening device and blended with uranium oxide in a hopper to obtain a mixed powder. The introduction of the plutonium oxide powder and the uranium oxide powder may occur simultaneously. In a non-limiting embodiment, the mixed powder includes about 50% by weight of the plutonium oxide powder and about 50% by weight of the uranium oxide powder. However, it should be understood that the plutonium oxide powder may be combined at a quantity of about 20% to 50% by weight relative to a total weight of the mixed powder. Additionally, the uranium oxide may have a 235U enrichment of less than 20 weight percent (e.g., less than 10 weight percent, less than 5.0 weight percent). The blending may be performed with standard industry methods that are used in the nuclear fuel fabrication field and/or other related industries that mix solid powders. The combination with uranium oxide powder to form the mixed powder reduces the proliferation potential of the plutonium oxide powder. Forming the mixed powder also increases the passive safety of the facility by reducing the criticality concerns of the plutonium feed stock. The gases and/or particulates from the cans are directed by a ventilation system from the can processing center 102 to an off-gas treatment system 106. Additionally, it should be understood that each of the sectors discussed herein may be provided with an adequate ventilation system to ensure the removal of fugitive dust particles and/or gases released or produced during operation. The off-gas treatment system 106 is designed to reduce worker exposure, reduce the volume of low level waste, and improve in the recovery of nuclear materials for recycle. The off-gas treatment system 106 may include a sintered metallic membrane (HEPA standard) that retains particulates while allowing gases to pass for further treatment. The gases may be stored in a storage chamber 108. The off-gas treatment system 106 may also include a vibration system, a liquid fill and liquid drain to facilitate chemical cleaning, a dust/solids extraction system for the recycle of nuclear material, and/or a blow-back system if the differential pressure becomes exceeds a desired level due to powder accumulation in the sintered metallic membrane. After the blending, the mixed powder may be sampled to verify its composition for further processing and criticality verification. From the can processing center 102, the mixed powder is transferred to the electroreducer 104 and loaded into porous baskets. In the electroreducer 104, the mixed powder is subjected to an electrolytic oxide reduction process via immersion in a bath of molten salt so as to convert the mixed powder to a first alloy. In particular, the mixture of the plutonium oxide powder and uranium oxide powder are converted in the electroreducer 104 from a metal oxide to a mixture of plutonium metal and uranium metal. Although the first alloy may include the plutonium metal and uranium metal, it should be understood that the first alloy is not precluded from including other metals. The electroreducer 104 may be as described in the following related applications, the entire contents of each of which are incorporated herein by reference. Related Applications Incorporated by ReferenceU.S. applicationFilingNo.HDP/GE Ref.DateTitle12/978,0278564-000228/USFiled onELECTROLYTIC OXIDE24AR246140Dec. 23,REDUCTION SYSTEM201013/335,0828564-000252/USFiled onELECTROREFINER24NS250931Dec. 22,SYSTEM FOR2011RECOVERINGPURIFIED METAL FROMIMPURE NUCLEARFEED MATERIAL13/453,2908564-000262/USFiled onMETHOD FOR CORIUM24AR253193Apr. 23,AND USED NUCLEAR2012FUEL STABILIZATIONPROCESSING After conversion of the mixed powder from a metal oxide to a pure metal/alloy, the resulting mixture of the plutonium metal and uranium metal exit the molten salt bath of the electroreducer 104 in the form of a dendritic structure (e.g., cake). For example, the mixture of the plutonium metal and uranium metal may be in a form of a porous metal sponge with a relatively high surface area. However, this relatively high surface area also results in the adhesion and inclusion of the molten salt from the electroreducer 104. To remove the molten salt, the plutonium/uranium alloy is transferred from the baskets of the electroreducer 104 to the pressing station 110. In the pressing station 110, the plutonium/uranium first alloy is mechanically pressed to remove a majority of the molten salt adhered to the first alloy to form a pressed alloy-salt mixture (e.g., ingot). The pressing may include a simultaneous application of heat to facilitate removal of the molten salt adhered to the first alloy. For example, the pressing may be performed in a heated apparatus. Alternatively, the press itself may include heating elements so as to form a hot press. As a result of the pressing in the pressing station 110, at least 80% of the molten salt adhered to the first alloy may be removed, although example embodiments are not limited thereto. Furthermore, the salt removal via pressing is a lower temperature process (compared to salt removal via a cathode processor) and mitigates the release of volatile americium from the metal mixture. After the pressing station 110, the pressed alloy-salt mixture is transferred to the alloy processing cell 112. In the alloy processing cell 112, the first alloy is isolated from the salt by melting the pressed alloy-salt mixture. For instance, the pressed alloy-salt mixture may be heated with an induction coil in a graphite-lined furnace, although example embodiments are not limited thereto. The molten first alloy may have a density of about 18 g/cc, while the molten salt (and other contaminants) may have a density of about 2-3 g/cc. Thus, during the melting process, the denser molten first alloy will sink to the bottom of the molten mixture, while the lighter molten salt will float above the molten first alloy to the top of the molten mixture. As a result, the first alloy can be separated from the molten salt based on density. For example, the molten first alloy may be discharged from the bottom of the furnace while leaving the molten salt. Also, the two-layer stratification of the molten salt and molten first alloy reduces the amount of volatile metal americium released from the molten mixture. Zirconium metal and more uranium metal may be added to the isolated first alloy to form a second alloy. In a non-limiting embodiment, the second alloy may be composed of about 20% plutonium and 10% zirconium, with the balance being uranium. However, it should be understood that other compositions are also possible, as required by fuel specifications. For instance, the second alloy may have about 20% to 50% plutonium by weight (e.g., 30%, 40%), although example embodiments are not limited thereto. Additionally, zirconium-like metals (e.g., Group 4 metals) may also be added to the isolated first alloy in lieu of or in addition to the zirconium. Molten salt from the electroreducer 104, the pressing station 110, and/or the alloy processing cell 112 may be transported to the waste treatment block 120 for recycling or disposal. Thus, after the pertinent processing in the waste treatment block 120, the molten salt may be reintroduced back into the molten salt bath of the electroreducer 104. In addition, residual plutonium and uranium recovered in the waste treatment block 120 may be transported back to the alloy processing cell 112 for subsequent use. Alternatively, the molten salt may be processed for waste disposal. For example, the salt may be transferred into an inductively-heated furnace and combined with a suitable stabilizer that will bind the salt into a stable waste form. Various systems (e.g., borosilicate glass, zeolite, synrock, iron sulfide) are available to stabilize the salt for disposal. From the alloy processing cell 112, the second alloy may be transferred to the casting cell 114. In the casting cell 114, the second alloy is placed in a suitable form (e.g., fuel slug) with the appropriate geometry for subsequent assembly (e.g., fuel pin assembly) and/or processing. For instance, the second alloy may be used to form a fuel rod or other related and/or suitable components. Alternatively, the second alloy may be transferred from the alloy processing cell 112 into storage for later use. From the casting cell 114, the fuel slugs may be transferred to an inventory cell. In the inventory cell, fuel slugs from a particular batch containing a certain alloy and isotopic composition may be organized and placed into trays with bar codes for material control and accountability. The trays with the fuel slugs are stored in a critically-safe container and arrangement. During the production process, the inventory cell is capable of accessing and retrieving a selected tray containing fuel slugs of the desired composition in an automated fashion. From the inventory cell, the selected tray of fuel slugs is transferred to the assembly cell 116. In the assembly cell 116, various parts (e.g., cladding, end fittings, inlet nozzle, sodium for bonding, and other necessary hardware) are assembled into fuel pins, which are loaded with the selected fuel slugs. The fuel pins are then assembled into fuel bundles. In the event that the metallic fuel uses a sodium bond, an extra process step is added to heat the fuel bundle to a temperature above the melting point of the sodium to allow the fuel pin to settle. Allowing the fuel bundle to cool will cause the sodium to freeze. The fuel bundle may be inspected with a non-destructive assay (NDA), e.g., neutron or gamma integration, to ensure that the fuel is in the proper alignment and is of the desired enrichment. After assembly, the fuel bundles are transferred to the fuel storage facility 118. The fuel storage facility 118 uses geometry control with regard to arrangement of the fuel bundles to prevent criticality and also utilizes concrete walls containing an absorbing material in the concrete matrix such that the concrete acts as a net absorber as opposed to a reflector. The metallic fuel produced herein may be used in a fast reactor system, such as a Power Reactor Innovative Small Module (PRISM), to create a sustainable fission reaction that generates heat and fission products. The heat is converted to steam, which is then converted to mechanical energy to produce electricity. The fission products result in a very high gamma radiation field and serve as a proliferation barrier to the diversion of this fuel to make weapons. The method disclosed herein also adds proliferation resistance by increasing the mass of the unit (e.g., increasing the mass of a 7 kg can of plutonium oxide to a half-ton fuel bundle) and downgrades the plutonium enrichment (e.g., from 100% to 20%). While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
description
The fuel cladding disclosed in accordance with this invention is designed to prevent the buildup of thick crud, i.e., more than 35 microns thick. The process by which thick crud deposits form is complex. The boiling rate is certainly an important factor. In PWRs with thick crud deposits, the location of the deposits corresponds to locations where boiling is predicted. However, boiling is not the only criteria for the buildup of thick crud on a fuel cladding surface. Calculation of boiling rates for different assemblies in different reactors has shown that, while the plants affected by thick crud have high boiling rates, there are some plants that have significant boiling but have only thin deposits of crud. The other factors affecting crud buildup are set forth below: Mechanism of Crud Deposition: The water in a pressurized water reactor contains corrosion products in several forms. The primary elements are iron and nickel, with lesser amounts of chromium and zirconium. These elements are totally dissolved ions, particulates or colloids. A totally dissolved metal consists of a metal ion surrounded by waters of hydration or counter ions such as the borate ion. The metal and its coordination sphere are near 1 nanometer in size. The colloidal corrosion products range between atomic dimensions and 450 nanometers. They are usually composed of metal oxides and their behaviors, such as agglomeration and attachment to surfaces, are strongly influenced by surface chemistry. Colloidal particles will not settle from a quiescent solution because Brownian forces are large compared to gravitational force. Corrosion product particles are larger than 450 nanometers. They are also composed of metal oxides. A pictorial comparison of the sizes of the three types of corrosion products are illustrated in FIG. 1. The dissolved ions in the coolant are represented by reference character 10, the colloids represented by reference character 12 and the metal particles represented by reference character 14. The cladding 16, figuratively shown in FIG. 2, is fabricated from zirconium alloys such as ZIRLO(trademark) or Zircaloy-4. These alloys react rapidly with air or water to form a zirconium oxide film 18. The film grows with time, as figuratively depicted by the arrow in FIG. 2. The film""s growth within the reactor occurs by diffusion of oxygen through the film to the metal oxide interface. Thus, the original surface characteristics remain largely intact as the cladding oxide 18 thickens over the course of a fuel cycle, as illustrated in FIG. 2. Oxide surfaces have been observed that retain the morphology of the original surface even after two fuel cycles in the reactor (three years). There are several possible processes by which water-borne corrosion products deposit on the fuel. They include precipitation of totally dissolved metal ions from solution, electrostatic/van der Waal attachment of colloids, and physical entrapment of small particles by the surface. Applicants"" study of fuel deposits, mathematical modeling of the deposition process and laboratory investigations of the properties of metal oxides at high temperature have all provided clues to which processes dominate. Initial deposition of colloids 12 onto the fuel surface 20 by electrostatic/van der Waal forces is unlikely because the zirconium oxide 18 on the fuel cladding and typical corrosion product oxides all are expected to have negative surface charges. This was suggested by trends in lower temperature laboratory work performed by Jayaweera et al., xe2x80x9cDetermination of High Temperature Zeta Potential and pH of Zero Charge of Some Transition Metal Oxidesxe2x80x9d, Colloids and Surfaces A, Physiochemical and Engineering Aspects 85 (1994) 19-27. More recently, high temperature surface charge studies by NRL on Fe3O4 and ZrO2 have supported this conclusion (Palmer et al., xe2x80x9cExperimental Study of the Surface Charge and Cation (Including Lithium Ion) Binding to Zirconium Oxide Surfacesxe2x80x9d, EPRI Robust Fuels Working Group I Meeting, Tampa, Fla., Feb. 27-28, 2001). Thus, the forces between colloidal particles 12 in the coolant and the cladding surface 20 will be repulsive as figuratively illustrated in FIG. 3, and deposition is not expected. Direct deposition of totally dissolved ions onto the zirconium oxide surface 20 by precipitation is unlikely. This is because the crystal structure of the zirconium oxide 18 on the cladding 16 and that of iron, nickel and chromium oxides that might precipitate within the core are quite different. Heterogeneous nucleation of crystals would be required. Heterogeneous nucleation does not occur at low supersaturation levels (Rudolf et al., xe2x80x9cTheory of Particulate Processes-Analysis and Techniques of Continuous Crystallizationxe2x80x9d, Academic Press, New York, 1971, p. 103), and supersaturation levels within the core are low. Temperature changes from the bottom to the top of the core with normal coolant chemistry (pH 6.9-7.2) produce supersaturation ratios of 1.08 or lower for both iron and nickel, the major crud components. When sub-cooled nucleate boiling is considered, the surface supersaturation ratio may increase in the laminar layer of flow at the cladding surface due to the affects of boiling, but this affect should increase the supersaturation ratio to only about 1.3. Somewhat higher concentrations may occur briefly in the microlayer under the individual bubbles, but it is unlikely that a crystal could nucleate and then grow to beyond the critical diameter required for stability before the bubble collapses. Deposition is most likely to be initiated by physical entrapment of small particles by surface defects. The particles then serve as seeds for further crystal growth, a process that cements the particles in place. Crystal growth can take place with very low super saturation ratios, once a seed is established. Boiling in the vicinity of the defect, which increases the local supersaturation ratio, accelerates the crystal growth process. The boiling occurs in the vicinity of trapped particles because the same defects that trap small particles also serve as boiling nucleation sites. Eventually, clusters of particles around multiple defects grow together to form a continuous deposit layer. The boiling nucleation sites turn into steam chimneys that particles and colloids that are then strained from the fluid. Dissolved ions precipitate as the deposit boiling process increases their concentration. Crystals within the deposit continue to grow in size and further cement the structure in place. The process is illustrated in FIGS. 4A-4D. FIG. 4A figuratively shows a corrosion product particle being detained by a surface defect. FIG. 4B illustrates that sub-cooled boiling at the defect increases the supersaturation level of dissolved metal ions. FIG. 4C illustrates that crystal growth takes place starting with the trapped particles serving as a seed. The original particle is cemented into place as it grows and it anchors daughter particles. FIG. 4D illustrates that the particle""s clusters at multiple surface defects grow together to form a continuous deposit layer. Boiling chimneys (steam paths) within the deposit develop and pump more coolant into the deposit. Colloids and particles are added to the deposit and cemented in place by the dissolved ions that precipitate due to the boiling concentration process. The growth of the deposit is eventually limited by attrition induced by the primary coolant flow. The flow of the primary coolant in the core of a typical PWR is near 17 feet/second (5.18 meters/second). Even with this high bulk flow, the velocity near the cladding wall is low, and deposits a few microns in thickness will not experience significant shearing force. However, as the deposit increases in thickness, portions of the deposit will extend further into the coolant flow and the shearing force increases. A typical coolant velocity profile is shown in FIG. 5. This force causes parts of the deposit to spall from the surface as figuratively illustrated in FIG. 6. The amount of force a deposit can resist and the limit of its thickness must be a function of how well the deposit is attached to the cladding surface 20. Applicants have found, through examination of crud deposits, that crud deposits are held to the surface at attachment points that occupy a small fraction of the crud cladding interface area. Anchoring the crud within surface scratches and other defects is no doubt an important factor in establishing the ultimate thickness of the deposit. The process of crud deposit growth just described is supported by applicants"" studies of crud deposits, some of the results of which are shown in FIGS. 7, 8, 9 and 10. The manufacturing process currently used by cladding manufacturers produces machine marks that run circumferentially around the cladding. The radial grinding process that imparts the final surface finish leaves these grooves or scratches. Crud deposits tend to follow these scratches and outline the scratches in regions where crud deposits are sparse. This observation is shown in FIG. 7 on cladding oxide that was removed from fuel cladding after two fuel cycles. Parallel lines of crud deposits can be clearly seen on some of the removed oxide flakes. FIGS. 7, 8 and 9 are scanning electron micrographs with FIG. 8 showing a cross-section of a detached cladding oxide that shows a thin crud layer projecting towards the bottom of the image. From the micrograph, it can be seen that the crud interlocks with the surface roughness features, but directly contacts the cladding oxide at relatively few places. A cross-section of a thicker crud deposit is shown in FIG. 9. Again, one can note how the deposit follows the features of the cladding oxide, but is not bonded to the cladding oxide directly at many locations. The final observation that is important background for this invention is that crud is not evenly distributed about the reactor core. The crud of any substantial thickness is only found in the top third of the core and is concentrated in spans 5 and 6 between grid locations. Within this section of the core, the thickest crud deposits are found mid-span to just before the spacer grids that hold the fuel rods in place. Surfaces within the grids and immediately after the grids have little or no crud deposits. The crud thickness profile follows the boiling profile. Little boiling occurs just above the grids due to the turbulence established by the grid mixing vanes. Predictions of deposit thickness, based on the boiling rate, match the observed thickness values reasonably well. The predicted thickness values, based on boiling rate and those observed on two typical rods, are shown in FIG. 10 where diamonds illustrate measured values and lines are predicted values for the left and right side of the fuel assembly face. Crud resistant fuel cladding is fuel cladding with a pattern of surface roughness that has been designed to minimize the buildup of thick crud. The portions of the cladding that now experience high mass evaporation rates in PWR cores are given a high polish. The high polish minimizes crud buildup by eliminating surface defects that trap the small particles that serve as nucleation sites for crud growth. The high polish, that is a polish that eliminates surface defects greater than approximately 0.1 microns, also minimizes crud buildup by changing the character of the sub-cooled boiling that takes place. Sub-cooled boiling is suppressed because of a lack of initiation sites, and when it does occur, the bubbles will form randomly across the surface rather than at fixed locations. When the boiling is more evenly and randomly distributed, the tendency to build up thick patches of crud will be reduced. The high polish will also remove sites that firmly anchor the crud to the cladding. The growth of thick crud will be discouraged since the high shear forces exerted by the fast moving coolant will remove the poorly anchored crud. While the crud-resistant cladding is given a high polish in the areas that now experience the most sub-cooled nucleate boiling, the areas that have low boiling rates or do not boil are given a coarse finish. These rough-polished areas of the cladding will serve as xe2x80x9cgettersxe2x80x9d for coolant corrosion products. Crud removed from the coolant onto the rough surfaces will not be available for deposition on surfaces where thick crud deposits have been known to develop. Thus, a thinner and more even distribution of crud is achieved. The crud thickness will not exceed 35 microns at any point, and significant amounts of boron and lithium buildup will be avoided. No surface can be without defects, but the highly polished surface must be smooth enough that any surface defects are small enough to exclude the particulate; matter that is present in a given coolant system. The maximum size for a surface defect on the highly polished surface of crud-resistant cladding is approximately 0.1 microns. Smaller colloidal particles may be present in the coolant, but surface charge and electrical repulsion and attraction, rather than physical entrapment, dominate their behavior. A maximum limit for surface defect size of approximately 0.1 microns is also suggested by boiling nucleation experience. Davis et al., xe2x80x9cThe Incipient of Nucleate Boiling in Forced Convection Flowxe2x80x9d, A.I.Ch.E. Journal 4, 1966 p 774-779, has indicated that surface defects that nucleate sub-cooled boiling are on the order of 1 micron across, so the maximum surface defect size should be well below this value. There are a number of embodiments of crud-resistant cladding that can be employed in accordance with this invention. In the first embodiment, illustrated in FIG. 11, the high polish is applied to the entire upper portion of the cladding 16, as the shaded area 22. The high polish 22 spans the length of the cladding where thick crud deposition has been observed on high-powered fuel. This would be span 5A and above for the current Westinghouse V5H assembly design. In terms of elevation, cladding more than 95 inches (2.41 meters) from the bottom of the assembly would be highly polished. This represents the upper 37% of the power-producing portion of the fuel element. The entire length of the cladding 16 could also be polished, depending on the specific reactor application. A second embodiment is illustrated in FIG. 12, in which the high polish is applied to the upper portion of the cladding, but in a different pattern from the first embodiment in that the polish is applied in bands 22. The polish is applied to only the free-span regions of the cladding between spacer grids where boiling would occur with a rough surface. The surface is left in a rough condition within the grids where the power level is lower and the turbulence is higher than the free-span areas and no boiling occurs. The cladding is also not polished for a short distance above each grid intersection where turbulence induced by the grid vanes improves heat transfer and suppresses boiling. The area shown in FIG. 12 that is not shaded 24 is slightly roughened or abraded. The affect of this surface finish will be to lower the crud deposits in the upper sections of spans 5A, 5B, 6A and 6B while maintaining or increasing the crud thickness within the grids and free-span areas just above the grids. Thus, the critical thickness of 35 microns needed to concentrate lithium and boron to high levels will not be reached and the crud will be more evenly distributed. This effect is graphically illustrated in FIG. 13 which shows the crud thickness from boiling rates in measured average thickness values previously illustrated in FIG. 10, with the predicted affect of the second embodiment on crud distribution superimposed and identified by reference character 26. In a third embodiment of this invention, portions of the cladding 16 are given a high polish as in the first two embodiments. In addition, small hillocks or bumps 28 are added to the polished section. These hillocks are sized to increase turbulence and disrupt the laminar sublayer of fluid that contacts the cladding. This improves heat transfer with the result that the surface of the tubing is cooler and sub-cooled nucleate boiling is reduced or eliminated. However, the hillocks and the areas surrounding the hillocks are smooth, with no defects larger than approximately 0.1 microns in diameter. Crud buildup is discouraged by the combination of decreased boiling, increased flow turbulence and the lack of particle entrapments sites. The ideal height of the hillocks is near 12 microns and their diameter is near 37 microns. The distance between the hillocks preferably ranges between 138 and 185 microns. Other hillock sizes and shapes may be used, provided that the turbulence at the surface is increased without providing particle entrapment sites. The hillocks provide additional benefit by protecting the surrounding polished surface from damage by abrasion. This is particularly true if the hillocks are topped with a hard material with a low co-efficient of friction such as zirconium oxide. This would minimize damage to the fuel cladding when it is loaded into grid assemblies and handling damage would be reduced. Damage by water-borne debris would also be reduced. There are many ways by which the patterned surfaces described in the first two embodiments of the invention can be produced. Sufficiently smooth surfaces can be produced by mechanical polishing, chemical polishing (pickling), electropolishing and by laser surface melting. The hillocks can be produced by several means as well. One way is pictorially illustrated by the flow chart shown in FIG. 15. In the process illustrated, a mask 30 that is impervious to a chemical polishing or electropolishing solution, is printed on the surface 20 of the cladding 16 at each location where a hillock 28 is desired. The surface 20 of the cladding 16 is polished to preferably remove 12 microns of material. The mask 30 is then removed in step 34, exposing the underlying hillock 28. The coarse surface of the hillock 28 is thus surrounded by a highly polished surface 20 of the cladding 16. Another technique for creating the desired hillocks 28 on a highly polished surface 20 is illustrated by the flow chart provided in FIG. 16. Instead of employing a mask 30, shown in the method of FIG. 15, a zirconium oxide spot pattern 40 can be produced on the cladding surface 20 in the area where the desired hillock is to be produced. Electropolishing and chemical polishing solutions attack zirconium oxide at a very slow rate. The hillock 28 that is left will be topped with an abrasion-resistant oxide that will protect the surrounding smooth surface. Laser spot heating in an oxygen containing gas can produce the desired oxide pattern on the cladding surface 20. An arc discharge 38, especially an arc discharge 38 in a conducting aqueous solution 42, can also produce the oxide pattern. A third method illustrated by the flow chart shown in FIG. 17, which provides the most flexible way for producing hillocks surrounded by a highly polished surface, is to employ laser surface melting. In this process, zirconium oxide powder or stabilized zirconium oxide powder is first printed onto the surface 20. The zirconium oxide spot is held in place with a binding agent. The laser 46 is passed across both the oxide 44 and the tubing surface 20 of the cladding 16, producing an abrasion-resistant hillock on a smooth surface. Thus, a corrosion-resistant coating is produced by refining the cladding surface 20 by polishing or other means so that the maximum size for a surface defect in the areas that are prone to crud collection is approximately 0.1 microns. Accordingly, the cladding of this invention can be employed in a fuel assembly 48 as the outer surface of a plurality of fuel elements 50 maintained in a spaced array by the grids 52 as shown in FIG. 18. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
055641059
description
DESCRIPTION OF THE PREFERRED PRACTICES In a preferred practice of the present invention, a radioactive aqueous waste solution is generated in the course of decontaminating the reactor coolant system of a pressurized water reactor by a LOMI process, a CAN-DECON process or a CAN-DEREM process, which is a CAN-DECON improvement that does not employ oxalic acid. The above-mentioned M. E. Pick et al. paper from Nuclear Energy is hereby incorporated by reference for its discussion of these processes and various permanganate oxidation processes which may be employed in alternating steps to decontaminate entire reactor coolant systems or their components. In these decontamination processes, an aqueous solution at about 180.degree. F. to about 210.degree. F. or more and containing a cation-complexing agent is circulated throughout the system to dissolve iron and other metals in radioactive oxide films on the wetted surfaces of vessels, pipes, pumps and the like. Thus, the aqueous solution will contain up to about 1000 ppm or more of one or more carboxylic acids such EDTA, hydroethylenediamine-tetraacetic acid (HEDTA), nitrilotriacetic acid (NTA), oxalic acid, citric acid, picolinic acid, or formic acid or salts thereof. As the aqueous solution circulates through the system, it becomes contaminated with complexes of iron (Fe.sup.+2 and/or Fe.sup.+3), cobalt (Co.sup.+2), nickel (Ni.sup.+2 and/or Ni.sup.+3), chromium (Cr.sup.+3 and/or Cr.sup.+6), manganese (Mn.sup.+2) or other metals from the surface oxides. In the preferred practice of the present invention in the course of decontaminating a nuclear facility, an aqueous solution containing less than about one percent by weight of a carboxylic complexing agent contaminated principally by iron, cobalt and nickel as well as other cations, is pumped out of the reactor coolant system after the solution has circulated throughout the system for up to about thirty minutes to an hour or longer. An oxidizing agent is then added to the contaminated solution to oxidize the complexing agent and thereby to destroy the complex. Accordingly, ozone, hydrogen peroxide or a chlorite solution may be added to the contaminated solution, which reacts with the complexing acid to form carbon dioxide and water. This oxidation step may be enhanced with ultraviolet light. The temperature of the solution is preferably maintained from about 140.degree. F. to about 212.degree. F. Advantageously, the cations tend to precipitate and may then be separated from the solution. Iron may also be magnetically separated from the solution. Centrifugal filtration or micromembrane belt filtration may be employed to separate the cations. The small volume of precipitate may then be buried. The water may be reused or further treated and released for other uses. The present invention was demonstrated by fifteen gallon batch tests performed on surrogate contaminated, CAN-DECON/CAN-DEREM and LOMI solutions from simulated pressurized water nuclear reactor coolant system decontamination processes. In a first test, a contaminated CAN-DECON/CAN-DEREM solution was simulated by an aqueous solution containing 1000 ppm of a well known London Nuclear Company decontaminant agent known as LND 107 (which comprises about 60% EDTA and 40% citric acid with 360 ppm Total Organic Carbon ([TOC]), 650 ppm boron, 113 ppm Fe.sup..sup.+2, 21.5 ppm Ni.sup.+2 and 1.27 ppm Co.sup.+2. The boron is employed in pressurized water reactor coolant systems as a moderator. The temperature of the solution was maintained at about 180.degree. F. and hydrogen peroxide was added in three additions over a period of about 50 minutes. At the end of this test, the solution contained about 3.5% hydrogen peroxide and 0.03 ppm Fe. The cobalt and nickel concentrations were not determined and would, if necessary in a commercial facility, be reduced by pH control. The iron from the destroyed ion complexes precipitated from the solution. FIG. 1 shows that the TOC of the solution was reduced from 360 ppm to less than about 50 ppm in about 50 minutes. In a second test, a contaminated CAN-DECON/CAN-DEREM solution was simulated by an aqueous solution containing 1000 ppm of decontaminant agent LND 107 (which comprised about 60% EDTA and 40% citric acid with 360 ppm TOC), 650 ppm boron, 113 ppm Fe.sup.+2, 21.5 ppm Ni.sup.+2 and 1.27 ppm Co.sup.+2. Hydrogen peroxide was continuously added to the solution over a period of about 20 minutes. At the end of this test, the solution contained 0.1% hydrogen peroxide and less than 1 ppm Fe.sup.+2 and had a final pH of 6.6. The cobalt and nickel concentrations were not determined. The iron from the destroyed complexes precipitated from the solution. FIG. 2 shows that the TOC of the solution was reduced from about 300 ppm to a negligible amount in about ten minutes. A comparison of FIGS. 1 and 2 indicates that the continuous addition of the oxidizing agent is more effective in reducing the TOC than is periodic addition of the agent. In a third test, a contaminated LOMI solution was simulated by an aqueous solution containing 32 ml vanadous formate/liter solution, 103 ppm Fe.sup.+2, 60 ppm Ni.sup.+2 and 2.3 ppm Co.sup.+2. The initial TOC was 2040 ppm and the pH was 4.7. Initially, ultraviolet light was employed to oxidize the formate ions and the hydrogen peroxide concentration of the contaminated solution was less than about 0.25%. FIG. 3 shows that the TOC of the solution was substantially unchanged during this period and substantially no precipitation occurred in this time. Iron precipitation later occurred when the hydrogen peroxide concentration of the solution was raised up to 8%. The present invention is also useful to concentrate heavy metals such as mercury and lead which are washed from equipment or soil by soap or detergent solutions. The present invention is also useful in similar processes where hazardous substances such as dioxins, furans and polyphenols are emulsified by soap or detergent solutions. While a present preferred embodiment of the present invention has been shown and described, it is to be understood that the invention may be otherwise variously embodied within the scope of the following claims of invention.
053717694
abstract
A grid structure is presented in which grid cells are formed by orthogonally intersecting straps prefitted in the longitudinal direction with a plurality of slitted spring frame members. Therefore, when the straps are assembled, the spring frame members are also orthogonally intersected. The spring frame members are made of Inconel and provided with welding tabs while the straps are made of zircalloy and provided with their own welding tabs. Therefore, welding can be performed to firmly join the spring frame members to each other while the straps can also be welded firmly to weld the like metals. The spring sections are thus firmly joined and attached to the straps which are firmly joined. The grid of this configuration thus provides an excellent service life without the losing The fuel rod retaining power, which would result from neutron radiation and thermal damages on holding devices made of zircalloy.
description
This application is a divisional of U.S. application Ser. No. 11/060,625, filed on Feb. 18, 2005, the contents of which are incorporated herein by reference, and is based on and claims the benefit of priority from the prior Japanese Patent Application Nos. 2004-042822, filed on Feb. 19, 2004; 2004-087422, filed on Mar. 24, 2004; and 2004-157319, filed on May 27, 2004. 1. Field of the Invention The present invention relates to fast reactors having a reflector control system and neutron reflectors therefor, and more particularly, relates to a fast reactor having a reflector control system and a neutron reflector therefor, the fast reactor controlling reactivity of a reactor core through adjustment of leakage of neutrons leaked therefrom by moving the neutron reflector in a vertical direction which is disposed outside the reactor core immersed in a liquid metal coolant. 2. Description of the Related Art In general, in nuclear reactors such as a fast reactor, as a method for controlling the reactivity of a reactor core, for example, a method using a control rod and a method for controlling a leak rate of neutrons may be mentioned as disclosed in Japanese Patent Nos. 2835161 and 2892824. Of the methods mentioned above, a method for controlling a leak rate of neutrons is suitably used for a nuclear reactor having a small reactor core, and by way of example, structures shown in FIGS. 33, 34, and 35 may be mentioned. For example, in a nuclear reactor 200, as shown in FIG. 33, a reactor core 202 is placed at a central position of a reactor vessel 201 and is enclosed by a core barrel 203. In addition, the reactor vessel 201 is filled with a liquid metal coolant 204 such as sodium metal. FIG. 34 is a schematic cross-sectional view of the fast reactor shown in FIG. 33 taken along the line indicated by arrows XXXIV, the fast reactor being viewed along the direction shown by the arrows XXXIV. For example, as shown in FIG. 34, in an area enclosed by the core barrel 203, 18 hexagonal fuel assemblies 205 are disposed, and at the central place of the fuel assemblies 205, a channel 206 for a neutron absorbing rod (hereinafter referred to as “neutron absorbing channel”) is provided. The neutron absorbing channel 206 is used for the reactivity control of the reactor core 202 and is pulled out upward in operation. In addition, reference numeral 207 indicates a guard vessel, that is, a protective container surrounding the reactor vessel 201. In FIG. 33, outside the core barrel 203, a partition 208 is provided with a space interposed therebetween, and in addition, in this space interposed between the partition 208 and the core barrel 203, a neutron reflector 209 which moves along the reactor core 202 and a neutron reflector drive device 210 are provided. The neutron reflector drive device 210 moves the neutron reflector 209 by driving a drive rod 211 fitted thereto. In addition, the space between the core barrel 203 and the partition 208 is a movement zone Z in which the neutron reflector 209 is moved during operation of the reactor core 202 and through which the coolant 204 is allowed to flow. Furthermore, between the partition 208 and the reactor vessel 201, a great number of neutron shielding members 212 are provided. The neutron shielding members 212 are provided for restricting the amount of neutron radiation of the reactor vessel 201 to a predetermined value or less which is determined for each plant in accordance with the life thereof, and are each formed of a plurality of neutron shielding rods 212a. As the neutron shielding member 212, in addition to a structural member formed of stainless steel, for example, there may be mentioned a pin accommodating a B4C ceramic containing boron which has a high neutron absorbing ability, a metal, such as hafnium or tantalum, or a material containing a compound of the material mentioned above. In addition, in Japanese Patent No. 3126502, a technique of enhancing a reactivity control ability of the neutron reflector 209 has been disclosed in which, for example, as shown in FIG. 35, a neutron absorber or a neutron transmitting material (hereinafter referred to as “cavity”) 214, which has a lower neutron reflection ability than that of the coolant 204, is placed at an upper region of a neutron reflector. The reactor core 202, core barrel 203, partition 208, neutron reflector 209, and neutron shielding members 212 are provided on a supporting structure 215 formed at the bottom portion side of the reactor vessel 201. The supporting structure 215 has a great number of holes through which the coolant is allowed to flow, and in addition, between the supporting structure 215 and the reactor vessel 201, a bottom plenum 216 is provided. In addition, at a head portion side of the neutron shielding members 212 provided between the partition 208 and the reactor vessel 201, an intermediate heat exchanger 218 and an electromagnetic pump 219 are provided. The intermediate heat exchanger 218 is provided with a secondary coolant-path pipe 220. An opening of a head portion of the reactor vessel 201 is closed with a shielding plug 222, and the inside of the reactor vessel 201 is filled with the liquid metal coolant 204 such as liquid sodium. In addition, between the coolant 204 and the shielding plug 222, a head plenum 223 filled with an inert gas is formed. FIG. 36 is a vertical cross-sectional view of the fuel assembly 205 of the nuclear reactor and the vicinity of the fuel assembly 205. As shown in FIG. 36, the fuel assembly 205 has a fuel pin 226 received in a hexagonal-shaped wrapper tube 225 made of stainless steel and neutron shielding members 227a and 227b provided at a head portion and a bottom portion, respectively, of the wrapper tube 225. The fuel pin 226 is formed of a fuel portion 226a and a plenum portion 226b in which gas components generated by nuclear fission are to be enclosed. This fuel pin 226 helps the coolant 204 to flow through the wrapper tube 225 by a wire wrap or a grid design (both of which are not shown in the figure), and one end of the fuel pin 226 is inserted into the wrapper tube 225. In addition, the fuel assembly 205 has a coolant outlet 229 at a head portion side and a coolant inlet 230 at a bottom portion side, and in addition, an entrance nozzle 231 having the coolant inlet 230 therein is fitted to a core holding plate 232. In a nuclear reactor having the structure described above, the coolant 204 is circulated by a drive force of the electromagnetic pump 219 in the reactor vessel 201 in a direction indicated by arrows and is allowed to flow inside the reactor core 202. The coolant 204 which flows inside the reactor core 202 takes away heat therefrom. The coolant 204, which flows inside the partition 208 from the bottom portion side to the head portion side and which takes off the heat from the reactor core 202, enters the reactor core 202 and absorbs the heat generated by nuclear fission therein, and hence the temperature of the coolant 204 is increased. The coolant 204 thus heated enters the intermediate heat exchanger 218 to exchange heat with a secondary coolant, and as a result, the temperature of the coolant 204 is decreased. After the coolant 204 thus cooled flows through the intermediate heat exchanger 218, the pressure of the coolant 204 is increases by the drive force of the electromagnetic pump 219 and is supplied to the supporting structure 215 through the area outside the partition 208 in which the neutron shielding members 212 are provided. Furthermore, after supplied to the bottom plenum 216, the coolant 204 flows to the bottom portion side of the reactor core 202 and again enters the reactor core 202, so that the coolant 204 is repeatedly circulated as described above. On the other hand, by the drive force of the neutron reflector drive device 210 provided on the shielding plug 222, the neutron reflector 209 is moved in the space between the core barrel 203 and the partition 208 along the reactor core 202 so as to adjust the leakage of neutrons from the reactor core 202 and so as to compensate for the change in reactivity caused by the burn-up in the reactor core 202. As shown in FIG. 37, the reactor core 202 is not divided and is formed of a fuel containing a fissile material at a constant ratio in the axial direction. Next, the analysis of a fast reactor core having specifications shown in FIG. 38 was performed by way of example. That is, the following are assumed that a fast reactor core, which has a thermal power of approximately 130 MW, a core diameter of approximately 130 cm, and a core height of 200 cm and which uses a metal compound U—Zr of a uranium concentrate as a fuel, is operated for approximately 30 years without refueling, and that, in order to compensate for the change in reactivity caused by the fuel burn-up, the operation is performed while a stainless steel-made reflector having a length of 200 cm and a thickness of 30 cm is being lifted up at a predetermined rate. The calculated results of the change in reactivity of the fast reactor in this case are shown in FIG. 39. In the case described above, the following are also assumed that when the top end of the reflector is placed at a position lower than the bottom of the reactor core, the reactor is in a subcritical state, that is, in a shutdown state; at the initial burn-up stage, when the reflector is lifted up so as to cover the reactor core in a region from the bottom to a position of approximately 75 cm therefrom in an upward direction, the critical state is obtained; and subsequently, while the operation is being performed at a constant power, the decrease in reactivity caused by burn-up is compensated for by the rise of the reflector, and the entire reactor core is covered with the reflector after 30 years. According to the results thus obtained, during operation for up to approximately 15 years, which is at the middle burn-up stage, the reactivity is maintained constant or is slightly increased. However, after the middle burn-up stage, the reactivity is gradually decreased and becomes considerably low at the last burn-up stage. In order to find out the reasons for this tendency, the reactivity is divided into two components as shown in FIG. 39. That is, one component is the change in reactivity caused by the change in composition of the fuel resulting from the burn-up thereof, and the other component is the change in reactivity caused by the movement of the reflector in the axial direction. As shown in FIG. 39, due to the change in composition of fuel caused by the burn-up, the reactivity tends to be decreased at a constant rate. The amount of a fissile material, U-235, contained in fuel elements is monotonously decreased by burn-up. However, since a fissile material, Pu-239, is produced from U-238, the decrease in reactivity is suppressed at the initial burn-up stage. Although, when a certain amount of Pu-239 is produced as the burn-up proceeds, by the burn-up of Pu-239 itself and by the decrease of U-238 which is a parent nuclide of Pu-239, the production amount of Pu-239 tends to be saturated. Hence, the reactivity tends to be gradually decreased. On the other hand, by the movement of the reflector in the axial direction, the reactivity is increased at an approximately constant rate at the initial burn-up stage. However, after the middle burn-up stage, the rate of increase in reactivity tends to be gradually decreased. As a result, in combination of the burn-up effect of fuel and the movement effect of reflector, as shown in FIG. 39, the total reactivity is slightly increased until the middle burn-up stage, and subsequently, the reactivity is decreased with time. In order to increase the reactivity at the last stage, when the reflection ability of the reflector is increased (for example, the thickness thereof is increased), the reactivity is excessively increased around the middle burn-up stage. On the other hand, when the reflection ability is decreased, the increase in reactivity at the middle stage can be suppressed. However, the reactivity at the last stage is more decreased than that shown in FIG. 39. The reactivity input by the reflector will be described in detail with reference to FIG. 40. FIG. 40 shows the change in reactivity caused by the movement of the reflector in the axial direction. The insertion depth of the reflector in FIG. 39 corresponds to distance between top of reflector and bottom of reactor core. As described above, in operation at the initial burn-up stage, the degree of insertion of the reflector is approximately 40% (insertion depth of 75 cm), and when the degree of insertion is increased from the state described above, the reactivity is approximately linearly increased. However, when the degree of insertion exceeds 60% (insertion depth of 120 cm), the increase in reactivity tends to be saturated. Hence, as shown in FIG. 39, the rate of increase in reactivity by the reflector is gradually decreased from the middle burn-up stage toward the last stage. The reasons for this tendency are that the reactivity value of the reflector is highest at the center of the reactor core at which the neutron flux is high, and that an effect obtained when the periphery of the reactor core is newly covered with the reflector becomes smaller than that obtained when the central portion of the core is newly covered with the reflector. By the reasons described above, as long as the lifting speed of the reflector is maintained constant, the decrease in reactivity caused by the burn-up of core fuel cannot be totally compensated for by the movement of the reflector in the axial direction. Since the decrease in reactivity causes the decrease in thermal power, the thermal power with time cannot be maintained constant, and a small amount of thermal power can only be obtained around the last burn-up stage; hence, as a result, an uneconomic plant is disadvantageously to be constructed. The tendency of the change in reactivity by the burn-up may be changed depending on design of the reactor core in some cases. However, unlike the case shown with reference to the above example, even when plutonium is used instead of the uranium concentrate, or even when the relationship between the length of the reflector and the length of the reactor core, which is determined in accordance with an operation period, is changed so that the length of the reflector may be larger or smaller than that of the core, it has been known that the tendency of the decrease in total reactivity around the last burn-up stage is not substantially changed. One method for overcoming the decrease in reactivity described above is to control the lifting speed of the reflector with time. However, the control of the lifting speed may cause accidents in some cases due to excessive increase in reactivity resulting from malfunctions or failures of control devices. In order to avoid the accidents described above, a proposal has been disclosed in Japanese Patent No. 3131512 in which reactivity feedback is used which is generated by changing an inlet temperature of a coolant using the control of a flow rate of water supplied to a steam generator so as to maintain the power at a constant rate. In this Japanese Patent No. 3131512, the flow rate of water is controlled in accordance with thermal power of the steam generator, and the inlet temperature of a primary coolant is controlled through a secondary coolant, an intermediate heat exchanger, and the primary coolant. By this temperature feedback, it is intended to maintain the power of the reactor core at a constant rate. However, the range of the thermal power which can be controlled by the control of the flow rate of water supplied to the steam generator is limited, and the control described above can be effectively performed only when the range of variation in thermal power is reduced to a small value by the reactivity control carried out only by the reflector. In addition, in the case in which a method for controlling a lifting speed of a reflector is carried out without performing the control described above, in view of safety, it is important that a time required for the control and a range of the lifting speed therefor be reduced as small as possible. Hence, as is the case described above, the range of variation in thermal power must be reduced to a small value by the reactivity control carried out only by the reflector. In order to solve the problems of related techniques described above, the present invention was made, and an object of the present invention is to provide a fast reactor having a reflector control system and a neutron reflector therefor, the fast reactor being capable of maintaining the reactivity of reactor core at a constant rate so as to obtain an efficient plant having a constant thermal power. The fast reactor having a reflector control system, described above, can be achieved by, without performing control of a lifting speed of a reflector and control of a flow rate of water, decreasing the change in reactivity of the fast reactor with time, and in particular, by suppressing a decrease in reactivity at a last burn-up stage and an increase in reactivity at a middle burn-up stage. To these ends, in the present invention, in order to decrease the change in reactivity caused by burn-up, the structure of reflector is variously designed. In particular, the reflector is divided into a plurality of regions in the axial direction, and the fast-neutron reflection ability is adjusted in the individual regions. Before the structure of the reflector mentioned above is described in detail, the evaluation results of reflector properties will first be described. FIG. 41 is a graph showing typical neutron energy dependence of reflector reactivity, which is obtained by numerical calculation, the reflector being formed of ferrite-base stainless steel (such as 9Cr-1Mo steel). In this case, the structure of the reactor core is equivalent to that shown in FIG. 38. As shown in FIG. 41, a neutron energy in the range of 107 eV (10 MeV) to 104 eV (10 keV) has a significant influence on the reflector reactivity, in particular, a neutron energy in the range of 106 eV (1 MeV) to 105 eV (0.1 MeV) has a dominant influence thereon. The reflector reactivity represents the ability of reflecting neutrons. Since the reflection of neutrons is generated by scattering thereof, except for a reflector having a very large neutron absorption cross-section, the neutron reflection ability of a reflector is approximately determined by the neutron scattering cross-section. In particular, from FIG. 41, it is expected that a material which has a larger neutron reflection cross-section in a range of from 106 eV (1 MeV) to 105 eV (0.1 MeV) has a high ability as the reflector. FIG. 42 is a graph showing the neutron scattering cross-section in a high speed energy region of iron, chromium, and nickel, that is, primary elements of ferritic stainless steel or austenitic stainless steel which are candidate materials for a reflector, and graphite which is also a candidate material for a reflector. According to FIG. 42, in a neutron energy in the range of 106 eV (1 MeV) to 105 eV (0.1 MeV), it is understood that chromium and nickel have a larger neutron scattering cross-section than iron, and that graphite has a larger neutron scattering cross-section on the average than chromium and nickel. Hence, it is expected that in ferritic stainless steel and austenitic stainless steel, which are each primarily composed of iron, chromium, and nickel, a higher neutron reflection ability is obtained as the content of chromium and nickel is increased, and that, in addition, graphite has a higher neutron reflection ability than ferritic stainless steel and austenitic stainless steel. FIG. 43 is a table showing, for purposes of comparison, the reflector reactivities of graphite, ferritic stainless steel (such as 9Cr-1Mo steel), and austenitic stainless steel (such as Type 316 steel), the two types of stainless steel mentioned above having different elemental compositions therebetween. The range of the values shown in the table is due to the range of specification of a reactor core and a reflector. FIG. 44 is a table showing the compositions of ferritic stainless steel and austenitic stainless steel (however, elements C, Si, and the like at a small content, such as one percent by weight or less, are not shown in the table). Although depending on the specifications, such as compositions and dimensions of reactor core, and dimensions of reflector, it is understood that, as can be expected as described above, the reflector reactivity made of ferrite-base stainless steel or austenite-base stainless steel is increased as the contents of chromium and nickel are increased, and that, in addition, graphite has the largest reflector reactivity. In FIG. 45, a typical composition of high nickel steel is shown which has a higher nickel content than that of austenitic stainless steel. This steel is an alloy named PE 16, which is developed and manufactured by Special Metal Corporation, USA/UK), and since the total content of chromium and nickel reaches up to approximately 60%, in view of reflector reactivity, this alloy is more advantageous. Although C, Si, Cu, B, Zr, and the like (maximum weight ratio of approximately 0.033) are contained, the elements mentioned above are not shown in FIG. 45 (see http://www.specialmetals.com/minonpe16.htm). FIG. 46 is a graph showing the relationship between the thickness of a reflector in the radial direction and the reflector reactivity, and from this figure, regardless of the reflection material, it is understood that the reflection reactivity is increased as the thickness of the reflector is increased. In addition, it has been known that the effect of the change in density is the same as that obtained when the thickness is physically changed, and that in the case in which the same composition and the same thickness are used, the reflector reactivity is increased as the density is increased. Further, it has been known that when stainless steel, particularly austenitic stainless steel, is used, voids are formed since atoms are kicked out by radiation of fast neutrons, and that as the amount of neutron irradiation is increased, the growth of voids proceeds, resulting in a serious phenomenon called swelling (expansion). This phenomenon also degrades the material, and hence when the amount of irradiation is large, necessary measures must be taken. Furthermore, it has also been known that when ferritic stainless steel is used instead of austenitic stainless steel, the swelling is suppressed; hence, although the reflector reactivity is inferior to that of austenitic stainless steel as described above, resistance against swelling is superior, and hence ferritic stainless steel has been used as a reflector material. However, when austenitic stainless steel which contains chromium and nickel at a high ratio is partially used, the axial direction dependency of reflector reactivity can be advantageously changed. A fast reactor having a reflector control system, according to the present invention, is to solve the above problems based on the investigation results described above. The fast reactor having a reflector control system, described above comprises: a liquid metal coolant; a reactor core containing a fuel and immersed in the liquid metal coolant; and a neutron reflector which is provided outside the reactor core and which is moved in a vertical direction for adjusting leakage of neutrons from the reactor core for controlling the reactivity thereof. In addition, in the fast reactor described above, the neutron reflector is gradually moved in an upward direction in accordance with the change in reactivity caused by burn-up of the fuel, and at least a part of a lower region of the neutron reflector is a high reflection region having a high fast-neutron reflection ability as compared to that of the other region. A neutron reflector of the present invention is to solve the problems described and is to be used in a fast reactor having a reflector control system, the fast reactor comprising a liquid metal coolant, a reactor core immersed therein, and a neutron reflector provided outside the reactor core and being moved in a vertical direction for adjusting leakage of neutrons from the reactor core for controlling the reactivity of the reactor core. The neutron reflector described above has a high reflection region at least at a lower side thereof, the high reflection region having a high fast-neutron reflection ability as compared to that of the other region. In the fast reactor having a reflector control system, according to the present invention, the change in reactivity with time can be decreased which occurs when the lifting speed of the reflector is maintained constant. In particular, when the reactivity is maintained as constant as possible by suppressing the decrease in reactivity at the last burn-up stage and the increase in reactivity at the middle burn-up stage, a plant having a constant thermal power and a high operational efficiency can be operated while control of the flow rate of water is not carried out or while the control thereof is only performed within a small control range. In addition, since the control of the lifting speed of the reflector becomes unnecessary, reactivity input caused by malfunction of a control device can be eliminated in principle, and hence the safety can be improved. Even when the control of the lifting speed is performed, since the control range thereof can be reduced, the reactivity input caused by malfunction of a control device can be reduced, and hence the safety can also be improved. Similar effect can be obtained if differential reflector reactivity per unit movement upward can be maintained constant by changing axially the fissile material concentration in the reactor core. Then, the change in total reactivity due to fuel burn-up and reflector movement can be suppressed during the entire serviceable life thereof. Hence, a plant can be obtained having a uniform thermal output and a high operation efficiency. A fast reactor having a reflector control system (hereinafter simply referred to as “fast reactor”) and a neutron reflector according to embodiments of the present invention will be described hereunder with reference to figures. Since the entire structure of the fast reactor is substantially equivalent to that of the fast reactor 200 shown in FIG. 33, the description thereof will be omitted in the individual embodiments. FIG. 1 is a vertical cross-sectional view of a fast reactor 100 of a first embodiment according to the present invention. In this embodiment, the same reference numerals of the constituent elements of the fast reactor 200 shown in FIG. 33 designate constituent elements substantially equivalent thereto, and descriptions thereof will be omitted. The fast reactor 100 is different from the related fast reactor 200 in terms of the structure of the neutron reflector 209. That is, although the neutron reflector 209 of the fast reactor 200 is a one-piece product which is not divided and which is formed of the same material in the axial direction, the neutron reflector of the fast reactor 100 is formed of an upper reflector 25 and a lower reflector 26. FIG. 2 is an enlarged vertical cross-sectional view showing the positional relationship among the reactor core 202, the upper reflector 25, and the lower reflector 26 of the fast reactor 100. As shown in FIG. 2, for example, a height H1 of the upper reflector 25 is 120 cm, a height H2 of the lower reflector 26 is 80 cm, and a total height H (=H1+H2) is 200 cm which is equivalent to the height of the reactor core 202. A thickness T of each of the upper reflector 25 and the lower reflector 26 is set to 30 cm, and a radius R of the reactor core is set to 60 cm. The upper reflector 25 is formed of ferritic stainless steel, and the lower reflector 26 is formed of austenitic stainless steel. As shown in FIG. 44, although the two types of stainless steel described above are both primarily formed of iron, the total ratio of chromium and nickel of the upper reflector 25 (ferritic stainless steel) and that of the lower reflector 26 (austenitic stainless steel) are 9% and 31%, respectively, that is, the latter has a very high total ratio of chromium and nickel. As shown in FIG. 43, the austenitic stainless steel having high ratios of chromium and nickel has higher reflector reactivity than that of the ferritic stainless steel. As can be seen from FIG. 42 showing a graph of the relationship between a scattering cross-section and a reflector material, chromium and nickel each have a scattering cross-section larger than that of iron at a neutron energy of 1 MeV to 0.1 MeV. Hence, the austenitic stainless steel having a higher total content of chromium and nickel and having a higher fast-neutron reflection ability than that of ferritic stainless steel forms a region from the bottom end of the reflector to a place at two fifths of the height of the reflector from bottom end thereof, and the ferritic stainless steel having a low fast-neutron reflection ability forms the remaining upper portion. FIG. 3 is a schematic view showing movement of the reflector in operation. When the degree of insertion of the reflector in an upward direction is represented by a relative ratio with respect to the height of the reactor core, the ratios at the initial burn-up stage, the middle burn-up stage, and the last burn-up stage are 40%, 70%, and 100%, respectively, and the moving speed of the reflector is set constant. FIG. 4 is a graph showing the relationship between the insertion depth of the reflector (which corresponds to distance between top of reflector and bottom of reactor core) and the reactivity. In a comparative example in which the reflector is formed of ferritic stainless steel having a single composition, the increase in reactivity by the reflector is slowed at the last burn-up stage. The reason for this is as described before. On the other hand, in this embodiment, it is found that the reflector reactivity is increased at the insertion depth between 70% (corresponding to 140 cm from the bottom) and 100% (200 cm). This increase in reactivity is caused by the austenitic stainless steel having high ratios of chromium and nickel which starts to face the reactor core at an insertion depth of approximately 70%. FIG. 5 is a graph showing the change in reactivity in operation of the fast reactor of the first embodiment. In the comparative example, the reactivity tends to decrease at an operation period of approximately 15 years. However, according to this embodiment, the decrease in reactivity is suppressed. Accordingly, since the decrease in thermal power of the reactor core caused by the decrease in reactivity is suppressed, the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases, and as a result, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. FIG. 6 is a vertical cross-sectional view of a fast reactor having a reflector control system of a second embodiment according to the present invention, and in particular, is an enlarged view showing the reactor core 202 and reflectors 25, 26, and 27. In this figure, constituent elements corresponding to those shown in FIG. 1, which is a vertical cross-sectional view of the fast reactor of the first embodiment, are omitted. However, the elements are identical to those shown in FIG. 1 except for the reflector portion shown in FIG. 6. Heights H3, H4, and H5 of the upper reflector 25, the center reflector 27, and the lower reflector 26, respectively, are such that H3 is 70 cm, H4 is 60 cm, and H5 is 70 cm. In addition, the upper reflector 25 is made of austenitic stainless steel, the center reflector 27 is made of ferritic stainless steel, and the lower reflector 26 is made of graphite. As for the degree of reactivity, as shown in FIG. 43, graphite is high, ferritic stainless steel is low, and austenitic stainless steel is therebetween. Accordingly, as shown in FIG. 7, in addition to the suppression of the decrease in reactivity at the last burn-up stage as is the case of the first embodiment, the increase in reactivity at the middle burn-up stage can also be suppressed; hence, the change in reactivity can be more effectively suppressed than that of the first embodiment, and compared to the comparative example of the reflector made of a single composition (ferritic stainless steel), the change in reactivity can be significantly suppressed. In addition, when boron carbide (B4C) is used instead of the graphite forming the lower reflector 26, the same effect as described above can be obtained. However, in the case of boron carbide, boron bonded to carbon should not be natural boron which contains approximately 10% of B-10 but must be primarily formed of B-11 which remains after enrichment of B-10 is performed. The reason for this is that, since having a large neutron absorption ability, B-10 significantly degrades the reflector reactivity. FIGS. 8A, 8B, and 8C are vertical cross-sectional views each showing a reflector of a fast reactor having a reflector control system of a third embodiment according to the present invention. The reflector is formed of a single composition of ferritic stainless steel. However, in the lower region of the reflector, in FIG. 8A, the thickness thereof is gradually increased toward the bottom side, and the reflector in FIG. 8B has a large and uniform thickness. As shown in FIG. 46, since the reflection ability is increased as the thickness is increased, the similar effect as that of the first embodiment can be obtained. The reflector shown in FIG. 8C has a larger thickness at the upper portion than that at the central portion, and the lower portion has the largest thickness; hence, the similar effect as that of the second embodiment can be obtained. The dimensions of the individual reflectors are described below. In the example shown in FIG. 8A, an upper thickness T1 is set to 25 cm, the thickness is gradually increased from a lower side height H2 of 90 cm, and a bottom thickness T2 is set to 45 cm. In the example shown in FIG. 8B, the upper thickness T1 is set to 25 cm, and a thickness T3 at a lower side height H3 of 80 cm is set to 40 cm. In the example shown in FIG. 8C, a thickness T4 at an upper side height H4 of 70 cm is set to 30 cm, a thickness T5 at an intermediate side height H5 of 60 cm is set to 25 cm, and a thickness T6 at a lower side height H6 of 70 cm is set to 40 cm. In a fast reactor having a reflector control system, according to a fourth embodiment, the vertical cross-sectional view of the reflector is identical to that shown in FIG. 6, and the reflector material is ferritic stainless steel (9Cr-1Mo steel) having a single composition. However, the effective density is changed. For example, the effective densities are set so as to be 90% in the upper reflector 25, 85% in the center reflector 27, and 100% in the lower reflector 26. As a method for changing the density of ferritic stainless steel used as the reflector material in each region, for example, there may be mentioned a method of forming the structure composed of thin ferritic stainless steel sheets laminated to each other. According to the above method, the lower reflector 26 is formed of thin steel sheets laminated to each other without any spacers provided therebetween, and the upper reflector 25 and the center reflector 27 are each formed of thin steel sheets laminated to each other with spacers provided therebetween so as to have a predetermined density. FIGS. 9A and 9B are vertical cross-sectional views each showing a reflector of a fast reactor having a reflector control system of a fifth embodiment according to the present invention. In an example shown in FIG. 9A, the upper reflector 25 and the lower reflector 26 (see FIG. 2) of the first embodiment are enclosed with a reflector external frame 28. The reflector external frame 28 is formed, for example, of ferritic stainless steel. The reflector external frame 28 has a thickness of approximately 3 cm and performs a function of holding the upper reflector 25 and the lower reflector 26 at individual predetermined positions. In addition, by providing ferritic stainless steel having superior resistance against swelling, which is caused by neutron radiation, at an exterior portion at which the radiation amount is highest, the superior resistance against swelling of the reflector can be retained, and simultaneously, the change in reactivity can also be decreased. In an example shown in FIG. 9B, the case is shown in which the reflector external frame 28 is applied to the reflector (see FIG. 6) of the second embodiment. In this case, the upper reflector 25, the center reflector 27, and the lower reflector 26 are enclosed with the reflector external frame 28. The structure and the function of the reflector external frame 28 are the same as those in the case shown in FIG. 9A. FIG. 10 is horizontal cross-sectional view showing a reactor core and a reflector of a fast reactor having a reflector control system of a sixth embodiment according to the present invention. An area surrounding the reactor core is radially divided into six pieces, and three types of reflectors 29, 30, and 31 are alternately disposed. FIGS. 11A, 11B, and 11C are vertical cross-sectional views of the reflectors 29, 30, and 31, respectively. The reflector 29 is formed of an upper reflector 32 and a lower reflector 33; the reflector 30 is formed of an upper reflector 34 and a lower reflector 35; and the reflector 31 is formed of an upper reflector 36 and a lower reflector 37. The upper reflectors 32, 34, and 36 are made of ferritic stainless steel, and the lower reflectors 33, 35, and 37 are made of austenitic stainless steel. As is the case of the first embodiment, the materials of the upper reflectors are equivalent to each other, and the lower reflectors are also equivalent to each other. However, in the area which is radially divided into 6 segments and is composed of the three types of reflectors, the boundaries between the upper reflector 32 and lower reflector 33, between the upper reflector 34 and lower reflector 35, and between the upper reflector 36 and lower reflector 37 are located slightly different from each other. For example, heights H8, H9, and H10 of the upper reflectors 32, 34, and 36 are set to 130 cm, 140 cm, and 150 cm, respectively, that is, the height H9 is larger than the height H8 by 10 cm, and the height H10 is larger than the height H9 by 10 cm. As described above, when the boundary between the two reflectors disposed in the axial direction is slightly changed from the boundary of the adjacent reflectors disposed in the axial direction, rapid increase in reflector reactivity at the boundary of compositions can be decreased in the axial direction, and hence the change in reflector reactivity can be smoothed out. This embodiment is equivalent to the first embodiment except that the lower reflector 26 is made of modified 316 steel, that is, austenitic stainless steel containing titanium shown in FIG. 47. By this structure, since the swelling caused by radiation of fast neutrons can be suppressed, the structure can be soundly maintained even by long-period neutron radiation, the number of reflector replacements can be reduced or may not be required in some cases, and hence the economical efficiency can be improved. In addition, when modified austenitic stainless steel shown in FIG. 47 is used, since the contents of chromium and nickel, and the content of titanium are larger than that of the modified 316 steel, a more superior structure may be obtained in view of the reflector reactivity and the resistance against swelling. This embodiment is equivalent to the fifth embodiment except that the reflector external frame 28 is made of modified austenitic stainless steel, that is, austenitic stainless steel containing titanium shown in FIG. 47. By this structure, since the swelling caused by radiation of fast neutrons can be suppressed, the structure can be soundly maintained even by long-period neutron radiation, the number of reflector replacements can be reduced or may not be required in some cases, and hence the economical efficiency can be improved. In addition, when modified 316 steel or modified austenitic stainless steel in FIG. 47 is used for the upper reflector 25 made of austenitic stainless steel, the entire reflector can be formed to have superior resistance against swelling. Although not shown in FIG. 47, in addition to the elements listed in the figure, C, Si, Nb, P, B, and the like are also contained (see ASTM-STP1175). In the reflectors of the individual embodiments described above, when the portion (lower reflectors 26, 33, 35, and 37) having a high fast-neutron reflection ability is located in a region between one fourth and one half of the height of the reflector from the bottom end thereof, the change in reactivity can be effectively decreased. FIG. 12 is a schematic view showing the right half of the fast reactor 100 having a reflector control system of a ninth embodiment according to the present invention, and FIG. 13 is an enlarged view of a reactor core 2 shown in FIG. 12. The same reference numerals of the constituent elements in FIG. 1 designate the same constituent elements in FIG. 12, and descriptions thereof will be omitted. In the embodiments described above, reflector reactivity itself is changed axially so as to suppress the differential reactor reactivity per unit movement upward. However, similar effect can be obtained if differential reflector reactivity can be maintained constant by changing axially the fissile material concentration in the reactor core. As shown in FIG. 13, the reactor core 2 has three regions in the axial direction each having a different ratio of a fissile material (U enrichment or Pu enrichment) in fuel elements. In particular, when the ratio of a fissile material in a second region 2a is set to 1.0 as a relative value, the second region being located between approximately one eighth and one half of the height of the reactor core from the bottom end thereof, the ratio of a first region 2b located under the second region 2a and that of a third region 2c located thereon are each increased by 20%, so that the relative values of the first and the third regions are each set to 1.20. FIG. 14 is a schematic view showing movement of the neutron reflector 209 in operation. When the degree of insertion of the reflector in an upward direction is represented by a relative ratio with respect to the height of the reactor core, the ratios at the initial burn-up stage, the middle burn-up stage, and the last burn-up stage are 40%, 70%, and 100%, respectively, and the moving speed of the reflector is maintained constant from the initial to the last burn-up stage. FIG. 15 is a graph showing the relationship between the insertion depth of the neutron reflector (which corresponds to distance between top of reflector and bottom of reactor core) and the reactivity. In a comparative example in which the reactor core is formed of a fuel having a uniform fissile material ratio in the axial direction, the reflector reactivity has an S-shaped curve, and in particular, the increase in reactivity by the neutron reflector is slowed at the last burn-up stage. On the other hand, in this embodiment, it is found that the reactivity by the neutron reflector is approximately linearly increased from the initial to the last burn-up stage. The reason for this is that, as shown in FIG. 15, since a region from a place between approximately one tenth and one fifth (the top end is regarded as one) of the height of the reactor core from the bottom end thereof to a place at approximately one half thereof has a large increase in reactivity in the comparative example, by decreasing the fissile material ratio in a region corresponding to that described above, the increase in reactivity can be suppressed. FIG. 16 is a graph showing the differential reflector reactivity per unit movement upward during operation according to the ninth embodiment. In the comparative example, the differential reflector reactivity tends to increase at the initial stage and then tends to decrease with time. However, in this embodiment, the differential reflector reactivity by the movement of the reflector is relatively flat from the initial to the last burn-up stage. Accordingly, since the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases, and as a result, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. In this embodiment, a metal is used as the fuel. However, by using any type of fuel including oxide fuel or nitride fuel, such as ceramic, the same effect can be obtained. Referring to FIG. 17, a fast reactor having a reflector control system of a tenth embodiment according to the present invention will be described. FIG. 17 is an enlarged view of the reactor core 2 shown in FIG. 12. The reactor core 2 has three regions in the axial direction in which an average fuel density of fuel (fuel smear density) is changed. In this embodiment, the fuel is an oxide fuel, and the fuel diameters of the regions in the axial direction are the same. However, the fuel smear density is changed, so that the amount of a fissile material is changed as is the case of the ninth embodiment. In particular, when the fuel smear density in the second region 2a is set to 1.0 as a relative value, the is second region 2a being a region from a place between approximately one tenth and one fifth (the top end is regarded as one) of the height of the reactor core from the bottom end thereof to a place at approximately one half thereof, the fuel smear density of the first region 2b located under the second region 2a and that of the third region 2c located thereon are each increased by 20%, so that the relative values of the first and the third regions are each set to 1.20. Accordingly, since the same effect as that of the ninth embodiment can be obtained, and the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases, and as a result, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. Next, referring to FIG. 18, a fast reactor having a reflector control system of an eleventh embodiment according to the present invention will be described. FIG. 18 is an enlarged view of the reactor core 2 shown in FIG. 12. The reactor core 2 has three regions in the axial direction, and the regions have different fuel diameters from each other. In particular, when the fuel diameter in the second region 2a is set to 1.0 as a relative value, the second region 2a being a region from a place between approximately one tenth and one fifth (the top end is regarded as one) of the height of the reactor core from the bottom end thereof to a place at approximately one half thereof, the fuel diameter of the first region 2b located under the second region 2a and that of the third region located thereon are each increased by 10%, so that the relative values of the first and the third regions are each set to 1.10. In this embodiment, the fuel is an oxide fuel, and the fuel densities in the regions in the axial direction are identical to each other. However, the fuel diameter is changed, so that the amount of the fissile material is changed as is the case of the ninth embodiment. As a result, the same effect as that of the first embodiment can be obtained. That is, the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, and the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases. Accordingly, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. Next, referring to FIG. 19, a twelfth embodiment will be described. FIG. 19 is an enlarged view of the reactor core 2 shown in FIG. 12. The reactor core 2 is divided into six regions each having a different ratio of a fissile material to total fuel material (U enrichment or Pu enrichment) in fuel elements. In particular, when the fissile material ratio in a region 2d is set to 1.0 as a relative value, the region 2d being a region between approximately one tenth and one half of the height of the reactor core from the bottom end thereof, the fissile material ratio is increased with respect to that in the region 2d as follows. That is, as shown in FIG. 19, the fissile material ratios of a first region 2e located under the region 2d, a third region 2f on the region 2d, a fourth region 2g, a fifth region 2h, and a sixth region 2i are increased by 20% (relative value of 1.20), 5% (relative value of 1.05), 10% (relative value of 1.10), 20% (relative value of 1.20), and 25% (relative value of 1.25), respectively. FIG. 20 is a graph showing the relationship between the insertion depth of the reflector (which corresponds to distance between top of reflector and bottom of reactor core) and the reactivity together with the results of the comparative example and the ninth embodiment. In the comparative example in which the reactor core is formed of a fuel having a uniform fissile material ratio in the axial direction, the reflector reactivity has an S-shaped curve, and in particular, the increase in reactivity by the reflector at the last burn-up stage is slowed. On the other hand, in this embodiment, the reactivity by the reflector is approximately linearly increased from the initial to the last burn-up stage. It is found that, although the difference therebetween is not so significant, the rate of increase in reactivity of the twelfth embodiment is more constant than that of the ninth embodiment. FIG. 21 is a graph showing the differential reflector reactivity during operation of this embodiment together with the results of the comparative example and the ninth embodiment. In the comparative example, the differential reflector reactivity tends to increase at the initial stage and then tends to decrease with time. However, in this embodiment, the differential reflector reactivity by the movement of the reflector is relatively flat from the initial to the last burn-up stage. In addition, it is found that the differential reflector reactivity of this embodiment is constant as compared to the result of the ninth embodiment. The reason for the rate of increase in reactivity, that is, the differential reflector reactivity is further improved as described above is that the distribution of the fissile material ratio is finely controlled, and hence the change in rate of increase in reactivity, that is, in differential reflector reactivity, can be decreased by the movement of the reflector. As a result, the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, and the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases. Accordingly, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. Next, referring to FIG. 22, a fast reactor having a reflector control system of a thirteenth embodiment of the present invention will be described. FIG. 22 is an enlarged view of the reactor core 2 shown in FIG. 12. The reactor core 2 is divided into two regions in the axial direction each having a different ratio of a fissile material (U enrichment or Pu enrichment) in fuel elements. In particular, when the fissile material ratio in a first region 2j is set to 1.0 as a relative value, the region 2j being a region between the bottom end of the reactor core and approximately one half of the height thereof, the fissile material ratio in a region 2k on the first region 2j is increased by 10%, that is, the relative value is set to 1.10. FIG. 24 is a graph showing the relationship between the insertion depth of the reflector (which corresponds to distance between top of reflector and bottom of reactor core) and the reactivity of this embodiment. It is found that, in this embodiment, the rate of increase in reactivity is approximately constant from the initial to the middle burn-up stage and is also approximately constant from the middle to the last burn-up stage. FIG. 25 is a graph showing the differential reflector reactivity during operation of the thirteenth embodiment. In the thirteenth embodiment, compared to the result of the comparative example, the differential reflector reactivity by the movement of the reflector is relatively flat from the initial to the last burn-up stage. Unlike the case of the ninth embodiment, in this embodiment, a region in which the fissile material ratio is increased is not provided between the bottom of the reactor core and approximately one eighth (top end is regarded as one) of the height thereof, and the structure is simplified. However, the degree of suppressing the increase in reflector reactivity at the last burn-up stage is lower than that of the ninth embodiment. As a result, the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, and the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases. Accordingly, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. Next, referring to FIG. 23, a fast reactor having a reflector control system of a fourteenth embodiment of the present invention will be described. FIG. 23 is an enlarged view of the reactor core 2 shown in FIG. 12. The reactor core 2 is divided into two regions in the axial direction each having a different minor actinide ratio. In particular, when the ratio of minor actinide Np-238 (neptunium-238) to total fuel material in fuel elements is set to 0.03 (3.0 percent by weight) in the first region 2j, the region 2j being a region between the bottom end of the reactor core and approximately one half of the height thereof, the minor actinide ratio in the region 2k provided on the first region 2j is set to zero. In this embodiment, the ratio of the fissile material to total fuel material in each region is the same. FIG. 24 is a graph showing the relationship between the insertion depth of the reflector and the reactivity of the fourteenth embodiment. It is found that the reactivity of this embodiment is approximately linearly increased from the initial to the middle burn-up stage and is also approximately linearly increased from the middle to the last burn-up stage. FIG. 25 is a graph showing the differential reflector reactivity during operation of the fourteenth embodiment. In the fourteenth embodiment, compared to the result of the comparative example, the differential reflector reactivity by the movement of the reflector is flat from the initial to the last burn-up stage. As can be seen from FIGS. 24 and 25, the same effect as that of the thirteenth embodiment can be obtained. The reason for this is that since the minor actinide has a large neutron capture cross-section, the same effect as that decreasing the ratio of a fissile material can be obtained. As a result, as is the case of the thirteenth embodiment, since the decrease in thermal power of the reactor core due to the decrease in reactivity can be suppressed, the control of the flow rate of steam and the control range of the reflector moving speed may be limited or may be omitted in some cases. Accordingly, a fast reactor can be provided which is driven by simple operation and which has high safety and superior efficiency. In addition, when the reactor core is more finely divided, and the ratio of the minor actinide is gradually decreased toward the upper side region, it is naturally understood that the effect described above can be further enhanced. FIG. 26 is a schematic view showing fuel pins pulled out from a fuel assembly applied to a fast reactor of a fifteenth embodiment of the present invention. The fuel assembly is loaded in the core, which is the same as the core 2 shown in FIG. 12, that is, the schematic view of the right half of a fast reactor having reflector control system. In a fuel pin bundle 130a placed in a wrapper tube 130, fuel pins 131a facing a neutron reflector 132 have a smaller ratio of a fissile material to a total heavy metal fuel than that of fuel pins 131c placed at a distance from the neutron reflector 132. In general, when a neutron reflector containing a moderator is lifted upward from a coolant inlet side (bottom portion side) to a coolant outlet side (head portion side), neutrons leaked from a reactor core are moderated by the neutron reflector and are then sent back to the reactor core. Hence, the ratio of moderated neutrons in a total heavy metal fuel filled in a fuel pin facing the neutron reflector is increased. Accordingly, even when the ratio of a fissile material to the total heavy metal fuel filled in the above fuel pin is set equal to that in other fuel pin located at a distance from the neutron reflector, a thermal spike phenomenon inevitably occurs in case of reflector made of neutron moderating material such as graphite or SiC. Accordingly, in consideration of the phenomenon described above, in this embodiment, the ratio of the fissile material to the total heavy metal fuel contained in the fuel pin 131a, facing the neutron reflector 132, of the fuel pin bundle 130a placed in the wrapper tube 130 is set relatively smaller than that in the fuel pin 131c placed at a distance from the neutron reflector 132. Hence, in this embodiment, since the structure described above is formed, uneven increase in power of the fuel pin bundle 130a can be prevented, and hence the thermal spike phenomenon can be suppressed. In addition, when the ratio of a fissile material to a total heavy metal fuel contained in a fuel pin 131b, located adjacent to (inside) the fuel pin 131a facing the neutron reflector 132, is set relatively smaller than that of the fuel pin 131c placed at a distance from the neutron reflector 132, the thermal spike phenomenon can be further suppressed. Furthermore, in this embodiment, the ratio of the fissile material to the total heavy metal fuel contained in the fuel pin 131a facing the neutron reflector 132 is set relatively smaller than that of the fuel pin 131c placed at a distance from the neutron reflector 132, and in addition to the case described above, the fuel smear density in the fuel pin 131a facing the neutron reflector 132 may be set relatively smaller than that of the fuel pin 131c placed at a distance from the neutron reflector 132. Also in the case described above, uneven increase in power of the fuel pin bundle 130a can be prevented, and hence the thermal spike phenomenon can be suppressed. In this embodiment, the fuel smear density is a density defined by data obtained when a fuel is evenly applied onto the internal surface of a fuel pin. FIG. 27 is a schematic view showing fuel pins pulled out from a fuel assembly applied to a fast reactor of a sixteenth embodiment of the present invention. In this embodiment, fuel pins 131 forming the fuel pin bundle 130a are placed in the wrapper tube 130, and a low fissile material region 140, an intermediate fissile material region 141, and a high fissile material region 142 are provided in the fuel pin 131 in that order along the axial direction from a coolant inlet 133 side (bottom portion side) to a coolant outlet 139 side. In general, the wrapper tube 130 has, from the coolant inlet 133 side (bottom portion side) to the coolant outlet 139 side (head portion side), the coolant inlet 133, a nozzle 134, a coolant inlet plenum 135, a fuel chamber 136 accommodating the fuel pin bundle 130a holding a plurality of the fuel pins 131, a coolant output plenum 137, a handling head 138, and the coolant outlet 139. In the wrapper tube 130 having the structure as described above, when the neutron reflector 132 is about to pass through the fuel chamber 136 of the wrapper tube 130 at the coolant inlet 133 (bottom portion side) side while being lifted toward the coolant outlet 139 side, a thermal spike phenomenon occurs, and as a result, uneven increase in power of the fuel pin bundle 130a occurs. In consideration of the problem described above, in this embodiment, the low fissile material region 140, the intermediate fissile material region 141, and the high fissile material region 142 are provided in the fuel pin 131 in that order from the coolant inlet 133 side (bottom portion side) to the coolant outlet 139 side. In this embodiment, since the structure is formed as described above, when the neutron reflector 132 passes through the fuel pin 131 at the coolant inlet 133 side, the occurrence of thermal spike phenomenon is suppressed by the influence of the low fissile material region 140, and as a result, a uniform power distribution can be obtained in the axial direction. As described above, in this embodiment, the low fissile material region 140, the intermediate material region 141, and the high fissile material region 142 are provided in that order from the coolant inlet 133 side (bottom portion side) to the coolant outlet 139 side. However, In addition to the above example, the fuel smear density may be gradually increased from the coolant inlet 133 side to the coolant outlet 139 side. FIG. 28 is a schematic view showing a neutron reflector applied to a fast reactor of a seventeenth embodiment according to the present invention. In this embodiment, in addition to increase in neutron reflection efficiency of the neutron reflector 132, it is intended to suppress the thermal spike phenomenon. A part of the neutron reflector 132 facing a fuel assembly (reactor core) 143 is formed of a structural member 144, such as stainless steel, containing no moderator, and the other part of the neutron reflector 132 is formed of a moderator member 145 containing a moderator such as SiC. In this embodiment, since the part of the neutron reflector 132 facing the fuel assembly 143 is formed of the structural member 144 and the other part of the neutron reflector 132 is formed of the moderator member 145 as described above, in addition to the increase in neutron reflection efficiency of the neutron reflector 132, the thermal spike phenomenon can be suppressed which occurs when the neutron reflector 132 passes through the bottom portion side of the fuel pin. FIG. 29 is a schematic view showing a neutron reflector applied to a fast reactor of an eighteenth embodiment according to the present invention. In this embodiment, in consideration of the thermal spike which occurs when the neutron reflector 132 located at a position lower than the fuel assembly 143 is lifted to the head portion side thereof at the start of burn-up of the fuel assembly 143, a part of the head portion of the neutron reflector 132 at the lifting direction side is formed of the structural member 144, such as stainless steel, containing no moderator, and the other part of the neutron reflector 132 is formed of the moderator member 145 containing a moderator such as SiC. In this embodiment, since the part of the head portion of the neutron reflector 132 at the lifting direction side is formed of the structural member 144 and the other part of the neutron reflector 132 is formed of the moderator member 145 as described above, the thermal spike phenomenon can be suppressed which occurs when the neutron reflector 132 passes through the bottom side of the fuel pin, a uniform distribution of power can be obtained, and when the neutron reflector 132 reaches the head portion of the fuel pin, the neutron reflection efficiency can be maintained high by the moderator member 145. FIG. 30 is a schematic view showing a neutron absorption assembly applied to a fast reactor of a nineteenth embodiment according to the present invention. A neutron absorption assembly 146 of this embodiment is placed among fuel assemblies and is formed of a polygonal case 147 such as a hexagonal case, a core shutdown rod 148 provided at the center thereof, and a tube-shaped fixed absorber 149 provided outside the core shutdown rod 148. Heretofore, in order to further increase the life of a reactor core of a fast reactor, as described above, excess reactivity of the reactor core is designed to be high, excess reactivity at an initial operation after the construction is absorbed in a fixed absorber, the fixed absorber is pulled out when the excess reactivity is decreased during the operation, and the reactivity of the fuel assembly (reactor core) is then adjusted only by moving a neutron reflector. In the case described above, the core shutdown rod 148 which is to be inserted into the fuel assembly only when accident occurs is accommodated in the vicinity of the fuel assembly independently of the fixed absorber 149. However, the space is very limited, and hence the improvement has been desired. In consideration of the problem described above, that is, in this embodiment, the neutron absorption assembly 146 is placed among fuel assemblies and is formed of the polygonal case 147 such as a hexagonal case, the core shutdown rod 148 provided at the center thereof, and the tube-shaped fixed absorber 149 provided outside the core shutdown rod 148. In FIG. 30, reference numeral 150 indicates a coolant. As described above, according to this embodiment, in the neutron absorption assembly 146, since the core shutdown rod 148 and the tube-shaped fixed absorber 149 are both accommodated in one cylindrical or polygonal case, such as the hexagonal tube 147, the space can be efficiently used. Hence, the safety operation can be performed while the fuel assemblies may have a larger degree of freedom of designing, placement, and the like. In this embodiment, the fixed absorber 149 is formed to have a tube shape, and the core shutdown rod 148 is inserted into the center of the fixed absorber 149. However, in addition to the case described above, for example, as shown in FIG. 31, the tube-shaped fixed absorber 149 may be divided, for example, into six segments 151a, 151b, and so on, and some segments described above may be replaced with the stainless steel-made structural members 144. After some segments described above forming the fixed absorber 149 are replaced with the structural members 144, when the fixed absorber thus formed is then placed among the fuel assemblies, the reactivity can be effectively controlled, and as a result, the adjustment of the excess reactivity can be performed suitably in accordance with an operation plan. FIG. 32 is a schematic view showing a minor actinide annihilation assembly which is provided instead of a related neutron shielding member 212 and is suitably applied to a fast reactor of a twentieth embodiment according to the present invention. A minor actinide annihilation assembly 152 of this embodiment is formed of three portions, a high concentration moderator/minor actinide mixed portion 153, a low concentration moderator/minor actinide mixed portion 154, and a minor actinide portion 155, provided in that order from a side facing the fuel assembly 143 to the outside. Heretofore, in order to effectively annihilate minor actinides, particularly neptunium, it has been believed that the number of low energy neutrons having a large cross-section must be increased, and that the addition of a moderator such as zirconium hydride is effective. However, in a related neutron shielding member 212, since the outside thereof is degraded with neutrons having a low energy, even when zirconium hydride is added thereto, a problem may arise in that annihilation of minor actinides cannot be efficiently performed. In consideration of the problem described above, that is, in this embodiment, the minor actinide annihilation assembly 152 is provided instead of the related neutron shielding member 212 and is formed of the three portions, the high concentration moderator/minor actinide mixed portion 153, the low concentration moderator/minor actinide mixed portion 154, and the minor actinide portion 155, provided in that order from the side facing the fuel assembly 143 to the outside. As described above, the minor actinide annihilation assembly 152 is formed of the high concentration moderator/minor actinide mixed portion 153, the low concentration moderator/minor actinide mixed portion 154, and the minor actinide portion 155, provided in that order from the side facing the fuel assembly 143 to the outside, so that the concentration distribution is changed among the portions described above. Hence, high neutron flux can be obtained at low energy spectra, and the annihilation amount of minor actinides can be further increased.
abstract
Mutual compatibility is established between the measurement with a high magnification and the measurement in a wide region. A pattern measurement apparatus is proposed which adds identification information to each of fragments that constitute a pattern within an image obtained by the SEM, and which stores the identification information in a predetermined storage format. Here, the identification information is added to each fragment for distinguishing between one fragment and another fragment. According to the above-described configuration, it turns out that the identification information is added to each fragment on the SEM image which has possessed no specific identification information originally. As a result, it becomes possible to implement the SEM-image management based on the identification information.
summary
abstract
A method of providing extended shelf life fresh meat products involves irradiating the meat products in a first controlled atmosphere and packaging the irradiated meat products in a second controlled atmosphere. The packaged irradiated meat products are then distributed to a retail store. In an exemplary embodiment, the first controlled atmosphere excludes oxygen and the second controlled atmosphere is high in oxygen. In one particular embodiment of the present invention, an antioxidant is added to the meat products either prior to or following the step of irradiating the meat products in the first controlled atmosphere, to extend the color-life of the meat products.
description
This application claims the benefit of U.S. Provisional Patent Application No. 61/487,823, filed May 19, 2011, the entirety of which is incorporated herein by reference. The present invention relates generally to systems and methods of handling and/or working near radioactive payloads, and specifically to system and methods of transferring and/or working near radioactive payloads using a shield-gate structure. During the handling and/or working near radioactive waste, such as high level radioactive which includes spent nuclear fuel, it is important that the radioactive payload be shielded from the external environment to the maximum extent possible to protect both the environment and nearby workers. Radioactive payloads include filters, spent nuclear fuel rods, vitrified waste, and other forms of both high level and low level radioactive materials. Providing adequate shielding for the radioactive payload becomes especially challenging when the radioactive payload either needs to be transferred from one shielding container to another shielding container and/or when work nears to be performed near said radioactive payload. In instances of transfer, the potential danger of radiation shine is prevalent not only when the radioactive payload is being physically moved from the one shielding container to the other shielding container, but also when the removable lids of the various containment structures have to be removed to access the cavity in which the radioactive payload is situated. In instances of work, the danger of substantial radiation shine is also prevalent due to the creation of openings and other access passageways that are necessarily created so that various tools can be inserted into the cavity from the external atmosphere for performing the desired work. Efforts have been made to introduce mating devices that minimize radiation shine during spent nuclear fuel transfer procedures between transfer casks and storage casks. Such systems and methods are disclosed in U.S. Pat. No. 6,853,697, issued Feb. 8, 2005, to the assignee of the present application. However, such systems and methods are not ideal for performing the aspect of the transfer and/or work procedure wherein the radioactive payload needs to be lifted out of a shielding container and/or work needs to be performed within the cavity in which the radioactive payload is located. Thus, a needs exists for improved systems and methods In one embodiment, the invention can be a system for transferring a radioactive payload comprising: a first shielding container comprising a first cavity and a removable shielding lid, the radioactive payload located within the first cavity: a shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates, the one or more shielding gates movable between: (1) a closed state in which the one or more shielding gates block the passageway; and (2) an open state in which the one or more shielding gates do not obstruct the passageway; the shield-gate apparatus positioned atop the first shielding container, the removable shielding lid having a bottom portion having a transverse cross-section that substantially corresponds to a transverse cross-section of the opening in the top surface of the body of the shield-gate apparatus in both size and shape. In another aspect, the invention can he a system for facilitating work within a cavity of a first shielding container containing a radioactive payload, the system comprising: a shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates, the one or more shielding gates movable between: (1) a closed state in which the one or more gates block the passageway; and (2) an open state in which the one Of more gates do not obstruct the passageway, the shield-gate apparatus positioned atop the first shielding container; a shielding block positioned atop the body of the shield-gate apparatus to enclose the first opening; and a retaining feature that prevents relative transverse movement between the shielding block and the shield-gate apparatus while allowing relative rotation between the shielding block and the shield-gate apparatus about a central axis of the shielding block. In a further aspect, the invention can be a method of transferring a radioactive payload from a first shielding container to a second shielding container comprising: a) positioning a shield-gate apparatus atop the first shielding container, the shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates; b) opening the one or more shielding gates of the shield-gate apparatus; c) lifting a removable shielding lid of the first shielding container through the passageway until the removable shielding lid is above the one or more shielding gates and closing the one or more shielding gates of the shield-gate apparatus; d) positioning a shielding block atop the shield-gate structure to enclose the first opening, the shielding block comprising a central axis and a first tool port offset from the central axis of the shielding block; e) removing a first shielding plug from the first tool port and opening the one or more shielding gates of the shield-gate apparatus; f) rotating the shielding block about the central axis of the shielding block to a first rotational position; g) inserting a first tool through the first tool port and removing one of a plurality of fasteners that secure a removable pressure vessel lid to a pressure vessel body using the first tool, the radioactive payload positioned within the pressure vessel; h) rotating the shielding block about the central axis from the first rotational position to a second rotational position; i) removing another one of the plurality of fasteners using the first tool; j) removing a second radiation shielding plug from a second tool port of the shielding block that is aligned with the central axis of the shielding block; k) inserting a second tool through the second tool port and lifting the pressure vessel lid through the passageway using the second tool until the pressure vessel lid contacts the shielding block; l) lifting both the shielding block and the removable pressure vessel lid using the second tool until the removable pressure vessel lid is above the one or more gates of the shield-gate apparatus and closing the one or more shielding gates of the shield-gate apparatus; k) positioning a second shielding container atop the shield-gate apparatus and opening the one or more shielding gates of the shield-gate apparatus; and l) lifting the radioactive payload through the passageway and into a second cavity of the second shielding container. In still another aspect, the invention can be a method of providing access to a radioactive payload located within a first cavity of a first shielding container, the method comprising: a) positioning a shield-gate apparatus atop the first shielding container, the shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates that are open; and b) lifting a removable shielding lid of the first shielding container through the passageway and closing the one or more shielding gates of the shield-gate apparatus, wherein the removable shielding lid is maintained in a position in which either: (1) a bottom surface of the removable shielding lid is disposed within the passageway at a height above the one or more shielding gates; or (2) the bottom surface of the removable shielding lid is substantially flush with the top surface of the body of the shield-gate apparatus, during the closing of the one or more shielding gates. In yet another aspect, the invention can be a method of providing access to a radioactive payload located within a pressure vessel disposed within a first cavity of a first shielding container, the method comprising: a) positioning a shield-gate apparatus atop the first shielding container, the shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates that are open; b) positioning a shielding block atop the shield-gate apparatus to enclose the first opening; c) inserting a tool through a tool port in the shielding block and engaging with the tool a removable pressure vessel lid that has been unfastened from a pressure vessel body; d) lifting the removable pressure vessel lid through the passageway until the removable pressure vessel lid contacts the shielding block with the tool; and e) lifting both the shielding block and the removable pressure vessel lid using the tool until the removable pressure vessel lid is above the one or more gates of the shield-gate apparatus and closing the one or more shielding gates of the shield-gate apparatus. In an even further aspect, the invention can be a method of working within a first cavity of a first shielding container containing a radioactive payload, the system comprising: a) positioning a shield-gate apparatus atop the first shielding container, the shield-gate apparatus comprising a body, a passageway extending along an axis through the body from a first opening in a top surface of the body to a second opening in a bottom surface of the body, and one or more movable shielding gates that are open; b) positioning a shielding block atop the shield-gate apparatus to enclose the first opening, the shielding block comprising a tool port that is offset from an axis of rotation of the shielding block; c) inserting a tool through the tool port and into the first cavity; and d) rotating the shielding block relative to the first shielding container about the rotational axis from a first rotational position to a second rotational position, wherein the tool performs work at the first rotational position and at the second rotational position. Further areas of applicability of the present invention will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for purposes of illustration only and are not intended to limit the scope of the invention. The following description of the preferred embodiment(s) is merely exemplary in nature and is in no way intended to limit the invention, its application, or uses. While the invention is exemplified in FIGS. 1-19 as being used in conjunction with a radioactive payload in the form of a highly radioactive filter, the invention is not so limited and the invention can be used to transfer and/or perform work near any type of high level radioactive materials and/or low level radioactive materials, including without limitation vitrified waste, spent nuclear fuel, and canisterized radioactive materials. Referring now to FIG. 1, a first shielding container 100 is exemplified according to an embodiment of the present invention is illustrated. In the exemplified embodiment, the first shielding container 100 is at filter box assembly. In alternate embodiments, the first shielding container 100 can be a storage cask, a transfer cask, or other shielding structure used to store and/or maintain radioactive materials (either temporarily or long-term). The first shielding container 100 is designed to provide the necessary amount of radiation shielding for the radioactive payload 300, thereby providing a radiation containment housing that protects the external environment and nearby workers from radiation emanating from the radioactive payload 300. Thus, in certain embodiments, the housing 101 of the first shielding container 100 is formed of a gamma radiation shielding material of engineered thickness. Suitable gamma radiation shielding materials include, without limitation, lead, steel, concrete, and combinations thereof. Furthermore, in alternate embodiments, the housing 101 of the first shielding container can include neutron absorbing materials to adequately contain neutron radiation. The housing 101 of the first shielding container 100 comprises a floor slab 101, a plurality of upstanding wails 102 and a roof slab 103 that collectively form a first cavity 108 therein. The roof slab 104 comprises a removable shielding lid 105 that is removably mounted to a fixed portion 106 of the roof slab 104. In the exemplified embodiment, the removable shielding lid 105 comprises a plug portion 105A and a flange portion 105B. The removable shielding lid 105 comprises a bottom portion 105C (which is also the lowermost portion of the plug portion 105A in the exemplified embodiment). The removable shielding lid 105 also comprises a bottom surface 105D. The removable shielding lid 105 is insertable into and encloses a central opening 107 (FIG. 7) in the roof slab 104. When inserted into the central opening 107, the flange portion 105B abuts against and contacts an annular shoulder 106A of the fixed portion 106, thereby supporting the removable shielding lid 105 in the central opening 107. As discussed above with respect to the other parts of the housing 101, the removable shielding lid 105 is formed a suitable radiation shielding material, such as a gamma radiation shielding material. As discussed in greater detail below, the removable shielding lid 105 can be repetitively coupled and uncoupled from the fixed portion 106 of the roof slab 104. Moreover, as will be described in greater detail below, the fixed portion 106 of the roof slab 104 acts a landing structure that supports the gate shield apparatus 400 during transfer or work procedures. If desired, one or more fasteners can be utilized to secure the removable shielding lid 105 to the fixed portion 106 of the roof slab 104 in alternate embodiments. It should be noted that the housing 101 of the first shielding container 100 can take on a wide variety of structural configurations and shapes, none of which are limiting of the repent invention unless specifically claimed. For example, in one alternate embodiment, the removable shielding lid 104 can be coupled and uncoupled directly to the upstanding walls 103, without the need for a fixed portion 106. In such an embodiment, a portion of the upstanding walls 103 (or additional and separate structures) can be used to support the shield-gate structure 400 during transfer or work procedures. The central opening 107 extends along an axis A-A, which is also a central axis of the housing 101 and the first cavity 108. In the exemplified embodiment, the axis A-A is oriented substantially vertical. A pressure vessel 200 is disposed within the first cavity 104 of the first shielding container 100. The pressure vessel 200 comprises a pressure vessel body 201 and a removable pressure vessel lid 202 secured thereto by a plurality of fasteners 203. In the exemplified embodiment, the fasteners 203 are in the form of bolts. The invention, however, is not so limited in all embodiments and the fasteners 203 can take the form of screws, latches, locking cams, or other structures that can be used to secure lids to bodies. When the removable pressure vessel lid 202 is secured to the pressure vessel body 201, a hermetically sealed pressure vessel chamber 204 is formed. In the exemplified embodiment, the pressure vessel chamber 204 is hermetically isolated from the first cavity 108. Providing a hermetically sealable pressure vessel chamber 204 further protects the environment by creating a fluidic containment boundary about the radioactive payload 300, which is located within the pressure vessel chamber 204. The pressure vessel body 201 and the removable pressure vessel lid 202 can be formed of materials, such as steel or other metals. Of course other suitable materials can be utilized. In the exemplified embodiment, the pressure vessel 200 does not provide the require radiation shielding for the radioactive payload 300 and, thus, the first shielding container 100 is required. Gaskets and other sealing techniques can he used between the pressure vessel body 201 and the removable pressure vessel lid 202 to form the desired hermetic sealing of the pressure vessel chamber 204. The pressure vessel 200 comprises a central axis, which in the exemplified embodiment, is also axis A-A of FIG. 1. Thus, conceptually, the central axis of the pressure vessel 200 can be considered coaxial with the central axis of the opening 107 in the roof slab 104. In alternate embodiments, the central axis of pressure vessel 200 may be offset from the central axis of the central opening 107. The plurality of fasteners 203 are arranged in a circumferentially equi-spaced manner about the axis A-A. In the exemplified embodiment, each of the plurality of fasteners 203 are spaced from the axis A-A by the same distance. In the embodiment exemplified, each of the fasteners 204 can be considered to extend along a fastener axis F-F, which is substantially parallel to and spaced apart from the axis A-A by the same distance. Of course, the invention is not so limited in all embodiments. In the exemplified embodiment, the radial distance between the fastener axes F-F and the axis A-A is less than the radius of the central opening 107. As a result, each of the fasteners 203 can be operated via the central opening 107 using a linear extension tool that remaining vertically oriented when the removable shielding lid 105 is removed therefrom. Referring now to FIGS. 2 and 3 concurrently, a shield-gate apparatus 400 according to one embodiment of the present invention is illustrated. The shield-gate apparatus 400 comprises a body 401 and two shielding gates 402A, 402B movable mounted within the body 401. The shielding gates 402A, B are thick structures designed to provide the required degree of radiation shielding to protect the environment and nearby workers from the radiation emitted by the radioactive payload 300 when the shielding gates 402A, 402B are used to enclose the central opening 107 of the housing 101 of the first shielding enclosure 100. As with the housing 101 of the first shielding container 100, the shielding gates 402A, 402B are formed of a gamma radiation shielding material of engineered thickness. Suitable gamma radiation shielding materials include, without limitation, lead, steel, concrete, and combinations thereof. Furthermore, in alternate embodiments, the shielding gates 402A, 402B can include neutron absorbing materials to adequately contain neutron radiation. In the exemplified embodiment, the body 401 comprises a top plate 403, a bottom plate 404, a first wall plate 405, and a second wall plate 406. The first and second wall plates 405, 406 connect the top and bottom plates 403, 404 to form a gate chamber 407 in which the two shielding gates 402A, 402B are mounted. As with the shielding gates 402A, 402B, each of the plates 403-406 of the body 401 is formed of a gamma radiation shielding material of engineered thickness. Suitable gamma radiation shielding materials include, without limitation, lead, steel, concrete, and combinations thereof. Furthermore, in alternate embodiments, the shielding gates 402A, 402B can include neutron absorbing materials to adequately contain neutron radiation. As can be seen, the first and second side walls 405 are substantially thicker than the top and bottom plates 403, 404 because of they provide radiation shielding during transfer of the radioactive payload 300 through the shield-gate apparatus 400 (discussed below). Each of the shielding gates 402A, 402B, are movable mounted to the body 401 (and within the gate chamber 407) so as to be alterable between: (1) a closed state (FIG. 2); and (2) an open state (FIG. 3). In the closed state, the shielding gates 402A, 402B block the passageway 408 (described below). In the open state, the shielding gates 402A, 402B do not obstruct the passageway 408. The passageway 408 extends along a central axis P-P. In the exemplified embodiment, the shielding gates 402A, 402B slidably translate relative to the body 401. In one embodiment, sliding between the shielding gates 402A, 402B and the body 401 can be accomplished by a suitably engineered low-friction interface between the body and the shielding gates 402A, 402B. In other embodiments, the sliding between the shielding gates 402A, 402B and the body 401 can be accomplished by rollers and/or slide track systems. When the shielding gates 402A, 402B are moved between the open state and the closed state, the shielding gates 402A, 402B move in opposite transverse directions (transverse to the central axis P-P). Hooks 413 are provided on the shielding gates 402A, 402B to provide a mechanism by which the shielding gates 402A, 402B can be grasped and moved between the open state and the closed state. Stoppers 414 are provided on the body 401, and specifically on the bottom plate 404, that prohibit the shielding gates 402A, 402B from being fully withdrawn from the gate chamber 407 and separated from the body 401. While the stoppers 414 are exemplified as posts, an protuberance or mechanical interference structure can be utilized. The stoppers 414 extend upward from transverse flanges 415 of the body 401, which are integrally formed with the bottom pate 404. While two shielding gates 402A, 402B are used in the exemplified embodiment to seal and open the passageway 408, more or less shielding gates can be sued in alternate embodiments of the shield-gate apparatus 400. In certain embodiments, a single shielding gate can be used that covers the entirety of the passageway 408 in the closed state. Furthermore, while the shielding gates 402A, 402B are slidably mounted to the body 401 in the exemplified embodiment, the shielding gate(s) may be pivotable mounted to the body 401 in alternate embodiments so as to be pivotable between the open state and the closed state. A first opening 409 is provided in the top surface 410 of the body and a second opening 411 is provided in the bottom surface 412 of the body 401. The passageway 408 extends through the body 401 from the first opening 409 to the second opening 411, thereby forming a pathway through which various components can be lifted through the shield-gate apparatus 400 (discussed below). Conceptually, the passageway 408 is formed by the first opening 409, the second opening 411 and that portion of the gate chamber 407 that is aligned with the first and second openings 409, 411. The shield-gate apparatus 400 further comprises a retaining feature 416. As will be described in greater detail below, the retaining feature 416 is provided to prevent relative transverse movement between the shield-gate apparatus 400 and a component positioned atop the shield-gate apparatus 400 (such as the shielding block 500 and/or the second shielding container 600). As also discussed below, the retaining feature 416 prevents relative transverse movement between the shield-gate apparatus 400 and the component, while at the same time allowing relative rotation between the shield-gate apparatus 400 and the component about a rotational axis (such as the central axis P-P). The retaining feature 416 also allows the component to be separated from the shield-gate apparatus 400 by simply lifting the component in an axial direction away from the shield-gate apparatus 400. In the exemplified embodiment, the retaining feature 416 comprises an inner side wall 417 of a retaining ring 418 that protrudes from the top surface 410 of the body 401. The inner side wall 417, in the exemplified embodiment, circumferentially surrounds the first opening 409 of the body 401 in a radially spaced apart manner. As a result, an annular ledge 418 is formed in the stop surface 410 between the first opening 409 and the inner side wall 417 of the retaining feature 416. While the retaining ring 418 is exemplified as a non-interrupted and continuous annular structure, in alternate embodiments, the retaining ring 418 can be segmented and discontinuous. In other contemplated embodiments, the retaining feature 416 can take the form of properly positioned pegs (or protuberances) that protrude from the top surface 410 of the body in circumferentially spaced apart arrangement around the first opening 409. In still other embodiments, the retaining feature can be an outer side wall of a continuous annular groove formed into the top surface 410 of the body 401 that is either spaced from or adjacent the first opening 409. In such embodiments, the component (such as the shielding block 500 and/or the second shielding container 600) may comprise one or more protruding structures that slide axially into the groove for nesting therein. In even other embodiments, the retaining feature 416 can be the mere annular edge 420 (which acts as an upstanding side wall) that defines the first opening 409. In such embodiments, the component (such as the shielding block 500 and/or the second shielding container 600) may comprise a stepped surface that mates with the annular edge 419. The shield-gate apparatus 400 further comprises a plurality of flanges 420 extending from the body 401 for securing the shield-gate apparatus 400 to the first shielding container 100. Lifting lugs 421 are also provided on the top surface 410 of the body 401 to facilitate engagement and lifting of the shield-gate apparatus 400 to position the shield-gate apparatus 400 atop the first shielding container 100. Referring now to FIGS. 4-19, use of the shield-gate apparatus 400 to transfer the radioactive payload 300 form the first shielding container 100 to a second shielding container 600 will be described according to an embodiment of the present invention. During this discussion, additional components of the inventive system along with additional details of the structures discussed above will become apparent. Furthermore, while the invention will be described below with respect to the above-reference transfer procedure, it will become apparent to those skilled in the art that the structures and concepts discussed herein can be utilized to perform a wide variety of work in cavities that house radioactive payloads, while at the same protecting the external environment and nearby works from potential radiation shine. Referring specifically now to FIGS. 4 and 5 concurrently, the shield-gate apparatus 400 is first positioned atop the first shielding container 100. The bottom surface 412 of the shield-gate apparatus 400 is in surface contact with the top surface 151 of the first shielding container 100. The shield-gate apparatus 400 is positioned atop the first shielding container 100 in an alignment such that the central axis A-A of the central opening 107 is substantially coaxial with the central axis P-P of the passageway 408. Alignment pegs 150 that extend from the fixed portion 106 of the roof slab 104 of the first shielding container 100 extend through holes 422 (FIG. 3) of the flanges 420 of the shield-gate apparatus 400, thereby prohibiting relative transverse movement between the shield-gate apparatus 400 and the first shielding container 100. Alternatively, fasteners that extend through the holes 422 and into bores formed in the fixed portion 106 of the roof slab 104 can be used in addition to or instead of the alignment pins. At this stage, the shielding doors 402A, 402B are in the closed state for ease of handling the shield-gate apparatus 400. However, in other embodiments, the shielding doors 402A, 402B may be in the open state if desired because the removable shielding lid 205 is still in place and seals the central opening 107 of the housing 101 of the first shielding container 100. Referring now to FIG. 6, once the shield-gate apparatus 400 is positioned atop the first shielding container 100 as described above, the shielding gates 402A, 402B of the shield-gate apparatus are moved from the closed-state to the open state (if not previously done so). As a result, the passageway 408 through the body 401 is unobstructed by the shielding gates 402A, 402B. A tool, in the form of a lifting rig 700, is then coupled to the removable shielding lid 105. The lifting rig 700, which is part of a larger crane or other lifting system, is then raised, thereby lifting the removable shielding plug 105 out of the central opening 107 (shown in FIG. 6) to a raised position in which the removable shielding lid 105 will not obstruct the shielding gates 402A, 402B from being moved back into the closed state (FIG. 7). Referring now to FIG. 7, the aforementioned raised position, and the benefits associated therewith, will be described in greater detail. When in the exemplified raised position, the bottom portion 105C of the removable shielding lid 105 remains within the passageway 408 of the shield-gate apparatus 400 while the bottom surface 105D of the removable shielding lid 105 is at an elevation (i.e., height) above the top surfaces 450A, 450B of the shielding gates 402A, 402B. Thought of another way, in the raised position, the bottom surface 105D of the removable shielding lid 105 is disposed within the passageway 408 at a height above the shielding gates 402A, 402B. Furthermore, the transverse cross-section of the first opening 409 of the passageway 408 substantially corresponds to the transverse cross-section of the bottom portion 105C of the removable shielding lid 105 in both size and shape. In one embodiment, the transverse cross-section of the first opening 409 of the passageway 408 is substantially the same as the transverse cross-section of the bottom portion 105C of the removable shielding lid 105 in both size and shape. Of course, a small tolerance must be provided for so that the removable shielding lid 105 does not get stuck in the first opening 409. In one embodiment, the tolerance is a relational value and is less 5% of the radius of the bottom portion 105D. In another embodiment, the tolerance is an empirical value and is less than 2 inches. The removable shielding lid 105 is maintained in the aforementioned raised position until the shielding gates 402A, 402B are moved back into the closed state. By designing the first opening 409 to have a transverse cross-section that substantially corresponds to the transverse cross-section of the bottom portion 105C of the of the removable shielding lid 105 in both size and shape, and maintaining the removable shielding lid 105 in the aforementioned raised position, the removable shielding lid 105 itself prevents any substantial radiation shine to exit the passageway 408, despite the shielding gates 402A, 402B remaining open. In another embodiment, a suitable alternate raised position is achieved when the bottom surface 105D of the removable shielding lid 105 is substantially flush with the top surface 410 of the body 401 of the shield-gate apparatus 400. Referring now to FIG. 8, once the removable shielding lid 105 is in the raised position shown in FIG. 7 (or the alternative raised position recited above), the shielding gates 402A, 402B moved into the closed state. The removable shielding lid 105 is then removed from the vicinity. Alternatively, it can be uncoupled from the lifting rig 700 and allowed to rest atop the shielding gates 402A, 402B until the next step in the procedure is ready in an effort to even farther improve radiation shielding. Referring now to FIG. 9, once the removable shielding lid 105 is out of the way, it is replaced by a shielding block 500. The shielding block 500 is positioned atop the shield-gate apparatus 400 to enclose the first opening 409. The shield block 500 is formed of a gamma radiation shielding material of engineered thickness. Suitable gamma radiation shielding materials include, without limitation, lead, steel, concrete, and combinations thereof. Furthermore, in alternate embodiments, the shielding gates 402A, 402B can include neutron absorbing materials to adequately contain neutron radiation. While the shielding gate 500 has a circular transverse cross-section in the exemplified embodiment, the shielding gate 500 can take on other shapes as desired in other embodiments. The shielding gate 500 is positioned atop the shield-gate apparatus 400 such that mechanical interference between the shielding block 500 and the retaining feature 416 prevents relative transverse movement between the shielding block 500 and the shield-gate apparatus 400. Despite the existence of this mechanical interference that prevent relative lateral movement, the retaining feature 416 allows relative rotation between the shielding block 500 and the shield-gate apparatus 400 about a central axis R-R of the shielding block 500. Thus, in the exemplified embodiment, the central axis R-R of the shielding block 500 is also the axis of rotation. In other embodiments, the central axis R-R of the shielding block 500 can be offset from the axis of rotation. Furthermore, in the exemplified embodiment, the central axis R-R of the shielding block 500 (which is also the axis of rotation) is substantially coaxial with the axis A-A of the central opening 107 and the central axis P-P of the passageway 408 of the shield-gate apparatus 400. In alternate embodiments, one or more of the aforementioned axes may be offset from one another. In the exemplified embodiment, the shielding block 500 is positioned atop the shield-gate apparatus 400 so that a perimeter portion of the bottom surface 501 of the shielding block 500 is in surface contact with the annular ledge 418 while a side surface 502 of the shielding block 500 is retained by the retaining feature 416. The shielding gates 402A, 402B are closed during the positioning of the shielding block 500 atop shield-gate apparatus. The shielding block 500 comprises a plurality of tool ports 503, 504 that extend through the shielding block 500 from the top surface 505 to the bottom surface 501. The tool ports 503, 504 form vertical passageways through the shielding block 500 so that selected tools can be extended therethrough. In the exemplified embodiment, the shielding block 500 comprises a first tool port 504 that is offset a distance from the rotational axis of the shielding block 500 by a distance (which is also the central axis R-R in the exemplified embodiment). As discussed further below, the first tool port 504 has a tool port axis T-T that is spaced from the rotational axis R-R by a distance that is substantially the same as the distance by which the fastener axis F-F is spaced from axis A-A (FIG. 1). As a result, the shielding block 500 can be rotated about the rotational axis R-R so that the tool port axis T-T can be selectively oriented in substantially coaxial alignment with each of the fastener axes F-F. Thus, work can be performed through the first tool port 504 at a variety of circumferential locations. In the exemplified embodiment, the work is performed on the fasteners 203 and the tool part axis T-T is substantially parallel to the rotational axis R-R. The shielding block 500 also comprises a second tool port 504 that is aligned with (i.e. coextensive) with the rotational axis of the shielding block 500 (which is also the central axis R-R in the exemplified embodiment). Of course, more or less tool ports can be provided in the shielding block 500 as necessary. A shielding plug 506 is removably located within each of the first and second tool ports 503, 504. The shielding plugs 506 are formed of a gamma radiation shielding material as discussed above. The shielding plugs 506 are positioned within the tool ports 503, 504 to prevent unnecessary radiation escape when the tool port is not in use. Referring now to FIG. 10, once the shielding block 500 is in position atop the shield-gate apparatus 400 as discussed above, the shielding gates 402A, 402B are moved into the open state. As a result, the passageway 408 through the shield-gate apparatus is once again unobstructed. The shielding plug 506 is then removed front the first tool port 504, thereby providing an access pathway through the shielding block 500 so that work within the first cavity 104 can be performed by a tool, which in the exemplified embodiment is an extension wrench 800. The extension wrench has a shaft portion 801, head portion 802, and a control arm portion 803. The head portion 802 is inserted through the first tool port 504 and into the cavity 104 until it engages one of the fasteners 203A of pressure vessel 200. At this stage the shaft portion 801 extends from inside the first cavity 104 to outside of the system where it is coupled to the control arm portion 802. As shown in FIG. 10, the shielding block 500 is in a first rotational position such that the tool port axis T-T (see FIG. 9) is substantially coaxial with the fastener axis F-F (see FIG. 1) defined by the fastener 203A. As a result of this alignment, the head portion 802 of the extension wrench 800 can be fitted over the fastener 203A and subsequently rotated to unfasten and remove the fastener 203A from the pressure vessel 200. The shielding block 500 could have been positioned atop the shield-gate apparatus 400 so as to be already in the desired first rotational position, or the shielding block 500 may have been subsequently rotated into the first rotational position after initial placement. Once the work is complete for fastener 203A, the shielding block 500 is rotated about the rotational axis R-R in either the clockwise or counterclockwise direction until the tool axis T-T of the first tool port 504 (FIG. 9) is substantially coaxial with the fastener axis F-F (FIG. 1) of another one of the fasteners 203B. The unfastening and removal process discussed above is then completed for this second fastener 203B. The aforementioned rotation and work sequence is repeated until all of the fasteners 203 are unfastened and removed, thereby freeing the removable pressure vessel lid 202 for removal from the pressure vessel body 201. Rotation of the shield block 500 can be performed with or without the extension wrench 800 remaining inserted through the first tool port 504. Rotation of the shielding plug 500 can be achieved by a motor or other rotary mechanism that is either directly or indirectly coupled to the shielding plug 500. In one embodiment, rotation of the shielding plug 500 is accomplished by properly changing the orientation and/or position of the control arm portion 802 of the extension wrench 800. In such an embodiment, the motion of the control arm portion 802 is converted into rotation motion of the shielding block 500 via the shaft portion 801. In still other embodiments, separate control arms, pulleys, or linkages can be operably coupled to the shielding plug 500 at one end and operably coupled to a motor at a second end to achieve the desired rotary motion. In still other embodiments, a rotary platform can be placed into contact with the shielding block 500, or can be built therein. Other motion inducing forces are also contemplated, including magnetic attraction/repulsion that can be selectively activated through electrical current (i.e., electromagnets). In such an embodiment, properly positioned magnets will be positioned in the shielding plug 500 and along the gate-shield apparatus 400. Referring now to FIG. 11, once the fasteners 203 have all been properly removed, a shielding plug 506 is inserted into the first tool port 504 while the shielding plug 506 from the second toot port 503 is removed. Another tool, in the form of a grapple rod 900 is inserted through the second tool port 503 along the axis A-A until it engages a lifting lug 205 of the removable pressure vessel lid 202. Engagement between the grapple rod 900 and the lifting lug 205 can be accomplished through a threaded connection that is accomplished by rotating the grapple rod 900 about the axis A-A. As a result, the grapple rod 900 engages the removable pressure vessel lid 202 of the pressure vessel 200. Referring now to FIG. 12, once the grapple rod 900 engages the removable pressure vessel lid 202, the removable pressure vessel lid 202 is lifted upward in the first cavity 104 until it passes into the passageway 408 of the shield-gate apparatus and contacts the bottom surface 502 of the shielding block 500. At this stage, the removable pressure vessel lid 202 is located within the passageway 408 of the shield-gate apparatus 400. Referring now to FIG. 13, once the removable pressure vessel lid 202 contacts the bottom surface 502 of the shielding block 500, the grapple rod 900 continues to be raised. As a result, the removable pressure vessel lid 202 and the shielding block 500 are lifted upward. During this movement, the removable pressure vessel lid 202 acts as a flange (or washer) of the grapple rod 900 that engages the shielding block 500. Upward lifting of the combined removable pressure vessel lid 202 and shielding block 500 is continued until the raised position is achieved (illustrated in FIG. 13). In the raised position, neither the removable pressure vessel lid 202 nor the shielding block 500 will not obstruct the shielding gates 402A, 402B from being moved back into the closed state (FIG. 14). When in the exemplified raised position of FIG. 13, a bottom portion 202B of the removable pressure vessel lid 202 remains within the passageway 408 of the shield-gate apparatus 400 while the bottom surface 202A of the removable pressure vessel lid 202 is at an elevation (i.e., height) above the top surfaces 450A, 450B of the shielding gates 402A, 402B. Thought of another way, in the raised position, the bottom surface 202A of the removable pressure vessel lid 202 is disposed within the passageway 408 at a height above the shielding gates 402A, 402B. Furthermore, the transverse cross-section of the first opening 409 of the passageway 408 substantially corresponds to the transverse cross-section of the bottom portion 202B of the removable pressure vessel lid 202 in both size and shape. In one embodiment, the transverse cross-section of the first opening 409 of the passageway 408 is substantially the same as the transverse cross-section of the bottom portion 202A of the removable pressure vessel lid 202 in both site and shape. Of course, a small tolerance must be provided for so that the removable pressure vessel lid 202 does not get stuck in the first opening 409. In one embodiment, the tolerance is a relational value and is less 5% of the radius of the bottom portion 202A. In another embodiment, the tolerance is an empirical value and is less than 2 inches. The removable pressure vessel lid 202 is maintained in the aforementioned raised position until the shielding gates 402A, 402B are moved back into the closed state. By designing the first opening 409 to have a transverse cross-section that substantially corresponds to the transverse cross-section of the bottom portion 202A of the of the removable pressure vessel lid 202 in both size and shape, and maintaining the removable pressure vessel lid 202 in the aforementioned raised position, the removable pressure vessel lid 202 itself helps prevents any substantial radiation shine to exit the passageway 408, despite the shielding gates 402A, 402B remaining open. In another embodiment, a suitable alternate raised position is achieved when the bottom surface 202A of the removable pressure vessel lid 202 is substantially flush with the top surface 410 of the body 401 of the shield-gate apparatus 400. Referring now to FIG. 14, once the combined (and stacked) removable pressure vessel lid 202 and the shielding block 500 are in the raised position shown in FIG. 13 (or the alternative raised position recited above), the shielding gates 402A, 402B are moved into the closed state. The combined (and stacked) removable pressure vessel lid 202 and the shielding block 500 is then removed from the vicinity. Referring now to FIG. 15, once the once the combined (and stacked) removable pressure vessel lid 202 and the shielding block 500 is out of the way, a second shielding container 200 is brought in. In the exemplified embodiment, the second shielding container 200 is in the form of a gated transfer cask 600. Other shielding containers can, of course, be used, including non-gated transfer casks. As with the first shielding container 100, the gated transfer cask 600 provides the required radiation shielding for the radioactive payload once it is transferred into the second cavity 601 of the gated transfer cask 600. The gated transfer cask 600 comprises a transfer cask shield-gate 602 and a structure 603 protruding from a bottom surface 604 of the transfer cask shield-gate 602. The gated transfer cask 600 is first positioned atop the shield-gate apparatus 400 so that the second cavity 602 of the transfer cask in axial alignment with the first opening 409 of the shield-gat apparatus 400. When so aligned, the structure 603 of the gated transfer cask 600 protrudes into and nest within the retaining ring 418 of the shield-gate apparatus 400. As a result, the retaining ring 418 prevents relative transverse movement between the gated transfer cask 600 and the shield mite apparatus 400. The shielding gates 603A, 603B of the gated transfer cask are then moved into an open state, shown in PIG 15 (if not already in said open state). In certain embodiments, the gated transfer cask 600 may he secured to the shield-gate apparatus 400 via fasteners or other means. Referring now to FIG. 16, once the gate transfer cask 500 is in position as described above, the shielding gates 402A, 402B of the shield-gate apparatus are moved back into the open state. As a result an unobstructed passageway is formed from the second cavity 601 of the gated transfer cask 600 all the way into the pressure vessel body 201 so that the radioactive load 300 can be manipulated. A grapple rod 1000 is then inserted through a port 606 in the lid 607 of the gated transfer cask 600. The grapple rod 1000 is lowered through the second cavity 602, through the passageway 408, through the central opening 107, through the first cavity 104, and into the open ended pressure vessel chamber body 204 where it engages the radioactive load 300. Engagement of the radioactive payload 300 can be accomplished in a variety manners, including achieving a threaded connection as discussed above. Referring now to FIG. 17, once the radioactive payload 300 is engaged, the grapple rod 1000 is lifted upward so that the radioactive payload 300 passes out of the pressure vessel chamber 204, through the first cavity 104, through the central opening 107, through the passageway 408, and into the second cavity 602 of the gated transfer cask 600. The shielding gates 603A, 603B of the gated transfer cask 600 are then closed (FIG. 18), the grappling rod 1000 is uncoupled from the radioactive payload 300, and the gated transfer cask 600 (with its payload) is lifted off and away from the shield-gate apparatus 400. As used throughout, ranges are used as shorthand for describing each and every value that is within the range. Any value within the range can be selected as the terminus of the range. In addition, all references cited herein are hereby incorporated by referenced in their entireties. In the event of a conflict in a definition in the present disclosure and that of a cited reference, the present disclosure controls. While the invention has been described with respect to specific examples including presently preferred modes of carrying out the invention, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques. It is to be understood that other embodiments may be utilised and structural and functional modifications may be made without departing from the scope of the present invention. Thus, the spirit and scope of the invention should be construed broadly as set forth in the appended claims.
040102874
claims
1. In a process for producing discrete porous spheroidal particles consisting of a dispersion of a metal carbide or oxide-carbide mixture within a carbon matrix, the improvement which comprises carbonizing a metal-loaded ion-exchange resin microsphere within a fluidized bed to create a carbonized microphere consisting essentially of a dispersion of metal oxide within a carbon matrix, coating the carbonized microsphere with a buffer carbon layer resulting from the thermal decomposition of acetylene, and then heating the coated oxide-containing microsphere at a temperature for a time sufficient to convert at least a portion of the oxide to carbide. 2. In a process for producing discrete porous spheroidal particles consisting of a dispersion of a uranium carbide or UC.sub.2 --UO.sub.2 mixture within a carbon matrix, the improvement which comprises carbonizing a uranium-loaded ion-exchange resin microsphere within a fluidized bed to a temperature which produces a microsphere consisting of a dispersion of UO.sub.2 within a porous carbon matrix, coating said composition with a buffer carbon layer, and then heating the buffer-carbon-coated microsphere at a temperature in the range 1500.degree.-2000.degree. C. and for a time sufficient to convert at least a portion of the UO.sub.2 to UC.sub.2. 3. The process according to claim 1 in which the resin microspheres are derived from copolymers of acrylic acid or methacrylic acid with divinylbenzene. 4. The method according to claim 2 in which an isotropic carbon layer is applied on the buffer coating.
claims
1. A method of transferring heat of a nuclear fission deflagration wave reactor, the method comprising:disposing nuclear fission fuel material in at least a portion of at least one primary heat pipe;propagating a nuclear fission deflagration wave in the nuclear fission fuel material in a reactor core assembly of a nuclear fission deflagration wave reactor; andduring propagating the nuclear fission deflagration wave, transferring heat from the propagating nuclear fission deflagration wave to the at least one primary heat pipe. 2. The method of claim 1, further comprising:transferring heat from the at least one primary heat pipe to at least one secondary heat pipe that is external of a reactor vessel; andtransferring heat from the at least one secondary heat pipe to at least one external heat sink that is external of the reactor vessel. 3. The method of claim 1, further comprising:transferring heat from the nuclear fission fuel material to at least one internal heat pipe that is disposed internal to a reactor vessel; andtransferring heat from the at least one internal heat pipe to at least one internal heat sink that is disposed internal to the reactor vessel. 4. The method of claim 1, wherein heat is transferred from a portion of the nuclear fission fuel material proximate a burnfront of the nuclear fission deflagration wave. 5. A method of transferring heat from a nuclear fission deflagration wave reactor, the method comprising:disposing nuclear fission fuel material in at least a portion of at least one primary heat pipe;propagating a nuclear fission deflagration wave in the nuclear fission fuel material in a reactor core assembly of a nuclear fission deflagration wave reactor;during propagating the nuclear fission deflagration wave, transferring heat from the propagating nuclear fission deflagration wave to the at least one primary heat pipe; andtransferring heat from the at least one primary heat pipe to at least one external heat sink that is external of a reactor vessel. 6. The method of claim 5, further comprising:transferring heat from the at least one primary heat pipe to at least one secondary heat pipe that is external of a reactor vessel; andtransferring heat from the at least one secondary heat pipe to at least one external heat sink that is external of the reactor vessel. 7. The method of claim 5, further comprising:transferring heat from the nuclear fission fuel material to at least one internal heat pipe that is disposed internal to a reactor vessel; andtransferring heat from the at least one internal heat pipe to at least one internal heat sink that is disposed internal to the reactor vessel. 8. The method of claim 5, wherein heat is transferred from a portion of the nuclear fission fuel material proximate a burnfront of the nuclear fission deflagration wave. 9. The method of claim 1, further comprising disposing the at least one primary heat pipe in the reactor vessel. 10. The method of claim 1, further comprising disposing a second at least one primary heat pipe in the reactor vessel and external to the nuclear fission fuel material, and wherein transferring heat from the propagating nuclear fission deflagration wave to the at least one primary heat pipe includes transferring heat from the propagating nuclear fission deflagration wave to the second at least one primary heat pipe. 11. The method of claim 1, further comprising disposing a second at least one primary heat pipe substantially orthogonal to a fuel assembly containing at least a portion of the nuclear fission fuel, and wherein transferring heat from the propagating nuclear fission deflagration wave to the at least one primary heat pipe includes transferring heat from the propagating nuclear fission deflagration wave to the second at least one primary heat pipe.
abstract
Textured surface for increasing Leidenfrost temperature. The texture comprises of surface features over multiple length scales—from micro to nanoscale—wherein the features at each length scale have a size, aspect ratio, and spacing selected to increase the Leidenfrost temperature. The structure includes an array of microscale structures disposed on the surface, the structure having size, aspect ratio and spacing selected to increase Leidenfrost temperature. The microscale structures may also include nanoscale structures on their surface to create a hierarchical structure. The structures result in an increased Leidenfrost temperature.
063320126
claims
1. In a nuclear fuel assembly grid including a plurality of mutually interleaved flat straps forming an array of fuel rod receiving cells, a strap formed with slots regularly distributed along an elongate direction of the plate for interleaving with other straps of the grid, said strap having dimples located between some at least of said slots for maintaining fuel rods in said cells, wherein: said strap has an upstream portion extending in said elongate direction and in transverse relation to a coolant flow direction in the grid, said upstream portion having an upstream edge interrupted by said slots and having a concave chamfer extending from said upstream edge of the strap on each side thereof along the whole length of said upstream edge, each said chamfer has a length in the direction of flow which varies from a maximum value half-way between two successive said slots and a minimum value in the vicinity of the slots, and said upstream edge has a thickness which is minimum midway between said two successive slots. each of said straps of the first set having an upstream portion, extending in transverse relation to a coolant flow direction in the grid, having two sides formed with symmetrical concave chamfers extending from the upstream edge of the strap, and each of said straps of each of the sets having a plurality of dimples each located midway between two successive said slots and each for maintaining one of said fuel rods in a respective one of said cells and each said dimple being formed as a bridge having chamfered edges extending parallel to said upstream edge of the respective strap. each of said straps having an upstream portion, extending in transverse relation to a coolant flow direction in the grid and having two sides formed with symmetrical concave chamfers extending from an upstream edge of the strap, and each of said straps having a plurality of dimples each located midway between two successive said slots and each for maintaining one of said fuel rods in a respective one of said cells, and each said dimple being formed as a bridge having chamfered edges extending parallel to said upstream edge. 2. In a nuclear fuel assembly grid including a plurality of mutually interleaved flat straps forming an array of fuel rod receiving cells, a strap formed with slots regularly distributed along an elongate direction of the plate for interleaving with other straps of the grid, said strap having dimples located between some at least of said slots for maintaining fuel rods in said cells, wherein said strap has an upstream portion extending in said elongate direction and in transverse relation to a coolant flow direction in the grid which has a concave chamfer extending along the whole length of said upstream edge from an upstream edge of the strap on each side thereof and wherein the upstream edge of the strap has a concave shape between the slots of each pair of successive said slots. 3. A strap according to claim 1, wherein said strap has a thickness along the upstream edge which is at least equal to half the thickness in a current portion of the strap. 4. A strap according to claim 1, wherein each said chamfer has a cross-sections along planes parallel to said slots which are defined by lines of semi-circular shape whose center is located beyond the upstream edge, at a distance from the upstream edge which is substantially equal to an height of the chamfer and is at a distance from an axis of the strap which is several times greater than the thickness of the strap. 5. A strap according to claim 1, wherein the dimples are in the form of bridges directed parallel to said upstream edge and having edge portions chamfered on both sides. 6. A strap according to claim 5, wherein the edges of the bridges have a same thickness as a thickness of the upstream edge of the strap. 7. A nuclear fuel assembly grid including two sets each consisting of mutually parallel straps formed with regularly distributed slots, the straps of one of the sets being orthogonal to and interleaved with the straps of the other set, for defining cells for fuel rods, the slots of the straps of a first one of said sets opening into and interrupting an upstream edge of said straps and the slots of the straps of a second one of said sets opening into and interrupting a downstream edge of said straps, each said chamfer having a length along the coolant flow direction which varies from a maximum value half-way between two successive said slots to a minimum value in the vicinity of the slots, and 8. A nuclear fuel assembly according to claim 7, wherein each of said chamfers has cross-sections along planes parallel to said slots which are defined by lines of semi-circular shape whose center is located beyond the upstream edge, at a distance from the upstream edge which is substantially equal to a height of the chamfer and is at a distance from an axis of the strap which is several times greater than the thickness of the strap. 9. A nuclear fuel assembly grid including two sets each consisting of a plurality of mutually parallel straps formed with regularly distributed slots, the straps of one of the sets being orthogonal to and interleaved with the straps of the other set, for defining cells for fuel rods,
description
This application is a US 371 Application from PCT/RU2018/000179 filed Mar. 22, 2018, which claims priority to Russia Application 2017112521 filed Apr. 12, 2017, the technical disclosures of which are hereby incorporated herein by reference. The invention is related to integrated recycling of complex liquid boric nuclear waste generated during operation of the nuclear power units, including VVER, and which can be used for extraction of boric and nitric acids, and compounds of alkaline elements to be further re-used in NPP process cycle. Among all types of liquid nuclear waste generated by NPPs, evaporator sludge is the most difficult for recycling. This type of waste is high-salt nitrate solution of sodium and potassium, containing borates and hardware corrosion products in the form of transition metals salt, as well as decontamination agents delivered with various effluents. After decontamination the solution is evaporated to be converted into a fusion cake for further storage. This type of waste cannot be 100% recycled. There is information about a boron-controlled method of liquid nuclear waste recycling (see patent No 2012076 RF, IPC5G21F 9/08, 1994). This method involves vaporization of waste, crystallization of resulting boron-containing concentrate, separation of this concentrate from the mother liquor, dissolution, ultrafiltration of the resulting solution, ion-selective filtration, vaporization up to 80-250 g/l in equivalent of boric acid and crystallization of this acid. The yield of boric acid is less than 84% with impurity content of 10−2-10−3 percent by weight. Among the major disadvantages of this method are: high energy consumption for vaporization, low extraction of boric acid, the resulting solutions require post-purification. This method is used for recovery of boric acid only, which means a lot of non-recycled waste for further disposal. There is also information about another method selected as a prototype, this is a boron-controlled method of liquid nuclear waste recycling (see U.S. Pat. No. 7,323,613 USA, IPC G21F 9/06, 9/20, G21C 1/00, B01D 61/42 (2006.01), 2008). This method is applied for liquid waste containing sodium and potassium nitrates and includes separate collection of acidic waste (pH<5.5), which does not contain boric acid, and alkaline waste (pH>5.5), which contain borates, followed by concentration and mixing, crystallization of sodium borate with pH 8.0-10.1, separation from the mother liquor, treatment of the solution with calcium and magnesium salts, preferable with calcium nitrate or magnesium chloride, and separation of the resulting borate precipitation of calcium or magnesium. Then the sodium borate precipitation is dissolved to reach concentration of 20-25 g/l and expose to electrodialysis with an electrodialysis device with anion- and cation-exchange membranes, as a result there are boric acid solutions (0.1-60 g/l) and sodium hydroxide solutions (with NaOH content up to 150 g/l). The parameters of electrodialysis are: current intensity=0.2-45 A, voltage=5-55 V This method has the following disadvantages: It provides only for the recovery of boric acid and sodium hydroxide, while the high-salt mother liquor (which does not contain borates) is not recycled, which means a lot of non-recycled waste for further disposal. There is another disadvantage of this method: the resulting boric acid solution is un-marketable, and sodium hydroxide solution is of low concentration which makes them difficult to re-use. High energy consumption is another disadvantage of this method. The reason is that the sodium borate solution exposed to electrodialysis has low sodium content and higher electrical resistance, thus higher current intensity and voltage are required for electrodialysis. Purpose of this invention is to achieve a technical result, which can be described as recycling of complex nuclear liquid waste with high salt content to have a broader range of post-recycling products, in particular, marketable boric acid, concentrated solutions of nitric acid, sodium and potassium hydroxides, which can be re-used in NPP process cycle. The expected technical result involves achieving lower energy consumption and reduction of the amount of hazardous waste to be buried and to be stored. The technical result is achieved through boron-controlled method of recycling liquid nuclear waste which contain sodium and potassium salts, including: adding calcium nitrate to the borate solution with further precipitation of sodium borate and separation of sodium borate from the mother liquor; followed by electrodialysis using an electrodialysis device with cathion- and anion-exchange chambers; followed by generation of boric acid and sodium and potassium hydroxide solutions; in accordance with the invention, the initial borate solution contains sodium and potassium nitrates and sulfates instead of sodium and potassium salts; calcium nitrate is added to the initial borate solution to have calcium borate and sulfate co-precipitated; boric acid is produced by treating calcium borate and sulfate with nitric acid solution with further separation of precipitated calcium sulfate from the calcium borate solution; after that calcium borate solution is treated with nitric acid to cause boric acid precipitation and calcium nitrate solution; boric acid precipitation is separated and dried, and the mother liquor is exposed to electrodialysis to produce nitric acid solution and sodium and potassium hydroxides. The technical result is also achieved by adding the calcium nitrate into borate solution with pH 9.3-11.0 The technical result is also achieved by treating the co-precipitated calcium borate and sulfate with nitric acid solution to reach the value of pH 5-7. The technical result is also achieved by treating the calcium borate solution with nitric acid under the temperature of 10-20° C. to reach the value of pH 1-3. The technical result is also achieved by adding the calcium nitrate solution to the initial borate solution after separation of precipitation. The technical result is also achieved by electrodialysis of the mother liquor in a 3-chamber electrodialysis device following the pre-defined volume to volume proportion of the mother liquor VMp in the middle chamber of the electrodialysis device, and following the volume proportion of anolyte Va and catholyte VK in anode and cathode chambers respectively (VMp:Va=1:0.5-1.0 and VMp:VK=1:0.4-0.6), as a result the nitric acid solution will be produced in the anode chamber, while sodium hydroxide solution and potassium hydroxide solution will be produced in the cathode chamber. The technical result is achieved by the following parameters of electrodialysis: current intensity=1-3 A, voltage=4-10 V. The technical result is achieved by washing the boric acid precipitation with nitric solution (pH 2-3) containing boric acid with content of 30-35 g/l The technical result is achieved by drying the boric acid precipitation at a temperature not higher than 60° C. The essential features of alleged invention which define the scope of legal protection and are sufficient for achieving the above technical result have the following functions and are relevant for achieving thereof as follows. The fact that the initial borate solution contains calcium and sodium nitrates and sulfates means that electrodialysed nitric acid and alkaline solutions are suitable for re-use, after the sulfate ions in the form of slightly soluble products (for example, gypsum, which can be used in construction industry) are removed from the initial solution. Adding calcium nitrate into the initial borate solution causes the co-precipitation of calcium borate and sulfate to form, this makes it possible to ensure good extraction of boron compounds from the solution and purify the solution from sulfate ions before electrodialysis. Treatment of co-precipitated calcium borate and sulfate with nitric acid solution followed by conversion of calcium borate into the solution and extraction of precipitated calcium sulfate from the calcium borate solution provides for the good separation of boron compounds from the existing impurities and ensures high boron yield in the final product. Treatment of calcium borate solution with nitric acid makes it possible to have the boric acid precipitation which can be easily separated from the calcium nitrate solution. Drying of washed boric acid precipitation ensures higher boric acid content in the final product. Electrodialysis of the mother liquor which is a result of separation of co-precipitated calcium borate and sulfate requires lower current intensity and voltage values, and provides for extraction of usable components in the form of highly concentrated nitric acid solutions, solutions of sodium and potassium hydroxides which are suitable for re-use in NPP process cycle. The combination of the above features is necessary and sufficient to achieve the technical result of the invention which can be described as having a broader range of resulting products, such as marketable boric acid and concentrated solutions of nitric acid, sodium and potassium hydroxides suitable for re-use in NPP process cycle, as well as reduction of energy consumption and reduction of the amount of hazardous waste to be buried and to be stored. For special cases of invention application the following operations and process parameters are preferred: Adding calcium nitrate to borate solution under pH 9.3-11.0 provides for good extraction of boron compounds from the solution and makes it possible to purify the solution from sulfate ions before electrodialysis. Adding calcium nitrate under pH lower than 9.3 or higher than 11.0 results in partial dissolution of calcium borate followed by lower extraction and co-precipitation. The co-precipitation of calcium borate and sulfate should be treated with nitric acid solution to reach pH value of 5-7, because the solubility of borate compounds in this pH range is highest. If the borate solution has pH lower than 5, the boron yield is also lower because slightly soluble boric acid has been formed. This is the reason why it is impossible to produce highly concentrated boron-containing solutions. If the borate solution has pH higher than 7, slightly soluble sodium borates are formed, they make separation of co-precipitation impossible. The calcium borate solution should be treated with nitric acid solution to reach pH value of 5-7. Treating the calcium borate solution (pH<1) with nitric acid will results in excessive consumption of nitric acid for extraction of boric acid precipitation. With pH over 3 the solid yield of boric acid is also lower. Treating of calcium borate solution with nitric acid at a temperature of 10-20° C. provides for the best conditions for precipitation of boric acid from the solution. If the temperature is above 20° C., the solubility of boric acid increases and the boron yield becomes lower. If treated at a temperature below 10° C., the solution requires to be cooled and the actual yield of the boron does not get higher. Adding calcium nitrate solution to initial borate solution after separation of boric acid precipitation makes it possible to reduce the amount of waste and provides for better use of chemical agents. If the mother liquor is electrodialysed following the pre-defined volume to volume proportion of the mother liquor in the middle chamber of 3-chamber electrodialysis device, and following the volume proportion of anolyte Va and catholyte VK in anode and cathode chambers respectively, it will be possible to control the concentration of resulting products—nitric acid solution, sodium hydroxide solution and potassium hydroxide solution. If the required intervals (VMp:Va=1:0.5-1.0 and VMp:VK=1:0.4-0.6 of the mother liquor volume against the volume of anolyte and catholyte) were not adhered to, it will not be possible to have the nitric acid solutions and alkaline solutions with the necessary concentration range (300-600 g/l) for re-use. Electrodialysis carried out under the following parameters: current intensity=1-3 A, voltage=4-10 V, ensures over 99% ions to be extracted from the saline solution with lower energy consumption and with the current yield of about 50-70% Electrodialysis carried out under I<1A and V<4V will result in unreasonable increase of the process duration and lower efficiency, with I>3A and V>10 V the current yield becomes lower and the energy consumptions rises. Washing the boric acid precipitation with nitrate solution (pH=2-3) with boric acid content of 30-35 g/l provides for removal of impurities to produce the sufficiently pure final product boric acid (H3BO3.) Boric acid is least soluble in these intervals of process parameters. The boric acid content in the nitrate solution used for washing ensures a stable value of the pre-defined pH values interval for the nitrate solution. Using nitrate solution with boric acid content lower than 30 g/l will cause partial dissolution of the washed precipitation and with the nitrate solution with boric acid content over 35 g/l the washing solution will get precipitated. Drying of washed boric acid precipitation under the temperature not exceeding 60° C. ensures boric acid mass content in the final product not less than 99.9 percent by weight. If the temperature is above 60° C., the boric acid may turn into an oxide due to deep dehydration. The lower value of temperature interval was defined following the duration of drying process and may be dependent of rarefaction of operational atmosphere. The above particular features of the invention will allow to implement this method at its best in terms of the opportunity of have a broader range of products for re-use in NPP process cycle, and to reduce energy consumption and the amount of hazardous waste to be buried and to be stored. The core idea of the proposed method can be illustrated with the below Examples. We take 1,000 ml of initial borate solution which contains, g/l: 104 Na+, 50.8 K+, 263 NO3−, 24 SO42−, 5.3 Cl−, 42 H3BO3, pH (borate solution)=8.7. pH of initial solution is upgraded to reach the value of 11 by adding 69 ml of NaOH solution (8.5 mole/l) After that 149 ml of Ca(NO3)2 solution (5.5 mole/l) are added to borate solution As a result a co-precipitation of calcium borate and sulfate is generated. After the generated co-precipitation is separated from the solution using the method of filtration, 1.168 ml of mother liquor are electrodialysed, and co-precipitated calcium borate and sulfate are used for producing boric acid. Co-precipitated calcium borate and sulfate are treated with the solution which contains nitric acid (48.4 ml with concentration=12.2 mole/l) and 120 ml of water to reach the value of pH=5 with further conversion of calcium borate into a solution and separation of calcium sulfate precipitation (34 g) which, in terms of the equivalent amount of anhydrous salt, is 6.95% of overall salt content in the mother liquor. After that the sodium borate solution is treated with nitric acid solution (48.4 ml, concentration=12.2 mole/l) at a temperature of 20° C. to reach the value of pH=1. The generated boric acid co-precipitation is separated from calcium nitrate solution, then washed with nitrate solution (pH=2) which contains boric acid (concentration=35 g/l) and dried at a temperature of 55° C. As a result 32.4 g of boric acid are generated. The calcium nitrate solution is added to the initial borate solution after separation of boric acid precipitation. The mother liquor is electrodialysed in a 3-chamber electrodialysis device with cathion- and anion-exchange membranes. 1,168 ml of mother liquor are exposed to electrodialysis, the solution contains, g/l: 319 NO3−, 0.8 SO42−, 4.6 Cl−, 102 Na+, 44 K+ and 4.8 H3BO3, with I=2 A, V=6 V and following the proportion: VMp:Va=1:0.5 and VMp:VK=1:0.5 to have as a result 584 ml of nitric acid solution generated in the anode chamber, this solution contains, g/l: 648.3 HNO3, 1.63 H2SO4, 9.5 HCl, in cathode chamber there are 584 ml of sodium and potassium hydroxide solution, which contains, g/l: 354.8 NaOH, 181.4 KOH, and in the middle chamber there are 1.168 ml of demineralized mother liquor which contains 1.6 g/l of the following ions: NO3−, SO42−, Cl−, Na+, K+ and 4.8 g/l of H3BO3. Ion extraction from the mother liquor is 99.5%. The current yield of electrodialysed products is 60%. We take 1,000 ml of initial borate solution which contains, g/l: 111.3 Na+, 31.5 K+, 244.3 NO3−, 35.5 SO42−, 10.2 Cl−, 34.6 H3BO3, solution pH=8.5. pH of initial solution is upgraded to reach the value of 9.3 by adding 54 ml of NaOH solution (8.5 mole/l) After that 132 ml of Ca(NO3)2 solution (5.5 mole/l) are added to borate solution As a result a co-precipitation of calcium borate and sulfate is generated. After the generated co-precipitation is separated from the solution using the method of filtration, 1150 ml of mother liquor are electrodialysed, and co-precipitated calcium borate and sulfate are used for producing boric acid. Co-precipitated calcium borate and sulfate are treated with the solution which contains nitric acid (41 ml with concentration=12.2 mole/l) and 150 ml of water to reach the value of pH=7 with further conversion of calcium borate into a solution and separation of calcium sulfate precipitation (50.3 g) which, in terms of the equivalent amount of anhydrous salt, is 10.8% of overall salt content in the mother liquor. After that the sodium borate solution is treated with nitric acid solution (41 ml, concentration=12.2 mole/1) at a temperature of 10° C. to reach the value of pH=3. The generated boric acid co-precipitation is separated from calcium nitrate solution, then washed with nitrate solution (pH=3) which contains boric acid (concentration=30 g/l) and dried at a temperature of 60° C. As a result 27.5 g of boric acid are generated. The calcium nitrate solution is added to the initial borate solution after separation of boric acid precipitation. The mother liquor is electrodialysed in a 3-chamber electrodialysis device with cathion- and anion-exchange membranes. 1.150 ml of mother liquor are exposed to electrodialysis, the solution contains, g/l: 291.4 NO3−, 1.2 SO42−, 8.9 Cl−, 106.7 Na+, 27.4 K+ and 3.1 H3BO3, with I=2 A, V=6.2 V and following the proportion: VMp:Va=1:0.5 and VMp:VK=1:0.4 to have as a result 575 ml of nitirc acid solution generated in the anode chamber, this solution contains, g/l: 592.2 HNO3, 2.45 H2SO4, 18.3 HCl, in cathode chamber there are 460 ml of sodium and potassium hydroxide solution, which contains, g/l: 463.9 NaOH, 56.2 KOH, and in the middle chamber there are 1.150 ml of demineralized mother liquor which contains 1.17 g/l of the following ions: NO3−, SO42−, Cl−, Na+, K+ and 3.1 g/l of H3BO3. Ion extraction from the mother liquor is 99.6%. The current yield of electrodialysed products is 60%. We take 1,000 ml of initial borate solution which contains, g/l: 101.9 Na+, 19 K+, 141.1 NO3−, 4.8 SO42−, 15.1 Cl−, 80.3 H3BO3, solution pH=8.2. pH of initial solution is upgraded to reach the value of 10.1 by adding 82 ml of NaOH solution (8.5 mole/l) After that 236 ml of Ca(NO3)2 solution (5.5 mole/l) are added to borate solution As a result a co-precipitation of calcium borate and sulfate is generated. After the generated co-precipitation is separated from the solution using the method of filtration, 1.250 ml of mother liquor are electrodialysed, and co-precipitated calcium borate and sulfate are used for producing boric acid. Co-precipitated calcium borate and sulfate are treated with the solution which contains nitric acid (103 ml with concentration=12.2 mole/l) and 200 ml of water to reach the value of pH=6.6 with further conversion of calcium borate into a solution and separation of calcium sulfate precipitation (6.8 g) which, in terms of the equivalent amount of anhydrous salt, is 1.9% of overall salt content in the mother liquor. After that the sodium borate solution is treated with nitric acid solution (103 ml, concentration=12.2 mole/l) at a temperature of 18° C. to reach the value of pH=2. The generated boric acid co-precipitation is separated from calcium nitrate solution, then washed with nitrate solution (pH=2) which contains boric acid (concentration=33 g/l) and dried at a temperature of 40° C. As a result 69.3 g of boric acid are generated. The calcium nitrate solution is added to the initial borate solution after separation of boric acid precipitation. The mother liquor is electrodialysed in a 3-chamber electrodialysis device with cathion- and anion-exchange membranes. 1.250 ml of mother liquor are exposed to electrodialysis, the solution contains, g/l: 241.8 NO3−, 1.4 SO42−, 12.1 Cl−, 94.3 Na+, 15.2 K+ and 1.9 H3BO3, with I=1 A, V=4 V and following the proportion: VMp:Va=1:0.6 and VMp:VK=1:0.6 to have as a result 750 ml of nitirc acid solution generated in the anode chamber, this solution contains, g/l: 409.5 HNO3, 2.38 H2SO4, 27.7 HCl, in cathode chamber there are 750 ml of sodium and potassium hydroxide solution, which contains, g/l: 273.3 NaOH, 36.4 KOH, and in the middle chamber there are 1,250 ml of demineralized mother liquor which contains 2.42 g/l of the following ions: NO3−, SO42−, Cl−, Na+, K+ and 1.9 g/l of H3BO3. Ion extraction from the mother liquor is 99%. The current yield of electrodialysed products is 70%. We take 1,000 ml of initial borate solution which contains, g/l: 155.8 Na+, 11.2 K+, 227 NO3−, 6 SO42−, 3.8 Cl−, 18 H3BO3, solution pH=12. pH of initial solution is upgraded to reach the value of 10.6 by adding 130 ml of HNO3 solution (4 mole/l) After that 62 ml of Ca(NO3)2 solution (5.5 mole/l) are added to borate solution As a result a co-precipitation of calcium borate and sulfate is generated. After the generated co-precipitation is separated from the solution using the method of filtration, 1.190 ml of mother liquor are electrodialysed, and co-precipitated calcium borate and sulfate are used for producing boric acid. Co-precipitated calcium borate and sulfate are treated with the solution which contains nitric acid (23 ml with concentration=12.2 mole/l) and 150 ml of water to reach the value of pH=6.2 with further conversion of calcium borate into a solution and separation of calcium sulfate precipitation (8.5 g) which, in terms of the equivalent amount of anhydrous salt, is 2% of overall salt content in the mother liquor. After that the sodium borate solution is treated with nitric acid solution (23 ml, concentration=12.2 mole/l) at a temperature of 16° C. to reach the value of pH=2.3. The generated boric acid co-precipitation is separated from calcium nitrate solution, then washed with nitrate solution (pH=2.3) which contains boric acid (concentration=33 g/l) and dried at a temperature of 57° C. As a result 15.6 g of boric acid are generated. The calcium nitrate solution is added to the initial borate solution after separation of boric acid precipitation. The mother liquor is electrodialysed in a 3-chamber electrodialysis device with cathion- and anion-exchange membranes. 1,190 ml of mother liquor are exposed to electrodialysis, the solution contains, g/l: 346 NO3−, 0.8 SO42−, 3.2 Cl−, 130.9 Na+, 9.44 K+ and 0.6 H3BO3, with I=3 A, V=10 V and following the proportion: VMp:Va=1:1 and VM:VK=1:0.5 to have as a result 1.190 ml of nitirc acid solution generated in the anode chamber, this solution contains, g/l: 352 HNO3, 0.81 H2SO4, 3.3 HCl, in cathode chamber there are 595 ml of sodium and potassium hydroxide solution, which contains, g/l: 455.3 NaOH, 27.0 KOH, and in the middle chamber there are 1190 ml of demineralized mother liquor which contains 1.04 g/l of the following ions: NO3−, SO42−, Cl−, Na+, K+ and 0.6 g/l of H3BO3. Ion extraction from the mother liquor is 99.7%. The current yield of electrodialysed products is 50%. We take 1,000 ml of initial borate solution which contains, g/l: 89.2 Na+, 22.4 K+, 132.7 NO3−, 17.3 SO42−, 11.7 Cl−, 37 H3BO3, solution pH=10.7. After that 336 ml of Ca(NO3)2 solution (4 mole/l) are added to borate solution As a result a co-precipitation of calcium borate and sulfate is generated. After the generated co-precipitation is separated from the solution using the method of filtration, 1,220 ml of mother liquor are electrodialysed, and co-precipitated calcium borate and sulfate are used for producing boric acid. Co-precipitated calcium borate and sulfate are treated with the solution which contains nitric acid (53 ml with concentration=12.2 mole/l) and 150 ml of water to reach the value of pH=6 with further conversion of calcium borate into a solution and separation of calcium sulfate precipitation (24.5 g) which, in terms of the equivalent amount of anhydrous salt, is 7.9% of overall salt content in the mother liquor. After that the sodium borate solution is treated with nitric acid solution (53 ml, concentration=12.2 mole/l) at a temperature of 20° C. to reach the value of pH=2. The generated boric acid co-precipitation is separated from calcium nitrate solution, then washed with nitrate solution (pH=2) which contains boric acid (concentration=35 g/l) and dried at a temperature of 60° C. As a result 36.1 g of boric acid are generated. The calcium nitrate solution is added to the initial borate solution after separation of boric acid precipitation. The mother liquor is electrodialysed in a 3-chamber electrodialysis device with cathion- and anion-exchange membranes. 1.220 ml of mother liquor are exposed to electrodialysis, the solution contains, g/l: 198.4 NO3−, 0.9 SO42−, 9.6 Cl−, 79.1 Na+, 18.4 K+ and 2.5 H3BO3, with I=1 A, V=5 V and following the proportion: VMp:Va=1:0.6 and VMp:VK=1:0.5 to have as a result 732 ml of nitirc acid solution generated in the anode chamber, this solution contains, g/l: 336 HNO3, 1.53 H2SO4, 16.4 HCl, in cathode chamber there are 610 ml of sodium and potassium hydroxide solution, which contains, g/l: 275.1 NaOH, 53.1 KOH, and in the middle chamber there are 1220 ml of demineralized mother liquor which contains 1.98 g/l of the following ions: NO3−, SO42−, Cl−, Na+, K+ and 2.5 g/l of H3BO3. Ion extraction from the mother liquor is 99%. The current yield of electrodialysed products is 70%. From the above description and Examples 1-5 it is clear that the proposed method of liquid nuclear waste recycling, as compared with the prototype method, allows for production of marketable boric acid (in crystallized form) and highly concentrated solutions of boric acid (up to 648.3 g/l) and sodium hydroxide (up to 463.9 g/l) and potassium hydroxide (up to 181.4 g/l) which are suitable for re-use in NPP process cycle and for general industrial needs. Electrodialysis carried out at lower values of current intensity and voltage ensures lower energy consumption. The fact that all major components of waste mother liquors are involved in the process of recycling means less hazardous waste to be further buried and stored. Mostly standard chemical equipment is used for this embodiment.
description
This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2007-222645 filed on Aug. 29, 2007 in Japan, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a writing data creation method and a charged particle beam writing apparatus, and for example, it relates to a writing apparatus in which multi-columns for writing a pattern on a target workpiece are provided. 2. Description of Related Art A lithography technique that advances microminiaturization of semiconductor devices is an extremely important process only which forms patterns in semiconductor manufacturing processes. In recent years, with high integration of large-scale integrated circuits (LSI), a circuit critical dimension required for semiconductor devices becomes minuter year by year. In order to form a desired circuit pattern on semiconductor devices, there is required a master pattern (also called a mask or a reticle) of high precision. The electron beam writing technique intrinsically has excellent resolution and is used for manufacturing a highly precise master pattern. FIG. 15 shows a schematic diagram for illustrating operations of a variable-shaped type electron beam (EB) writing apparatus. As shown in the figure, the variable-shaped electron beam writing apparatus, including two aperture plates, operates as follows: A first or “upper” aperture plate 410 has a rectangular opening or “hole” 411 for shaping an electron beam 330. This shape of the rectangular opening may also be a square, a rhombus, a rhomboid, etc. A second or “lower” aperture plate 420 has a variable-shaped opening 421 for shaping the electron beam 330 that passed through the opening 411 into a desired rectangular shape. The electron beam 330 being emitted from a charged particle source 430 and having passed through the opening 411 is deflected by a deflector to penetrate a part of the variable-shaped opening 421 and thereby to irradiate a target workpiece or “sample” mounted on a stage which continuously moves in one predetermined direction (e.g. X direction) during the writing. In other words, a rectangular shape capable of passing through both the opening 411 and the variable-shaped opening 421 is written in the writing region of a target workpiece 340 on the stage. This method of writing or “forming” a given shape by letting beams pass through both the opening 411 and the variable-shaped opening 421 is referred to as a “variable shaping” method. Conventionally, there is used an electron beam writing apparatus which emits one beam (single beam) from a single column where one optical system column is loaded in one electron lens barrel. In the electron beam writing apparatus using a single beam, an LSI pattern is virtually divided into regions, each of which is called a stripe, a frame or a field, and by writing them in order, the whole LSI pattern is written or “drawn.” In the method of continuously moving the stage on which a mask is placed, the region is called a stripe or a frame. In the method of writing by a step and repeat operation, the region is called a field. The size of the region is determined based on a maximum deflection region of a corresponding deflector, and then, the region is divided according to the size. On the other hand, compared with the writing apparatus employing the single column system mentioned above, there is developed a writing apparatus employing a multi-column cell (MCC) system where two or more optical system columns are loaded in one electron lens barrel. Each column is structured to have the same writing conditions, and a variable shaping writing is performed in each column respectively. (For example, refer to [1] “Multi-column cell MCC-PoC (proof of concept) system evaluation”, by H. Yasuda, T. Haraguchi, et al., the third Symposium on Charged Particle Optics, pp. 125-128, Sep. 18-19, 2003, [2] “Development of electromagnetic lenses for multielectron beam lithography system”, by T. Haraguchi, T. Sakazaki, S. Hamaguchi and H. Yasuda, 2726, J. Vac. Sci. Technol. (Journal of Vacuum Science and Technology) B20 (6), and November/December 2002, and [3] “Multicolumn cell: Evaluation of the proof of concept system”, by T. Haraguchi, T. Sakazaki, T. Satoh, M. Nakano, S. Hamaguchi, T. Kiuchi, H. Yabara and H. Yasuda, 985, J. Vac. Sci. Technol. B22 (3), May/June 2004.) When the multi-column system is adopted, the region dividing method of the single column system mentioned above cannot be used as it is. This is because the distance between the optical centers of both the columns is unique to the apparatus, and for example, it is fixed to 1.1 cm. Then, the distance does not match with the positional relation of a frame or a stripe. If writing is started and continued in such a state, since there exists a region that overflows from a region where deflection can be performed at stable precision, the writing will be executed, in many cases, while deflection is performed up to a position outside the range of each frame. Thereby, there has been a problem of deterioration of writing precision. When a multi-column system is adopted, writing data is required for each column. Therefore, the number of times of required operation processing increases, and a calculator for them becomes necessary. Thus, there is also a problem that the apparatus itself will be enlarged. Therefore, miniaturization of the apparatus is required, but conventionally it has not been sufficiently solved yet. Moreover, it is also required to shorten the writing time by adopting the multi-column system, but however concrete methods have not been conventionally proposed yet. As mentioned above, there is a problem that the region dividing method of the single column system stated above cannot be employed as it is because the distance between the optical centers of each column of a multi-column system does not match with the positional relation of a frame or a stripe. Moreover, in the apparatus of the multi-column system, it is requested to reduce the number of parts, and the volume or the number of times of operation processing. Furthermore, it is requested to shorten the time of writing. An object of the present invention is to provide a creation method of writing data whose region is divided so as to be used in the apparatus of the multi-column system, and to provide a charged particle beam writing apparatus in which the number of parts and the number of operation processing are reduced and the writing time is shortened. In accordance with one aspect of the present invention, a method of creating writing data for writing a pattern on a target workpiece by using a writing apparatus provided with a plurality of columns that emit charged particle beams, the method includes inputting information on distance between optical centers of the plurality of columns, inputting layout data and virtually dividing a writing region indicated by the layout data into a plurality of small regions, by a width of one integer-th of the distance indicated by the information on distance, converting, for each small region, the layout data to a format adaptable to the writing apparatus to create, for the each small region, the writing data whose writing region is divided into the small regions, and outputting the writing data. In accordance with another aspect of the present invention, a charged particle beam writing apparatus includes a storage unit configured to temporarily store a k-th frame data of a first chip, a first deflection control unit configured to read the k-th frame data from the storage unit, and control a deflection position of a first charged particle beam, a first column configured to emit the first charged particle beam onto a k-th frame position of the first chip, based on the k-th frame data read by the first deflection control unit, a second deflection control unit configured to read the k-th frame data from the storage unit after the k-th frame data having been read from the storage unit by the first deflection control unit and before the k-th frame data being rewritten by other data, and control a deflection position of a second charged particle beam, and a second column configured to emit the second charged particle beam onto the k-th frame position of a second chip, based on the k-th frame data read by the second deflection control unit. In accordance with another aspect of the present invention, a charged particle beam writing apparatus includes a stage configured to mount a target workpiece thereon and move in a predetermined direction, and n columns, arranged in the predetermined direction in order, configured to write a corresponding pattern in a small region of 1/n of a writing region of the target workpiece, using a charged particle beam, respectively. In accordance with another aspect of the present invention, a charged particle beam writing apparatus includes a stage configured to mount a target workpiece thereon and move in a predetermined direction, and n columns, arranged in the predetermined direction in order, configured to perform writing processing using a charged particle beam, wherein, in a case that at least two columns are respectively located above a region where a number of shots is larger than that of other region, the apparatus has a function of performing writing processing while giving priority than other case. In the following Embodiments, a structure utilizing an electron beam, as an example of a charged particle beam, will be described. The charged particle beam is not limited to the electron beam, and may be a beam using other charged particle, such as an ion beam. FIG. 1 shows a schematic diagram illustrating a structure of a writing apparatus described in Embodiment 1. In FIG. 1, a pattern writing apparatus 100 includes a writing unit 150 and a control unit 160. The pattern writing apparatus 100 serves as an example of a charged particle beam writing apparatus. The pattern writing apparatus 100 writes or “draws” a desired pattern on a target workpiece 101. The control unit 160 includes a magnetic disk drive 109, a writing data processing circuit 110, deflection control circuits 120 and 122, and buffer memories 130 and 132. The writing data processing circuit 110 includes a plurality of calculators 112a to 112k. In an electron lens barrel 102, there are arranged electron gun assemblies 201 and 301, an illumination lens 202, first aperture plates 203 and 303, a projection lens 204, deflectors 205 and 305, second aperture plates 206 and 306, an objective lens 207, deflectors 208 and 308 and shielding cylinders 212 and 312. Then, a first column 220 (#1) is composed of the electron gun assembly 201, the first aperture plate 203, the deflector 205, the second aperture plate 206, the shielding cylinder 212 and the deflector 208. A second column 320 (#2) is composed of the electron gun assembly 301, the first aperture plate 303, the deflector 305, the second aperture plate 306, the shielding cylinder 312, and the deflector 308. In the electron lens barrel 102, a plurality of columns are provided while the lens systems, such as the illumination lens 202, the projection lens 204, and the objective lens 207 are used in common among the columns. The subsystem which controls an optical path of an independent electron beam is herein called a column. In a writing chamber 103, there is an XY stage 105 movably arranged. On the XY stage 105, there is placed the target workpiece 101. As the target workpiece 101, for example, a wafer on which a semiconductor device is formed and an exposure mask for exposing or “transferring and printing” a pattern onto a wafer are included. This mask includes a mask blank where no patterns are formed, for example. Moreover, writing data is stored in the magnetic disk device 109. While only the structure elements necessary for explaining Embodiment 1 are shown in FIG. 1, it should be understood that other structure elements generally necessary for the pattern writing apparatus 100 may also be included. The writing data processing circuit 110 reads writing data from the magnetic disk drive 109, and converts it into data of a format unique to the apparatus by performing a parallel processing of a plurality of calculators 112. Position deflection data of the column 220 is output to the buffer memory 130 or the buffer memory 132. Similarly, position deflection data of the column 320 is output to the buffer memory 130 or the buffer memory 132. One of the deflection data in the buffer memory 130 or the buffer memory 132 is output to the deflection control circuit 120 for the column 220. Similarly, the other of the deflection data in the buffer memory 132 or the buffer memory 130 is output to the deflection control circuit 122 for the column 320. Then, the deflector 208 is deflection-controlled based on an output from the deflection control circuit 120. Similarly, the deflector 308 is deflection-controlled based on an output from the deflection control circuit 122. The deflection control circuits 120 and 122 serve as examples of a deflection control unit. The buffer memories 130 and 132 serve as examples of a storage unit or a storage device. FIG. 2 shows a schematic diagram for illustrating operations of the writing apparatus described in Embodiment 1. First, operations at the column 220 side will be explained. An electron beam 200 emitted from the electron gun assembly 201, which is an example of an emitting unit, irradiates the whole of the first aperture plate 203 having an opening or “hole” 218 in the shape of a rectangle, by using the illumination lens 202. Then, the electron beam 200 is shaped to be a rectangle. Such a rectangular shape may be a square, rhombus, rhomboid, etc. Then, after having passed through the first aperture plate 203, the electron beam 200 of a first aperture image is projected onto the second aperture plate 206 by the projection lens 204. The position of the first aperture image on the second aperture plate 206 is deflection-controlled by the deflector 205, and the shape and size of the beam can be changed by a forming opening 216. That is, the electron beam 200 is formed. After having passed through the second aperture plate 206, the electron beam 200 of a second aperture image is focused by the objective lens 207 and deflected by the deflector 208 to reach a desired position on the target workpiece 101 placed on the XY stage 105 which moves continuously. Operations at the column 320 side are similar to those at the column 220 side as follows: An electron beam 300 emitted from the electron gun assembly 301, which is an example of an emitting unit, irradiates the whole of the first aperture plate 303 having an opening or “hole” 318 in the shape of a rectangle by using the illumination lens 202. Then, the electron beam 300 is shaped to be a rectangle. Such a rectangular shape may be a square, rhombus, rhomboid, etc. Then, after having passed through the first aperture plate 303, the electron beam 300 of a first aperture image is projected onto the second aperture plate 306 by the projection lens 204. The position of the first aperture image on the second aperture plate 306 is deflection-controlled by the deflector 305, and the shape and size of the beam can be changed by a forming opening 316. That is, the electron beam 300 is formed. After having passed through the second aperture plate 306, the electron beam 300 of a second aperture image is focused by the objective lens 207 and deflected by the deflector 308 to reach a desired position on the target workpiece 101 placed on the XY stage 105 which moves continuously. FIG. 3 shows a schematic diagram illustrating the structure of a writing data creation apparatus described in Embodiment 1. In FIG. 3, a writing data creation apparatus 600 includes magnetic disk drives 602, 604, and 606, a control calculation unit 610, an input unit 612, an output unit 618, and a memory 620. The control calculation unit 610 includes functions of a virtual dividing unit 614 and a data conversion unit 616. Each information input into the control calculation unit 610 or each information during operation processing or after processing is stored in the memory 620 each time. While only the structure elements necessary for explaining Embodiment 1 are shown in FIG. 3, it should be understood that other structure elements generally necessary for the writing data creation apparatus 600 may also be included. Moreover, although it is illustrated in FIG. 3 that processing of each functions, such as the virtual dividing unit 614 and the data conversion unit 616, is performed by the control calculation unit 610 serving as an example of a computer, it is not limited thereto. It may be executed by hardware, such as an electric circuit. Alternatively, processing of the function may be executed by a combination of hardware of an electric circuit and software, or a combination of hardware and firmware. In performing the electron beam writing mentioned above, first, the layout of a semiconductor integrated circuit is designed, and layout data (design data) is generated. Then, the layout data is converted by the writing data creation apparatus 600, to generate writing data to be input into the writing apparatus 100. In the magnetic disk drive 602, layout data is stored. In the magnetic disk drive 606, information on distance between the optical centers of the columns 220 and 320 is stored. Drawing data is created as follows: First, as an input step, the input unit 612 inputs the information on distance between the optical centers of the columns 220 and 320. FIG. 4 shows a schematic diagram for illustrating the distance between the optical centers of the two columns described in Embodiment 1. FIG. 4 shows the case where the distance between an optical center 221 of the column 220 and an optical center 321 of the column 320 is P. Therefore, the value P is stored in the magnetic disk drive 606 as distance information between the optical centers of the columns 220 and 320. Next, as a dividing step, the input unit 612 inputs the layout data from the magnetic disk drive 602. Then, the virtual dividing unit 614 virtually divides the writing region indicated by the layout data into a plurality of frames (small regions) by the width of one integer-th of the distance indicated by the distance information. Next, as a creation step, the data conversion unit 616 converts the layout data, whose region is divided into frames, into data of a format adaptable to the writing apparatus 100 in order to create writing data, whose region is divided into frames. Then, as an output step, the output unit 618 outputs the writing data to the magnetic disk drive 604. The writing data is organized into a data file for each frame so as to be stored. This writing data, having been organized into a data file for each frame, is transmitted and stored in the magnetic disk drive 109 of the writing apparatus 100. FIGS. 5A and 5B show schematic diagrams for illustrating how to divide the region into frames according to Embodiment 1, when compared with a conventional method. They show frame divisions of the conventional method and of Embodiment 1 in the case of the distance P between two optical centers of the columns 220 and 320 being 10.3 mm, for example. In the conventional way, for example, a writing region 11 indicated by the layout data is virtually divided into a plurality of frames 13 each having a width of 1 mm, namely virtually dividing the layout data by 1 mm, regardless of the distance P. That is, the writing data is created to have the width of 1 mm. As a result, positional displacement occurs as shown in FIG. 5B. There are shown in FIG. 5B a region 30 which can be written by the first column, and a region 32 which can be written by the second column. As shown in FIG. 5B, when the first column performs writing processing for the n-th frame 13, the region 32, which can be written by the second column, does not accord with the positional relation of the divided frame 13, thereby writing processing cannot be performed by the second column. Alternatively, if the writing is continued in such a state, there will exist a region protruding from the deflectable region 32. Therefore, there will be many cases in which the writing in the frames is performed while even the position outside the range of the regions 32 is deflected. Thus, writing precision is deteriorated. On the other hand, according to Embodiment 1, the value obtained by dividing the distance P by an integer n is defined as a frame width. Then, it is preferable to set the value of the integer n so that a calculated quotient may not exceed 1 mm+α being a writable width. In the case of FIG. 5A, the value “11” is used as the integer n. Thereby, the frame width can be within the width deflectable by the deflectors 208 and 308. In this case, a writing region 10 indicated by the layout data is virtually divided into a plurality of frames 12 each having a width of 0.9363 mm, namely virtually dividing the layout data by 0.9363 mm, which is obtained by dividing 10.3 mm by 11. In FIG. 5A, there are shown a region 20 which can be written by the first column 220, and a region 22 which can be written by the second column 320. As shown in FIG. 5A, when the first column 220 performs writing processing for the n-th frame 12, the position of the region 22, which can be written by the second column 320, can accord with the position of the (n+11)-th frame 12. Thus, it is possible to perform writing processing even by the second column 320. As mentioned above, the writing data for writing a pattern on the target workpiece 101 can be created by using the writing apparatus 100 in which a plurality of columns to emit electron beams are provided. In Embodiment 1, the region of writing data is divided by the width of one integer-th of the distance between the optical centers of a plurality of columns, thereby eliminating positional displacement. Consequently, not only can the writing time be shortened by simultaneously or substantially simultaneously performing writing processing by using the multi-columns, but also highly precise writing processing can be performed. In the following, application examples will be described. FIGS. 6A and 6B show schematic diagrams for illustrating an application example in the case of writing by the multi-columns described in Embodiment 1 when compared with a conventional method. FIG. 6A shows the case of writing the same six chips (3 chips×2 rows) of 17a to 17f on a wafer 19 by the conventional method. For example, it is assumed that the frame 13 composed of the three chips 17a to 17c of the same row will be written as one frame. When writing the frame 13 composed of the chips 17a to 17c of a certain row by the first column and another frame 13 composed of the chips 17a to 17c of a different row by the second column, a defect occurs as follows: That is, if the region 30, which can be written by the first column, is set to accord with the position of a certain frame, the region 32, which can be written by the second column, does not accord with the frame of another row. On the other hand, according to Embodiment 1, as shown in FIG. 6B, it is possible to match the positions. FIG. 6B shows the case of writing the same six chips (3 chips×2 rows) of 16a to 16f on a wafer 18 by the method of Embodiment 1. For example, it is assumed that the frame 12 composed of the same row of the three chips 16a to 16c will be written as one frame. In Embodiment 1, it is possible to write the frame 12 composed of the chips 16a to 16c of a certain row by the first column 220, and simultaneously or substantially simultaneously write another frame 12 composed of the chips 16a to 16c of a different row by the second column. That is, when the region 20, which can be written by the first column 220, is set to accord with the position of a certain frame 12, the region 22, which can be written by the second column 320, can accord with the position of the frame 12 of a different row, thereby simultaneously or substantially simultaneously writing the two frames of one chip. FIGS. 7A and 7B show schematic diagrams for illustrating another application example of writing by the multi-columns described in Embodiment 1, when compared with a conventional method. FIG. 7A shows the case of writing the same six chips (3 chips×2 rows) of 17a to 17f on the wafer 19 by the conventional method. For example, it is assumed that the frame 13 composed of the three chips 17a to 17c of the same row will be written as one frame. Similarly, it is assumed that another frame 13 composed of the three chips 17d to 17f of the same row will be written as one frame. When writing the frame 13 composed of the chips 17a to 17c of a certain row by the first column and another frame 13 composed of the upper chips 17d to 17f of a certain row by the second column, a defect occurs as follows: That is, if the region 30, which can be written by the first column, is set to accord with the position of a certain frame of the chips 17a to 17c, the region 32, which can be written by the second column, does not accord with the frame of chips 17d to 17f of any row. On the other hand, according to Embodiment 1, as shown in FIG. 7B, it is possible to match the positions. FIG. 7B shows the case of writing the same six chips (3 chips×2 rows) of 16a to 16f on the wafer 18 by the method of Embodiment 1. For example, it is assumed that the frame 12 composed of the three chips 16a to 16c of the same row will be written as one frame. Similarly, it is assumed that another frame 12 composed of the three chips 16d to 16f of the same row will be written as one frame. In Embodiment 1, it is possible to write the frame 12 composed of the chips 16a to 16c of a certain row by the first column 220, and simultaneously or substantially simultaneously write another frame 12 composed of the chips 16d to 16f of a certain row by the second column 320. That is, when the region 20, which can be written by the first column 220, is set to accord with the position of a certain frame 12 composed of the chips 16a to 16c, the region 22, which can be written by the second column 320, can accord with the position of a certain frame 12 of the chips 16d to 16f, thereby simultaneously or substantially simultaneously writing each frame of the two chips in the upper and lower rows. Although FIGS. 6A and 6B, and FIGS. 7A and 7B describe the cases of directly writing chips on the wafer, it should be understood that what is described can be applied to the case of writing a plurality of chips on a mask. Next, an application example in the case of performing multiple writing will be described. FIGS. 8A and FIG. 8B show schematic diagrams for illustrating an example of application in the case of performing multiple writing by the multi-columns described in Embodiment 1, when compared with a conventional method. FIG. 8A shows a plurality of frames 13 for the first writing, and a plurality of shifted frames 43 for the second writing in the writing region 11. A plurality of frames 13 are shown by the solid line, and a plurality of frames 43 are shown by the dotted line. When the region 30, which can be written by the first column, is set to accord with the position of a certain frame 13, the position of the region 32, which can be written by the second column, does not accord with the frame 13 of any row for the first writing, nor with the frame 43 of any row for the second writing. On the other hand, according to Embodiment 1, as shown in FIG. 8B, it is possible to match the positions. FIG. 8B shows a plurality of frames 12 for the first writing, and a plurality of shifted frames 42 for the second writing in the writing region 10. A plurality of frames 12 are shown by the solid line, and a plurality of frames 42 are shown by the dotted line. Although, in the example mentioned above, it is described that the frame width is defined as a value obtained by dividing the distance P between the optical centers of the columns 220 and 320 by an integer n, it is not limited thereto. For example, as shown in FIG. 8B, it is also preferable to set the frame width so that the distance between the centers of the frame 12 for the first writing and the frame 42 for the second writing may become the distance P between the optical centers of the columns 220 and 320. Thus, by performing such a setting as mentioned above, when the region 20, which can be written by the first column 220, is set to accord with the position of a certain frame 12 for the first writing, it is possible to match the region 22, which can be written by the second column 320, with the position of a certain row of the frame 42 for the second writing, thereby simultaneously or substantially simultaneously writing each of the frames for multiple writing. Next, an example of application in the case of reusing writing data will be explained. FIGS. 9A and 9B show schematic diagrams for illustrating an example of application in the case of reusing writing data by the multi-columns described in Embodiment 1. FIG. 9A shows the case of writing the same six chips (3 chips×2 rows) of 16a to 16f on the wafer 18. For example, it is assumed that the frame 12 composed of the three chips 16a to 16c of the same row will be written as one frame. Similarly, it is assumed that another frame 12 composed of the three chips 16d to 16f of the same row will be written as one frame. Frame division is performed, when the region 20, which can be written by the first column 220, is set to accord with the position of the (k−1)th frame 12 of three chips 16a to 16c of a lower row, in order that the region 22, which can be written by the second column 320, can accord with the position of the k-th frame 12 of three chips 16d to 16f of an upper row. Then, the (k−1)th frame 12 of the three chips 16a to 16c of a lower row is written by the first column 220, and simultaneously or substantially simultaneously the k-th frame 12 of three chips 16d to 16f of an upper row is written by the second column. In such writing, the writing apparatus 100 operates as follows: For example, data of the (k−1)th frame is temporarily stored in the buffer memory 130, and data of the k-th frame is temporarily stored in the buffer memory 132. The deflection control circuit 120 reads the (k−1)th frame data from the buffer memory 130, and controls the deflection position of the electron beam 200. Then, the column 220 emits the electron beam 200 onto the position of the (k−1)th frame of the chip 16a (first chip) for example, based on the (k−1)th frame data read by the deflection control circuit 120. In the meantime, the deflection control circuit 122 reads the k-th frame data from the buffer memory 132, and controls the deflection position of the electron beam 300. Then, the column 320 emits the electron beam 300 onto the position of the k-th frame of chip 16d (second chip) for example, based on the k-th frame data read by the deflection control circuit 122. Then subsequently, as shown in FIG. 9B, the k-th frame 12 of the three chips 16a to 16c of a lower row is written by the first column 220, and simultaneously or substantially simultaneously the (k+1)th frame 12 of three chips 16d to 16f of an upper row is written by the second column. In such writing, the writing apparatus 100 operates as follows: After the deflection control circuit 122 has read the k-th frame data from the buffer memory 132, the deflection control circuit 120, before the k-th frame data is rewritten by other data, reads the k-th frame data from the buffer memory 132, and controls the deflection position of the electron beam 200. Then, the column 220 emits the electron beam 200 onto the position of the k-th frame of the chip 16a (first chip) for example, based on the k-th frame data read by the deflection control circuit 120. In the meantime, the (k+1)th frame data output from the writing data processing circuit 110 is temporarily stored in the buffer memory 130. The deflection control circuit 122 reads the (k+1)th frame data from the buffer memory 130, and controls the deflection position of the electron beam 300. Then, the column 320 emits the electron beam 300 onto the position of the (k+1)th frame of the chip 16d (second chip) for example, based on the (k+1)th frame data read by the deflection control circuit 122. By performing these operations in order, frame data can be reused one by one. Thereby, it becomes unnecessary to separately calculate the frame data for column 220. Therefore, it becomes unnecessary to provide a facility, such as a calculator, in the writing apparatus 100. Although the case of simultaneously or substantially simultaneously writing different frames by the two columns 220 and 320 is described in the example mentioned above, it is also preferable to simultaneously or substantially simultaneously write one frame by the two columns 220 and 320. The method of reducing the writing time by simultaneously or substantially simultaneously writing one frame by the two columns 220 and 320 will be described below. FIGS. 10A to 10E show schematic diagrams for illustrating a time series state in the case of writing the same frame by the multi-columns described in Embodiment 1. In FIGS. 10A to 10E, patterns of a region A having high density and a region B having low density, each having the same length L, are repeated in a certain frame 12. This frame 12 is written simultaneously or substantially simultaneously by the two columns 220 and 320 arranged forward and backward in the proceeding direction. When the XY stage 105 on which the target workpiece 101 is placed moves in a predetermined direction (reverse direction to the arrow), the two columns 220 and 320 relatively progress in the direction of the arrow. The two columns 220 and 320 are arranged in order along the predetermined direction mentioned above. The columns 220 and 320 write desired patterns in the frame 12 of the writing region of the target workpiece 101, using the electron beams 200 and 300 respectively. There is positional relation between the regions A and B and the columns 220 and 320 as follows: There is a case as shown in FIG. 10A where the column 220, at the back in the writing direction, is located in the region A, and the column 320, at the front in the writing direction, is located in the adjoining region B. There is another case as shown in FIG. 10B where the column 220, at the back in the writing direction, is located in the region A, and the column 320, at the front in the writing direction, is located in the next region A. There is another case as shown in FIG. 10C where the column 220, at the back in the writing direction, is located in the region B, and the column 320, at the front in the writing direction, is located in another region B. There is another case as shown in FIG. 10D where the column 220, at the back in the writing direction, is located in the region A, and the columns 320, at the front in the writing direction, is located in another region A. There is another case as shown in FIG. 10E where the column 220, at the back in the writing direction is located in the region B, and the column 320, at the front in the writing direction, is located in the adjoining region A. Drawing time with respect to these positional relations mentioned above will be explained below. Then, it is assumed that the stage speed in the case of writing the region A of low pattern density with a single beam is V1, and the stage speed in the case of writing the region B of high pattern density with a single beam is V2. As a method (1), each of n columns writes 1/n of the pattern of the writing region of the target workpiece 101 by using an electron beam. That is, the n columns simultaneously or substantially simultaneously write corresponding patterns in n small regions respectively. Now, as an example, each of the two columns 220 and 320, using the electron beams 200 and 300, writes each half (½) of a desired pattern onto the writing region of the target workpiece 101 respectively. It is also, of course, preferable to use three or more columns for writing. The stage moves at a speed for writing a small region with the highest pattern density among n small regions. The stage speed at each position in FIGS. 10A to 10E is as follows: In the positional relation of FIG. 10A, the stage speed is 2V2. In that of FIG. 10B, the stage speed is 2V1. In that of FIG. 10C, the stage speed is 2V2. In that of FIG. 10D, the stage speed is 2V1. In that of FIG. 10E, the stage speed is 2V2. Therefore, writing time t1 for writing three “A” regions and two “B” regions of the frame 12 is 3L/2V2+2L/2V1. That is, the writing time can be shorter than writing all with a single beam. By virtue of the structure described above, the speed of the stage can be increased n times because n columns simultaneously or substantially simultaneously write the regions of about the same pattern density. Moreover, when some of the n columns respectively write a region whose pattern density is higher than others, it is possible to write at n times the stage speed of writing the region concerned by one column. As a method (2), in the case that at least two columns of the n columns are respectively located above a region where the number of shots of the at least two columns is larger than that of other regions, the at least two columns of the n columns perform writing processing simultaneously or substantially simultaneously by using electron beams, with given priority than other case. That is, by virtue of such a structure, priority is given to the case that at least two columns of the n columns write the regions of high pattern density. As to other cases, there is a case that one of the n columns writes a region of high pattern density and the others of the n columns write regions of low pattern density, and there is a case that all of the n columns write the regions of low pattern density. The number of shots is large in the region where pattern density is high, and the number of shots is small in the region where pattern density is low. When the writing positions of n columns are composed of a position in the region B with a large number of shots and positions in the region A with a small number of shots, only the columns located above the region A with a small number of shots among n columns are made to perform writing processing. That is, when some of the n columns are at the regions A and the other of the n columns is at the regions B, the column located above the region B with a large number of shots among the n columns is made to pass without performing writing processing. This is also one of the priority matters. As mentioned above, the apparatus 100 has a function of performing writing processing while giving priority. For example, when both the two columns 220 and 320 are respectively located above a region where the number of shots is larger than that of other regions, two columns 220 and 320 perform writing processing by using an electron beam, while being given priority. Also in the method (2), of course, it is preferable to perform writing by using three or more columns. The stage speed at each position in FIGS. 10A to 10E is as follows: In the positional relation of FIG. 10A, the stage speed is 2V1. In that of FIG. 10B, the stage speed is 2V1. In that of FIG. 10C, the stage speed is V2. In that of FIG. 10D, the stage speed is 2V1. In that of FIG. 10E, the stage speed is 2V1. That is, in the positional relation of FIG. 10A, the column 320 does not write the region B of high density. Moreover, although not illustrated, supposing that the region A and the region B are alternated with each other, in the positional relation prior to that of FIG. 10A, both the columns 220 and 320 will write the regions A. In that case, if each of the columns 220 and 320 writes each half (½) of a desired pattern respectively, the stage speed becomes fastest. Therefore, in the positional relation of FIG. 10A, since one half of the pattern in the region A has already been written by the column 320, the stage speed becomes the fastest 2V1. Similarly, in the positional relation of FIG. 10E, the column 220 does not write the region B of high density. Moreover, since the column 320 has already written one half (½) of the pattern in the region A in the positional relation of FIG. 10D, the stage speed in the positional relation of FIG. 10E becomes the fastest 2V1. Moreover, in the positional relation of FIG. 10C, since both the two columns 220 and 320 are located in the regions B where the number of shots is large, writing priority is given to this positional relation. Therefore, in the positional relation of FIG. 10C, both the columns 220 and 320 write all the patterns in the regions B respectively. Therefore, in the positional relation of FIG. 10C, the stage speed becomes V2. Based on this result, writing time t2 for writing three “A” regions and two “B” regions of the frame 12 becomes L/V2+4L/2V1. Calculating the ratio between the writing time of the method (1) and that of the method (2), the following equation (1) can be obtained. t 1 / t 2 = ( 3 ⁢ L / 2 ⁢ V 2 + 2 ⁢ L / 2 ⁢ V 1 ) / ( L / V 2 + 4 ⁢ L / 2 ⁢ V 1 ) = ( 3 / 2 ⁢ V 2 + 2 / 2 ⁢ V 1 ) / ( 1 / V 2 + 4 / 2 ⁢ V 1 ) = ( 3 ⁢ V 1 + 2 ⁢ V 2 ) / ( 2 ⁢ V 1 + 4 ⁢ V 2 ) Equation ⁢ ⁢ ( 1 ) Let V1=kV2 (k>1). Then, the equation (1) can be further expressed as an equation (2) described below. ( 3 ⁢ V 1 + 2 ⁢ V 2 ) / ( 2 ⁢ V 1 + 4 ⁢ V 2 ⁢ ) = ( 3 ⁢ k + 2 ) / ( 2 ⁢ k + 4 ) = 3 / 2 - 4 / ( 2 ⁢ k + 4 ) = 3 / 2 - 2 / ( k + 2 ) Equation ⁢ ⁢ ( 2 ) Thus, when k is infinite, the method (2) can attain 1.5 times the high speed of the method (1). Moreover, when k is 10, the method (2) can attain 1.333 times the high speed of the method (1). When k>=2, the method (2) can attain higher speed than that of the method (1). Therefore, depending upon the value of k, the method (2) can further shorten the writing time compared with the method (1). In the example mentioned above, calculation is performed based on the stage speed. Next, when calculation is similarly performed based on the writing time of each region, it becomes as follows: It is assumed that the writing time in the case of writing the region A of low pattern density with a single beam is T1, and the writing time in the case of writing the region B of high pattern density with a single beam is T2. First, it will be as follows according to the method (1). In the positional relation of FIG. 10A, the writing time is T2/2. In that of FIG. 10B, the writing time is T1/2. In that of FIG. 10C, the writing time is T2/2. In that of FIG. 10D, the writing time is T1/2. In that of FIG. 10E, the writing time is T2/2. Thus, the writing time t1 for writing three “A” regions and two “B” regions of the frame 12 becomes 3T2/2+2T1/2. It will be as follows according to the method (2). In the positional relation of FIG. 10A, the writing time is T1/2. In that of FIG. 10B, the writing time is T1/2. In that of FIG. 10C, the writing time is T2. In that of FIG. 10D, the writing time is T1/2. In that of FIG. 10E, the writing time is T1/2. Thus, the writing time t2 for writing three “A” regions and two “B” regions of the frame 12 becomes T2+4T1/2. Calculating the ratio between the writing time of the method (1) and that of the method (2), the following equation (3) can be obtained. t 1 / t 2 = ( 3 ⁢ T 2 / 2 + 2 ⁢ T 1 / 2 ) / ( T 2 + 4 ⁢ T 1 / 2 ) = ( 3 ⁢ T 2 + 2 ⁢ T 1 ) / ( 2 ⁢ T 2 + 4 ⁢ T 1 ) Equation ⁢ ⁢ ( 3 ) Let T2=kT1 (k>1). Then, the equation (3) can be further expressed as an equation (4) described below. ( 3 ⁢ T 2 + 2 ⁢ T 1 ) / ( 2 ⁢ T 2 + 4 ⁢ T 1 ) = 3 / 2 - 2 / ( k + 2 ) Equation ⁢ ⁢ ( 4 ) Thus, when k is infinite, the method (2) can attain 1.5 times the high speed of the method (1). Moreover, when k is 10, the method (2) can attain 1.333 times the high speed of the method (1). When k>=2, the method (2) can attain higher speed than that of the method (1). Therefore, depending upon the value of k, the method (2) can further shorten the writing time compared with the method (1). As mentioned above, writing time of the writing apparatus 100 can be reduced by that each of at least two columns has a function of performing writing processing while giving priority to a region where the number of shots is larger than that of other regions. FIG. 11 shows a schematic diagram illustrating a structure of a writing apparatus described in Embodiment 2. In FIG. 11, in the electron lens barrel 102, in addition to the structure elements of FIG. 1, there are further arranged shielding cylinder 412 and 512, electron gun assemblies 401 and 501, first aperture plates 403 and 503, deflectors 405 ad 505, second aperture plates 406 and 506, and deflectors 408 and 508. Then, a third column 222 is composed of the electron gun assembly 401, the first aperture plate 403, the deflector 405, the second aperture plate 406, the shielding cylinder 412 and the deflector 408. A fourth column 322 is composed of the electron gun assembly 501, the first aperture plate 503, the deflector 505, the second aperture plate 506, the shielding cylinder 512, and the deflector 508. In the electron lens barrel 102, a plurality of columns 220, 320, 222, and 322 are provided while the lens systems, such as the illumination lens 202, the projection lens 204, and the objective lens 207 are used in common among the columns. The subsystem which controls an optical path of an independent electron beam is herein also called a column. Other respects are the same as those in FIG. 1. The apparatus structure is the same as that obtained by adding two more columns to the two columns shown in FIG. 1. For example, the first and the second columns, located front and back, write one stripe region simultaneously or substantially simultaneously. Moreover, for example, the third and the fourth columns, located front and back, write another stripe region simultaneously or substantially simultaneously. The control unit 160 further includes deflection control circuits 124 and 126 and buffer memories 134 and 136 in addition to the structure of FIG. 1. While only the structure elements necessary for explaining Embodiment 2 are shown in FIG. 11, it should be understood that other structure elements generally necessary for the pattern writing apparatus 100 may also be included. Moreover, the structure of the writing data creation apparatus 600 is the same as that of FIG. 3. The writing data processing circuit 110 reads writing data from the magnetic disk drive 109, and converts it into data of a format unique to the apparatus by performing a parallel processing of a plurality of calculators 112. Position deflection data of the column 220 is output to the buffer memories 130, 132, 134, or 136. Similarly, position deflection data of the column 320 is output to the buffer memories 130, 132, 134, or 136. Similarly, position deflection data of the column 222 is output to the buffer memories 130, 132, 134, or 136. Similarly, position deflection data of the column 322 is output to the buffer memories 130, 132, 134, or 136. Then, the deflection data in one of the buffer memories is output to the deflection control circuit 120 for the column 220. Similarly, the deflection data in one of the buffer memories is output to the deflection control circuit 122 for the column 320. Similarly, the deflection data of one of the buffer memories is output to the deflection control circuit 124 for the column 222. Similarly, the deflection data of one of the buffer memories is output to the deflection control circuit 126 for the column 322. Then, the deflector 208 is deflection-controlled based on the output from the deflection control circuit 120. Similarly, the deflector 308 is deflection-controlled based on the output from the deflection control circuit 122. Similarly, the deflector 408 is deflection-controlled based on the output from the deflection control circuit 124. Similarly, the deflector 508 is deflection-controlled based on the output from the deflection control circuit 126. The deflection control circuits 120, 122, 124, and 126 serve as examples of a deflection control unit. The buffer memories 130, 132, 134, and 136 serve as examples of a storage unit or a storage device. The operation in the column 222 or the column 322 is the same as that in the column 220 or the column 320. First, the operation at the column 222 side is as follows: An electron beam 400 emitted from the electron gun assembly 401, which is an example of an emitting unit, irradiates the whole of the first aperture plate 403 having an opening or “hole” in the shape of a rectangle, by using the illumination lens 202. Then, the electron beam 400 is shaped to be a rectangle. Such a rectangular shape may be a square, rhombus, rhomboid, etc. Then, after having passed through the first aperture plate 403, the electron beam 400 of a first aperture image is projected onto the second aperture plate 406 by the projection lens 204. The position of the first aperture image on the second aperture plate 406 is deflection-controlled by the deflector 405, and thereby the shape and size of the beam can be changed. That is, the electron beam 400 is formed. After having passed through the second aperture plate 406, the electron beam 400 of a second aperture image is focused by the objective lens 207 and deflected by the deflector 408 to reach a desired position on the target workpiece 101 placed on the XY stage 105 which moves continuously. Similarly, the operation at the column 322 side is as follows: An electron beam 500 emitted from the electron gun assembly 501, which is an example of an emitting unit, irradiates the whole of the first aperture plate 503 having an opening in the shape of a rectangle, by using the illumination lens 202. Then, the electron beam 500 is shaped to be a rectangle. Such a rectangular shape may be a square, rhombus, rhomboid, etc. Then, after having passed through the first aperture plate 503, the electron beam 500 of a first aperture image is projected onto the second aperture plate 506 by the projection lens 204. The position of the first aperture image on the second aperture plate 506 is deflection-controlled by the deflector 505, and thereby the shape and size of the beam can be changed. That is, the electron beam 500 is formed. After having passed through the second aperture plate 506, the electron beam 500 of a second aperture image is focused by the objective lens 207 and deflected by the deflector 508 to reach a desired position on the target workpiece 101 placed on the XY stage 105 which moves continuously. In Embodiment 2, information on distance between the optical centers of the columns 220, 320, 222, and 322 is stored in the magnetic disk drive 606 of FIG. 3. Drawing data is created as follows: First, as an input step, the input unit 612 inputs the information on distance between the optical centers of a plurality of columns 220, 320, 222, and 322. FIG. 12 shows a schematic diagram for illustrating the distance between the optical centers of the four columns described in Embodiment 2. FIG. 12 shows the case where the distance between the optical center 221 of the column 220 and the optical center 321 of the column 320 is P, the distance between the optical center 321 of the column 320 and the optical center 323 of the column 322 is P, the distance between the optical center 323 of the column 322 and the optical center 223 of the column 222 is P, and the distance between the optical center 223 of the column 222 and the optical center 221 of the column 220 is P. That is, FIG. 12 shows the case where the four columns 220, 320, 222, and 322 are arranged in two lines and two rows (two lines×two rows) having the distance P between each other. Therefore, the value P is stored in the magnetic disk drive 606 as distance information between the optical centers of the columns 220, 320, 222, and 322. Next, as a dividing step, the input unit 612 inputs layout data from the magnetic disk drive 602. Then, the virtual dividing unit 614 virtually divides the writing region indicated by the layout data into a plurality of frames (small regions) by the width of one integer-th of the distance indicated by the distance information with respect to the directions X and Y. In the following, the steps after the creation step are the same as those described in Embodiment 1. FIGS. 13A and 13B show schematic diagrams for illustrating how to divide the region into frames according to Embodiment 2, when compared with a conventional method. They show frame divisions of the conventional method and Embodiment 2 in the case of the distance P between two optical centers of the columns 220, 320, 222, and 322 being 10.3 mm, for example. In the conventional way, for example, the writing region 11 indicated by the layout data is virtually divided into a plurality of frames 15 of 1 mm square, regardless of the distance P, and that is, the writing data is created to have the width of 1 mm. As a result, positional displacement occurs as shown in FIG. 13B. There are shown in FIG. 13B the region 30 which can be written by the first column, the region 32 which can be written by the second column, a region 34 which can be written by the third column, and a region 36 which can be written by the fourth column. As shown in FIG. 13B, when the first column performs writing processing for the frame 15 at the coordinates (n, l), the region 32, which can be written by the second column, does not accord with the positional relation of the divided frame 15. Similarly, the region 34, which can be written by the third column, does not accord with the positional relation of the divided frame 15. Similarly, the region 36, which can be written by the fourth column, does not accord with the positional relation of the divided frame 15. Therefore, writing processing cannot be performed by the second, the third, and the fourth columns. Alternatively, if the writing is continued in such a state, there will exist a region protruding from the deflectable region. On the other hand, according to Embodiment 2, the value obtained by dividing the distance P by an integer n is defined as a frame width in length and in width. Then, it is preferable to set the value of the integer n so that the calculated quotient may not exceed 1 mm+α of being a writable width. In the case of FIG. 13A, the value “11” is used as the integer n. Thereby, the frame width can be within the width deflectable by the deflectors 208, 308, 408, and 508. In this case, the writing region 10 indicated by the layout data is virtually divided into a plurality of mesh-like frames 14, by the width 0.9363 mm which is obtained by dividing 10.3 mm by 11. In FIG. 13A, there are shown the region 20 which can be written by the first column 220, the region 22 which can be written by the second column 320, a region 24 which can be written by the third column 222, and a region 26 which can be written by the fourth column 322. As shown in FIG. 13A, when the first column 220 performs writing processing for the frame 14 at the coordinates (n, l), the position of the region 22, which can be written by the second column 320, can accord with the position of the frame 14 at the coordinates (n, l+11). The position of the region 24, which can be written by the third column 222, can accord with the position of the frame 14 at the coordinates (n+11, l). The position of the region 26, which can be written by the fourth column 322, can accord with the position of the frame 14 at the coordinates (n+11, l+11). Thus, it is possible to perform writing processing even by the second, the third, and the fourth columns. As mentioned above, the writing data for writing a pattern on the target workpiece 101 can be created by using the writing apparatus 100 in which a plurality of columns to emit electron beams are provided. Also in Embodiment 2, the region of writing data is divided by the width of one integer-th of the distance between the optical centers of a plurality of columns in order to be mesh-like regions, thereby eliminating positional displacement. Thus, not only can the writing time be shortened by simultaneously or substantially simultaneously performing writing processing by using the multi-columns, but also highly precise writing processing can be performed. Although the case of dividing the region into mesh-like frames is described in the example mentioned above, it is also preferable to divide it into strip-like frames like Embodiment 1. It should be understood that the application example explained in Embodiment 1 is also applicable to the writing apparatus 100 provided with four columns. Moreover, in the writing apparatus of Embodiment 2, if each two of the four columns are grouped by arranging each of the two columns at the front and back in the writing direction on the same frame, and the two groups are arranged on different frames respectively, it becomes possible to apply one of the application examples illustrated in FIGS. 6 to 9 and the application example illustrated in FIG. 10 simultaneously or substantially simultaneously. In the above explanation, although the writing apparatus 100 provided with multi-columns explained in Embodiments 1 and 2 has a structure in which each electron lens is used in common, it is not limited to such a structure. FIG. 14 shows a schematic diagram illustrating a structure of a writing apparatus provided with multi-columns, in which the lens system is independently provided. FIG. 14 shows the case of the two multi-columns 220 and 320 corresponding to FIG. 1, as an example. Although not shown, the control unit is similar o that shown in FIG. 1. The first column 220 is composed of the electron gun assembly 201, the illumination lens 202, the first aperture plate 203, the projection lens 204, the deflector 205, the second aperture plate 206, the objective lens 207, the deflector 208, and an insulated column 214. The second column 320 is composed of the electron gun assembly 301, the illumination lens 302, the first aperture plate 303, the projection lens 304, the deflector 305, the second aperture plate 306, an objective lens 307, the deflector 308, and an insulated column 314. Although lens systems, such as the illumination lens 202, the projection lens 204, and the objective lens 207 are used in common among the columns in Embodiments 1 and 2 mentioned above, it is also preferable for the electron lens barrel 102 to be provided with a plurality of columns, in each of which the lens system is independently provided, as shown in FIG. 13. In the insulated column 214, there are provided the electron gun assembly 201, the illumination lens 202, the first aperture plate 203, the projection lens 204, the deflector 205, the second aperture plate 206, the objective lens 207, and the deflector 208. Similarly, in the insulated column 314, there are provided the electron gun assembly 301, the illumination lens 302, the first aperture plate 303, the projection lens 304, the deflector 305, the second aperture plate 306, the objective lens 307, and the deflector 308. Thus, by placing the subsystem for controlling an optical path of an independent electron beam in each insulated column in order to be insulated from the other insulated column, it is possible to eliminate the influence of the electric field and the magnetic field of the other column. It should be understood that it is also preferable to make the lens system be independent in each column even in the case of a plurality of columns, for example four columns of 2×2, being provided. According to each Embodiment mentioned above, it is possible to match the positional relation of the distance between the optical centers of each column of multi-columns with each region obtained by a region dividing. Thus, it is possible to write a pattern in a region deflectable by a deflector of the writing apparatus. Therefore, it is possible to achieve a highly precise writing. Moreover, according to each Embodiment, the number of times of operation processing can be reduced. Therefore, it becomes unnecessary to provide a facility, such as a calculator. Furthermore, according to each Embodiment, the writing time can be shortened. While the embodiments have been described above with reference to specific examples, the present invention is not limited to these specific ones. While description of the apparatus structure, control method, etc. not directly required for explaining the present invention is omitted, it is possible to suitably select and use some or all of them when needed. For example, although the structure of the control unit for controlling the writing apparatus 100 is not described, it should be understood that a necessary control unit structure may be selected and used appropriately. In addition, any other charged particle beam writing method and apparatus that include elements of the present invention and that can be appropriately modified by those skilled in the art are included within the scope of the present invention. Additional advantages and modification will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.
summary
description
The present invention relates to a flame-retardant and electromagnetic wave-shielding thermoplastic resin composition that is excellent in flame retardance and molding flowability. Styrene resins, which are manufactured and commercially available under the name of HIPS, ABS etc., are excellent in appearance, mechanical properties and molding flowability, and therefore used in various fields such as vehicle components, electrical appliances, miscellaneous goods, etc. Since these styrene resins are thermoplastic materials, however, the use of them is restricted in electrical and electronic equipment that is required to have flame retardance such as the self-extinguishing properties (V-0, V-1, and V-2 classes) according to U.S. Underwriters Laboratories (UL) Standard 94. The flame retardance is provided by using halide compounds such as tetrabromobisphenol A (TBBA) and decabromodiphenylether (DBDE), a combination of halides and antimony compounds, or various phosphorous compounds. Although the addition of these compounds can provide the flame retardance, an increase in the used amount of such expensive fire retardants leads to not only an increase in cost but also a significant deterioration in the physical properties such as impact resistance, which is one of the distinctive properties of the styrene resins. In addition, antimony trioxide, which is used in combination of the halide compounds, is a material of concern about environmental pollution. Therefore, some cases require the flame-retardant resin materials to be free of the antimony compound. In some uses of the flame-retardant resin materials, such as household electrical appliances, OA, and electrical and electronic equipment, some components are required to have an electromagnetic wave-shielding property (EMI shielding property). In most of such uses, the resin materials are subjected to a secondary process such as plating, conductive coating, or the like, before used. Some electromagnetic wave-shielding thermoplastic resins are commercially available, including thermoplastic resins that contain the additive for increasing electrical conductivity, such as carbon fiber, carbon black, and stainless steel fiber. However, no thermoplastic resin is available to satisfy all of flame retardance, electromagnetic wave-shielding stability, and good molding appearance. The present invention has been made to solve the above problems. It is therefore an object of the present invention to provide a flame-retardant and electromagnetic wave-shielding, thermoplastic resin composition that has excellent flame retardance, good appearance, and excellent molding flowability. The inventors have made active investigations in light of the above problems and have found that the use of a specific flame retardant, a metal-coated fiber, and a specifically shaped filler in a specific amount range of composition can provide a flame-retardant and electromagnetic wave-shielding, thermoplastic resin composition with excellent flame retardance, good appearance, and excellent molding flowability, and have finally made the present invention. Thus, the present invention is directed to a flame-retardant and electromagnetic wave-shielding thermoplastic resin composition comprising: (A) 100 parts by weight of a thermoplastic resin; (B) 0.5 to 30 parts by weight of a flame retardant of a halogen-free phosphate ester represented by the following general formula (1): wherein R1, R2, R3, and R4 each independently represent a hydrogen atom or a monovalent organic group, at least one of R1, R2, R3, and R4 is a monovalent organic group, X is a bivalent organic group, k, l, m, and n are each independently 0 or 1, and N is an integer of from 0 to 10; (C) 5 to 35 parts by weight of a metal-coated fiber; and (D) 3 to 30 parts by weight of a filler in a scaly shape or an acicular shape. The flame-retardant and electromagnetic wave-shielding thermoplastic resin composition of the present invention is described in detail in the following. Examples of the thermoplastic resin used in the present invention include styrene resin such as polystyrene, AS resin, MS resin, HIPS resin, ABS resin, AES resin, AAS resin, and MBS resin; polycarbonate resin; polybutyleneterephthalate resin; polyethyleneterephthalate resin; polyamide resin; polyethylene resin; polypropylene resin; polyphenylenether resin; polyphenylenesulfide resin; and polyoxymethylene resin. Among these resins, the styrene resins or a mixture of the styrene resin and any of the other thermoplastic resins is particularly preferred. The content of the styrene resin in the thermoplastic resin (A) is preferably 10 to 100% by weight. In particular, a preferred styrene resin is a rubber-reinforced styrene type resin such as ABS resin, AES resin, AAS resin, and MBS resin. In the general formula (1) representing the halogen-free phosphate of the flame retardant (B) used for the present invention, the monovalent organic group may include an optionally substituted alkyl group, an optionally substituted aryl group, and an optionally substituted cycloalkyl group. Examples of the substituent in the substituted group include an alkyl group, an alkoxy group, an alkylthio group, an aryl group, an aryloxy group, and an arylthio group, and the substituent may also be any combination of these groups (such as an arylalkoxyalkyl group) or any combination of these groups in which the groups are combined through an atom such as oxygen, sulfur, or nitrogen (such as an arylsulfonylaryl group). Examples of the bivalent organic group include an alkylene group, an optionally substituted phenylene group, and the groups derived from polyhydric phenols or polynuclear phenols (such as bisphenols). Particularly preferred examples of the bivalent organic group include hydroquinone, resorcinol, diphenylol methane, diphenylol dimethylmethane, dihydroxydiphenyl, p,p′-dihydroxydiphenylsulfone, and dihydroxynaphthalene. One or more thereof may be used. Examples of the flame retardant of the halogen-free phosphate (B) include trimethyl phosphate, triethyl phosphate, tripropyl phosphate, tributyl phosphate, tripentyl phosphate, trihexyl phosphate, tricyclohexyl phosphate, trioctyl phosphate, triphenyl phosphate, tricresyl phosphate, trixylenyl phosphate, hydroxyphenyldiphenyl phosphate, cresyldiphenyl phosphate, xylenyldiphenyl phosphate, and the compound represented by the following formula (2), (3), or (4). wherein R represents hydrogen or a methyl group. The amount ratio of the flame retardant of the halogen-free phosphate (B) is from 0.5 to 30 parts by weight based on 100 parts by weight of the thermoplastic resin (A). When a ratio is less than 0.5 parts by weight, no sufficient flame retardance can be obtained. A ratio is more than 30 parts by weight is not preferred, because such a ratio leads to a decrease in heat resistance and impact resistance. In the present invention, the flame retardant of the phosphate represented by the formula (1) is used to achieve the desired effect. As far as the effect of the present invention is not deteriorated, however, a halogen-free flame retardant other than the phosphate, such as melamine cyanurate, a phosphazene derivative, and ammonium polyphosphate; a halogenated flame retardant such as TBBA and a halogenated triazine compound, or a flameproofing agent such as an antimony compound may be added, though the added amount of the halogenated flame retardant and the antimony compound should be as small as possible. Examples of the metal-coated fiber (C) include nickel-coated carbon fiber and nickel-coated glass fiber. The nickel-coated carbon fiber is particularly preferred. In the present invention, as far as the effect is not deteriorated, conventional carbon fiber, glass fiber, or the like maybe added together with the metal-coated fiber. The amount ratio of the metal-coated fiber (C) is from 5 to 35 parts by weight based on 100 parts by weight of the thermoplastic resin (A). When a ratio is less than 5 parts by weight, the electrical conductivity is not enough to develop the electromagnetic wave-shielding property. A ratio more than 35 parts by weight is not preferred, because such a ratio leads to a reduction in molding flowability and impact resistance, and a deterioration in molding appearance. Examples of the filler (D) in the scaly shape or the acicular shape used in the present invention include talc, mica, glass flake, graphite (in the scaly shape), and a whisker (in the acicular shape) of potassium titanate, magnesium oxysulfate, aluminum borate, wollastonite, acicular calcium carbonate, zinc oxide, silicon carbide, or silicon nitride. Of these materials, zincoxide whisker is particularly preferred. The amount ratio of the component (D) is from 3 to 30 parts by weight based on 100 parts by weight of the thermoplastic resin (A). A ratio less than 3 parts by weight leads to a deterioration in molding appearance and a reduction in electromagnetic wave-shielding stability. A ratio more than 30 parts by weight is not preferred, because such a ratio leads to a reduction in molding flowability and impact resistance. In the present invention, polytetrafluoroethylene (E) is also preferably used in addition to the above (A), (B), (C), and (D) components to enhance the flame-retardant effect. The polytetrafluoroethylene (E) is a fluoro-polymer formed by the polymerization of the main component of tetrafluoroethylene, for example, including commercially available POLYFLON (trade name) manufactured by DAIKIN INDUSTRIES, LTD., Teflon® manufactured by Du Pont-Mitsui Fluorochemicals Co., LTD., and Hostaflon® manufactured by Hoechst AG. The polytetrafluoroethylene (E) is preferably used in the range of 0.05 to 5 parts by weight based on 100 parts by weight of the thermoplastic resin (A). In the present invention, any process may be used without limit for mixing the components, and an extruder, a Banbury mixer, a roller, a kneader, or the like can be used for mixing. The resin composition of the present invention may optionally contain a known additive such as an antioxidant such as 2,6-di-t-butyl-4-methylphenol, 2-(1-methylcyclohexyl)-4,6-dimethylphenol, 2,2-methylenebis-(4-ethyl-6-t-methylphenol), 4,4′-thiobis-(6-t-butyl-3-methylphenol), dilaurylthiodipropionate, and tris (di-nonylphenyl)phosphite; an ultraviolet absorbing agent such as p-t-butylphenyl salicylate, 2,2′-dihydroxy-4-methoxybenzophenone, and 2-(2′-hydroxy-4′-n-octoxyphenyl)benzotriazole; a lubricant such as paraffin wax, stearic acid, hardened oil, stearoamide, methylenebis(stearoamide), ethylenebis(stearoamide), n-butylstearate, ketonewax, octylalcohol, laurylalcohol, and hydroxystearic acid triglyceride; a coloring agent such as titanium oxide and carbon black; and a filler such as calcium carbonate, clay, silica, glass fiber, glass bead, and carbon fiber. The above-described resin composition of the present invention may be formed into a molding product with an excellent flame retardance and an excellent electromagnetic wave-shielding property by a known molding method such as injection molding, compression molding, extrusion molding, and injection compression molding. The resultant molding product can be used in the field demanding flame retardance and electromagnetic wave-shielding property, for example, as a component or a housing component of an electrical or electronic device. The present invention is further described in detail by showing Examples in the following, but such Examples are not intended to restrict the scope of the present invention. In Examples, “parts” or “%” is by weight, unless otherwise specified. In the following, Examples and Comparative Examples are shown for the purpose of illustrating the present invention, but such Examples are not intended to restrict the scope of the present invention. The following methods were carried out for the evaluation of the products related to the present invention. Molding Flowability: The melt flow rate was measured according to ASTM D-1238 at 220° C.×10 kg, units: g/10 min. Impact Resistance: The impact strength was measured without notch according to ASTM D-256 with ¼ inch, units: J/m. Flame Retardance: The flame retardance (self-extinguishing property) was measured with each test piece 1.6 mm in thickness according to UL 94. Electromagnetic Wave (EMI) Shielding Property: Flat-shaped test pieces, each 150 mm long, 100 mm wide, and 3 mm thick, were prepared by injection molding, and a center portion, 50 mm×50 mm, was cut out of each of the prepared pieces to form a test piece for measurement. The volume resistance (electrical conductivity) was measured in units of Ω·cm to evaluate the electromagnetic wave-shielding property. Molding Appearance: Flat-shaped test pieces, each 150 mm long, 100 mm wide, and 3 mm thick, were prepared by injection molding, and the appearance thereof was visually observed. ◯: There is neither flow mark in the resin nor floating of the metal fiber. Δ: There is either flow mark in the resin or floating of the metal fiber. X: There are both flow mark in the resin and floating of the metal fiber. Thermoplastic Resin (A) A-1: ABS Resin (KRALASTIC® GA-501 manufactured by NIPPON A&L INC.) A-2: Mixture of the above ABS Resin and Polycarbonate Resin (CALIBRE 200-20 manufactured by Sumitomo Dow Limited.) at a ratio of 80 to 20 by weight. A-3: PA/ABS Resin Alloy (TECHNIACE® TA-1500 manufactured by NIPPON A&L INC.) Phosphate Flame Retardant (B) B-1: Compound Represented by Formula (2) (CR-733S manufactured by DAIHACHI CHEMICAL INDUSTRY CO., LTD.) B-2: Compound Represented by Formula (4) (PX-200 manufactured by DAIHACHI CHEMICAL INDUSTRY CO., LTD.) B-i: Tetrabromobisphenol A B-ii: Antimony Trioxide B-iii: Phenoxyphosphazene (CP-134H manufactured by Chemipro Kasei Kaisha, Ltd.) Metal-Coated Fiber (C) C-1: Nickel-Coated Carbon Fiber (Besfight MCHTA-C6-US manufactured by Toho Rayon Ltd.) C-i: Carbon Fiber (Besfight HTA-C6-SR manufactured by Toho Rayon Ltd.) Filler (D) D-1: Zinc Oxide Whisker (Pana-Tetra manufactured by Matsushita AMTEC Co., Ltd.) Polytetrafluoroethylene (E) E-1: PTFE (POLYFLON FA-500 manufactured by DAIKIN INDUSTRIES, LTD.) The above components (A) to (E) were mixed in each ratio as shown in Table 1, and the mixture was melted and kneaded with a biaxial extruder, resulting in a pellet. The resulting pellet was formed into each test piece with an injection molder, and then each evaluation was carried out. The results are shown in Table 1. TABLE 1Ex- Ex-Ex-Ex-Ex-Compara-Compara-Compara-Compara-Compara-Compara-Compara-ampleampleampleampleampletivetivetivetivetivetivetive12345Example 1Example 2Example 3Example 4Example 5Example 6Example 7CompositionA-1100100100100100100A-2100100100100100A-3100B-1312330.13B-21215125012B-i151520B-ii55B-iii20C-1102015151510115151050C-i20D-15101010551011051010E-10.30.30.30.3PhysicalPropertiesMolding40254024301050338100317flowability(g/10 min)Impact147245143255150196275294983539210Resistance(J/m)FlameV-0V-0V-2V-0V-0HBV-0V-0HBHBHBV-0RetardanceElectromag-15110312101000<15051201140neticWave-Shield-ing Property(Ω · cm)Molding∘∘∘∘∘∘∘xΔ∘xΔAppearance As described above, the flame-retardant and electromagnetic wave-shielding thermoplastic resin composition of the present invention can have excellent flame retardance, good appearance, and excellent molding flowability, and therefore can appropriately be used in the field demanding flame retardance and electromagnetic wave-shielding property, such as household electrical appliances, OA devices, and electrical or electronic devices.
048760625
claims
1. A fuel assembly comprising a plurality of fuel rods and a moderating rod containing a moderator and disposed at a generally central portion, of said fuel assembly, the ratio A.sub.M /A.sub.C of the area A.sub.M of a moderator region in said moderating rod in the cross-sectional plane in which said moderator is present to the area A.sub.C of the coolant passages in said fuel assembly being within the range of 0.07 to 0.11, and said area A.sub.M being 75% or more of the total area of the fuel lattice units in which none of said fuel rods is arranged, but said moderating rod is arranged. 2. A fuel assembly according to claim 1, wherein if the average enrichment of the fuel rods of said fuel rods arranged in the periphery of said fuel assembly is E.sub.A, the average enrichment of the fuel rods which are adjacent to said moderating rod and each face the two sides thereof is E.sub.B, and average the enrichment of the other fuel rods is E.sub.C, the inequality E.sub.A &lt;E.sub.B &lt;E.sub.C is established. 3. A fuel assembly comprising a moderating rod containing a moderator and a plurality of fuel rods which are arranged around said moderating rod, said plurality of fuel rods being arranged in a lattice form having 9 rows and 9 columns so as to surround said moderating rod, the ratio A.sub.M /A.sub.C of the area A.sub.M of a moderator region in said moderating rod in the cross-sectional plane in which said moderator is present to the area A.sub.C of the coolant passages in said fuel assembly being within the range of 0.07 to 0.11, and said area A.sub.M being 75% or more of the total area of the fuel lattice units in which none of said fuel rods is arranged, but said moderating rod is arranged. 4. A fuel assembly according to claim 3, wherein if the average enrichment of the fuel rods of said fuel rods arranged in the periphery of said fuel assembly is E.sub.A, the average enrichment of the fuel rods which are adjacent to said moderating rod and each face the two sides thereof is E.sub.B, and average the enrichment of the other fuel rods is E.sub.C, the inequality E.sub.A &lt;E.sub.B &lt;E.sub.C is established. 5. A fuel assembly comprising a moderating rod containing a moderator and having a cruciate cross-sectional form of a region in which said moderator is present, and a plurality of fuel rods arranged around said moderating rod so as to surround it, said fuel rods being arranged between the sides of said moderating rod and sides of the said fuel assembly, the ratio A.sub.M /A.sub.C of the area A.sub.M of a moderator region in said moderating rod in the cross-sectional plane in which said moderator is present to the area A.sub.C of the coolant passages in said fuel assembly being within the range of 0.07 to 0.11, and said area A.sub.M being 75% or more of the total area of the fuel lattice units in which none of said fuel rods is arranged, but said moderating rod is arranged. 6. A fuel assembly according to claim 5, wherein the projecting portions of a cruciate form of said moderating rod are placed at right angles with respect to the sides of said fuel assembly. 7. A fuel assembly according to claim 5, wherein if the average enrichment of the fuel rods of said fuel rods arranged in the periphery of said fuel assembly is E.sub.A, the average enrichment of the fuel rods which are adjacent to said moderating rod and each face the two sides thereof is E.sub.B, and average the enrichment of the other fuel rods is E.sub.C, the inequality E.sub.A &lt;E.sub.B &lt;E.sub.C is established. 8. A fuel assembly according to claim 7, wherein the projecting portions of a cruciate form of said moderating rod are placed at right angles with respect to the sides of said fuel assembly. 9. A fuel assembly according to claim 5, wherein said moderating rod has a passage through which a coolant serving as a moderator for a reactor, a means which is provided at the lower end of said moderating rod and introduces said coolant into said passage from the outside thereof, and a means which is provided at the upper end thereof and discharges said coolant from said passage to the outside. 10. A fuel assembly according to claim 5, wherein said moderating rod has a cylindrical body which is sealed at the upper and lower ends thereof and has a cruciate cross-sectional form, a lower end plug which is engaged with said lower tie plate at the lower end of said mode-rating rod, and a cylindrical member which is provided in said cylindrical body in a state wherein part of said cylindrical member is inserted into the center of said cylindrical body. 11. A fuel assembly according to claim 5, wherein said moderating rod has a shape at its lower portion in which the cross-sectional area thereof upwardly and gradually increases.
abstract
A material for a nuclear fusion reactor of the present invention contains intermetallic compounds of beryllium with Ti, V, Zr, Nb, Ta, Mo, W or Y. The beryllium intermetallic compounds are mixed with another metal or intermetallic compound. The material is preferably applied to blankets and facing members which are exposed to neutrons and heat generated by plasma of the nuclear fusion reactor.
summary
claims
1. A wafer scanning device which causes a wafer to scan in a vacuum chamber, comprising:a holder which can hold a wafer;a linear translation structure which causes the holder to scan;a transmission mechanism and a motor which drive the linear translation structure; andan integrated support frame which supports them, wherein said holder and said linear translation structure are installed in a vacuum chamber;said transmission mechanism comprises a first transmission mechanism on a line of travel of the linear translation structure, which is installed in the vacuum chamber, and a second transmission mechanism offset from said line of travel; andsaid second transmission mechanism and said motor, which has a vacuum-tight cover, are located in the vacuum chamber, and both lead to the atmosphere, with vacuum sealing from the first transmission mechanism. 2. A wafer scanning device according to claim 1 wherein said support frame is supported on a side of the vacuum chamber in such a manner that the support frame can rotate about an axis in the vacuum chamber. 3. A wafer scanning device according to claim 1 wherein said support frame is positioned in the vacuum chamber together with the holder, the linear translation structure, the transmission mechanism and the motor; the support frame has a vacuum-tight cover which is open to the outside of the vacuum chamber via a ventilation pipe and provided with a vacuum seal member along the line of travel of the linear translation structure in order to isolate the interior of the vacuum chamber from the atmosphere; and the second transmission mechanism offset from the line of travel of the linear translation structure and said motor are placed in the vacuum-tight cover which is open to the atmosphere. 4. A wafer scanning device according to claim 3 wherein said support frame is supported by a wall of the vacuum chamber via a hollow rotation shaft including said ventilation pipe in it, and changes its angle by the rotation of said hollow rotation shaft. 5. A wafer scanning device according to claim 3 wherein said transmission mechanism includes a transmission belt which connects the first transmission mechanism on the line of travel of the linear translation structure and the second transmission mechanism offset from the line of travel, and said transmission belt is installed in said vacuum-tight cover. 6. A wafer scanning device according to claim 1 wherein a surface of a wafer held by said holder is parallel to the path of travel of the holder determined by the linear translation structure, said support frame is arranged in such a manner that it can change its angle and can cause the holder to tilt with keeping that condition, and the center line for changing the angle of the support frame is in a plane including the surface of the wafer held by the holder. 7. A wafer scanning device according to claim 1 wherein said support frame is provided with a belt and a pulley to transmit the drive power of the motor to the linear translation structure, and further provided with a linear guide member to guide the movement of the holder. 8. A wafer scanning device according to claim 1 wherein said seal to isolate the interior of the vacuum chamber from the atmosphere can be a magnetic fluid seal which is installed on a rotation shaft directly connected to the linear translation structure. 9. A wafer scanning device according to claim 1 wherein said linear translation structure and other components, having a rolling surface or a sliding surface and installed in the vacuum chamber, are assembled in such a manner that it is possible to exchange them as a unit. 10. A wafer scanning device according to claim 1 wherein the wafer fixed to the holder is caused to scan by means of the linear translation structure while an ion beam is irradiated to the wafer. 11. A wafer scanning device according to claim 1 wherein a first transmission coupling is connected between said transmission mechanism and said motor to drive the linear translation structure. 12. A wafer scanning device according to claim 1 wherein said linear translation structure, which causes the holder to scan, is a ball screw positioned in the vacuum chamber. 13. A wafer scanning device according to claim 12 wherein a rolling surface and a sliding surface of said ball screw are coated with a diamondlike carbon film and further covered by a baking film of fluorine oil. 14. A wafer scanning device according to claim 12 wherein said ball screw is a ball-retainer-embedded ball screw. 15. A wafer scanning device according to claim 12 wherein said ball screw is connected to the transmission mechanism via a second transmission coupling. 16. A wafer scanning device according to claim 12 wherein said ball screw is supported by the support frame via a radial bearing at its either end and further supported by the support frame via a preloaded thrust bearing at its end closer to the transmission mechanism. 17. A wafer scanning device according to claim 16 wherein a fluid passage which cools the ball screw is formed to run near said thrust bearing of the support frame.
054209005
description
DETAILED DESCRIPTION By way of example, the invention is described in its application to a pressurized water reactor of the kind shown in FIG. 1, which reactor comprises a vessel 10 that withstands the pressure of the water which constitutes both the coolant and the moderator. The vessel is closed by a cover 12 which carries mechanisms 14 for displacing the control clusters, only one of which, 16, is shown. The vessel 10 contains the core 18 which is built up by juxtaposing assemblies each of which comprises a framework and a bundle of fuel rods that are distributed in a regular array. The framework of each assembly comprises guide tubes (not shown) in which absorbent rods belonging to the control clusters can slide. The core is surmounted by a structure, e.g., a welded structure which is designated by the term "upper internal equipments". This structure performs several functions. It collects the flow of high temperature water leaving the core upwardly and it deflects it to the outlet nozzle 22 from the vessel 18. Finally, it guides the vertical displacement of the control clusters 16 associated with at least some of the assemblies. The upper internal equipments shown in FIG. 1 comprise a bottom plate 24 which receives thrust from the assemblies subjected to the upward flow of pressurized water, and a top plate 26 which conveys the resultant of the forces applied to the upper internal equipment overall to the vessel 10 and to its cover 12. The plates 24 and 26 are interconnected by tubular spacer columns 28. Cluster guides are provided vertically, each in line with a mechanism 14. Each cluster guide may be considered as comprising a top length 30 and a bottom length 32. The bottom lengths 32 are contained in respective spacer column 28. The top lengths project above the top plate 26 which may be regarded as being a support plate for the cluster guides. Together the two lengths constitute a one-piece cartridge which is insertable as a whole by lowering it into a column. The cartridge has a framework that terminates at the bottom in a base 40 provided with indexing means for imparting a determined angular orientation to the framework in the column. As shown in FIG. 2, the indexing means for the bottom lengths comprise a sliding key system between the base 40 and the column 28 and are constituted by a peg 34 which engages in a vertical groove in the base 40. The framework shown by way of example in FIGS. 2 to 5 comprises: a plurality of vertical longitudinal members or beams 38 that are uniformly distributed angularly, and that are four in number, for example; PA1 regularly distributed cross plates or "cards" 44 which are cut out for the openings to correspond to the distribution of neutron absorbent rods in the control cluster and which are interconnected by the longitudinal members 38; and PA1 split sleeves and tubes 52 for guiding the rods of the cluster, protecting the rods against the flow of coolant and replacing the longitudinal members between the bottommost plate 44c and the base 40. The base 40 is designed to bear against a seat formed on the bottom plate 24 or secured thereto. The shape of the bearing surface between the seat and the thrust surface of the base is such that it tends to center the base relative to the bearing surface. In general, the bearing surface is frustoconical and the thrust surface is likewise frustoconical or is in the form of a spherical cap. In the embodiment shown in FIG. 2, the guiding split sleeves and tubes 52 connect together two cross-plates 44c and the base 40. They provide continuous guidance for the rods of the cluster over a length l. In contrast, guidance for the rods is discontinuous in that portion of the bottom length that is not provided with split sleeves and tubes, and also in the top length. Again referring to the embodiment shown in FIG. 2, each support column 28 disposed around a bottom length 32, is terminated by flanges, including one fixed to the downwardly facing face of the top plate 26 and another fixed to the bottom plate 24, e.g., by screws. The reactor is designed so that the coolant leaving the assemblies passes through passages 48 formed in the bottom plate 24, each in alignment with a corresponding fuel assembly, and then through the bases 40. Openings 54 are provided in the bottom portions of the columns. The columns may be fixed to the plates 24 and 26 by any conventional method. For example, they may be provided with flanges that bear against the mutually confronting surfaces of the two plates and are fixed by means of screws (not shown). The top length 30 of each guide is terminated by a top plate 70 fixed to the longitudinal members 38 and provided with upwardly-projecting centering means 72. The centering means may have various different structures. It is designed to engage in a reception plate 74 belonging to a casing 76 which is fixed to the top plate 26. The casing 76 surrounds the top length 30. In the example shown in FIG. 2, it is centered on the plate 26 by an annular projection and it is fixed on the plate by screws 80 that pass through the bottom flange of the cap. The framework may include a flange or a centering card 66 for centering the cartridge inside the casing and in the column, at the level of the top plate 26. The internal equipments also include resilient means for pressing each base 40 against its seat, which may surround a corresponding passage 48. In the embodiment shown in FIGS. 2 and 6, the resilient means comprise a single helical spring 78 which is compressed between the centering means 72 and the reception plate 74. The centering means may be constituted, in particular, by a bush having a collar 81 against which the spring 78 bears, which spring is held captive by a nut 82 screwed into the reception plate 74. The central bore of the nut 82 and the bottom portion of the reception plate 74 then guide the centering means. The vertical level of the centering means 72 relative to the highest plate 70 may be made adjustable. In the example shown in FIG. 6, the centering means 72 bear against the highest plate 70 through a nut 84 which is adjustably screwed on a thread of the centering means whose end has a sliding fit in a bore through the highest plate 70. The device is simpler to assemble than devices in previously known systems. The cartridge can be fully assembled in a workshop. The centering means 72 can be installed in the reception plate 74 while still in the workshop. The reception plate is then fixed to the remainder of the casing, e.g., by means of welded pegs 86. The cartridge is installed on site. The casing is installed, and insertion of the centering means in the highest plate 70 can be facilitated by chamfers. The cap is then pushed in by tightening the screws 80, thereby compressing the spring 78. The control clusters continue to be actuated in conventional manner by means of a rod passing through the central bore of the centering means 72. The embodiment shown in FIG. 7 (where members corresponding to those of FIG. 6 are designated by the same reference numerals) differs from that of FIG. 6 only in that the helical spring 78 is replaced by a stack of Belleville washers 78a. In the embodiment shown in FIGS. 8 and 9, the resilient means comprise a plurality of identical spring sets that are uniformly distributed around the guide axis and that are accommodated in the highest plate 70. There may be three such spring sets, for example. Each spring set comprises a helical spring 88 contained in a chamber 90 which is formed in the highest plate 70. The spring is compressed between the end wall of the chamber and a plunger 92 which is retained by a plug 94. The plunger has an extension 96 that passes through the plug and that is designed to receive the thrust from the reception plate 74. In order to adjust the application force exerted by the springs 88, the plate 74 bears against the extensions 96 via respective internally threaded heads 98 that are screwed on the extensions. Centering spindles 100 can be fitted in the reception plate 74 and may slide in holes 102 formed in the highest plate 70. The embodiment shown in FIG. 10 differs from the preceding embodiment only in that the helical springs 88 are replaced by stacks of Belleville washers 88a. The guides shown in FIGS. 8 and 10 are just as simple to assemble as the preceding guides. The springs 88 are installed in the chambers 90 in a workshop. The plungers 92 are located in the springs. The plugs 94 are installed to close the chambers. They may be designed to impart a first prestress to the springs 88. The nuts 98 are screwed and adjusted: the distance d between each nut 98 and the corresponding plug 94 determines the compression stroke of the springs. Once the cartridges have been fully made up, they can be installed by introducing them into the columns. Thereafter the casings are installed and secured by means of screws engaging the top plate 24.
claims
1. A method of performing high-tilt implantation, comprising:a. providing an ion beam having two orthogonal dimensions, said beam comprising a plurality of ion beamlets, and wherein one dimension of said ion beam has a higher degree of parallelism between said beamlets than the other dimension;b. tilting a workpiece having a planar surface about an axis substantially perpendicular to said dimension having said higher degree of parallelism, so as to form an angle between the surface of the workpiece and a plane perpendicular to said ion beam;c. exposing said workpiece to said ion beam; andd. rotating said workpiece in a clockwise or counterclockwise direction. 2. The method of claim 1, wherein said rotation is performed about an axis perpendicular to the planar surface of said workpiece. 3. The method of claim 1, further comprising moving said workpiece in a direction so as to expose an entirety of said workpiece to said ion beam. 4. The method of claim 1, wherein said ion beam has a width dimension and a smaller height dimension, and said higher degree of parallelism is in said width dimension. 5. The method of claim 1, wherein said angle formed by said tilting step is between about 5 and about 60 degrees. 6. The method of claim 1, wherein said exposing and rotating steps are performed a plurality of times. 7. The method of claim 6, wherein an angle of said rotation is defined as 360° divided by the number of times said rotating step is performed. 8. The method of claim 1, wherein an angle of said rotation is about 90 degrees. 9. The method of claim 1, wherein an angle of said rotation is about 180 degrees. 10. The method of claim 1, wherein said ion beam comprises a scanned ion beam. 11. The method of claim 1, wherein said ion beam comprises a ribbon beam. 12. The method of claim 1, wherein a width of said ion beam varies based on said angle formed by said tilting step. 13. A method of implanting a portion of a workpiece, comprising:a. providing an ion beam having two orthogonal dimensions, said beam comprising a plurality of ion beamlets, and wherein one dimension of said ion beam has a higher degree of parallelism between said beamlets than the other dimension;b. tilting a workpiece having a planar surface about an axis substantially perpendicular to said dimension having said higher degree of parallelism, so as to form an angle between the surface of the workpiece and a plane perpendicular to said ion beam;c. orienting said workpiece relative to said ion beam so as to place said portion in a position to be exposed by said beam; andd. exposing said workpiece to said ion beam. 14. The method of claim 13, wherein said ion beam has a width dimension and a smaller height dimension, and said higher degree of parallelism is in said width dimension. 15. The method of claim 13, wherein said portion undergoes halo implantation. 16. The method of claim 13, wherein said portion undergoes a single sided buried strap implantation. 17. The method of claim 13, wherein said portion undergoes a source-drain extension implantation. 18. The method of claim 13, wherein high tilt implantation is carried out on a portion of a non-planar device structure. 19. The method of claim 13, further comprising rotating said workpiece in a clockwise or counterclockwise direction. 20. The method of claim 19, wherein said rotation is performed about an axis perpendicular to the planar surface of said workpiece. 21. The method of claim 19, wherein said orienting, exposing and rotating steps are performed a plurality of times. 22. The method of claim 13, wherein said orienting step is performed by rotating said workpiece in a clockwise or counterclockwise direction. 23. The method of claim 22, wherein said orienting step is performed by rotating said workpiece about an axis perpendicular to the planar surface of said workpiece.
description
This application claims the benefit of U.S. Provisional Application No. 61/045,205, filed Apr. 15, 2008, which application is incorporated herein by reference. 1. Field of the Invention The present invention relates to systems and techniques for determining write patterns for maskless-lithography processes that use write devices and mask patterns for lithography processes that use photo-masks. 2. Related Art Lithography processing represents an essential technology for manufacturing Integrated Circuits (IC) and Micro Electro-Mechanical Systems (MEMS). Lithographic techniques are used to define patterns, geometries, features, shapes, etc. onto an integrated-circuit die, semiconductor wafer, or chips, where the patterns are typically defined by a set of contours, lines, boundaries, edges, curves, etc., which generally surround, enclose, and/or define the boundary of the various regions which constitute the patterns. One existing lithographic technique is photolithography, in which images defined by photo-masks are printed onto the integrated-circuit die or the semiconductor wafers. Furthermore, another existing lithographic technique is maskless lithography, in which a write device directly prints a write pattern onto the integrated-circuit die or the semiconductor wafers, thereby eliminating the need for photo-masks. Unfortunately, it is increasingly difficult to determine the write patterns, or to design and manufacture photo-masks. In particular, demand for increased density of features on the integrated-circuit die and semiconductor wafers has resulted in the design of circuits with decreasing minimum dimensions. These trends have significantly increased the complexity of the computations necessary to determine the write patterns and/or the mask patterns (to which the photo-masks correspond), with a commensurate impact on computation time, processing requirements, and expense. Furthermore, due to the wave nature of light, as dimensions approach sizes comparable to the wavelength of the light used in the photolithography processes, the resulting wafer patterns deviate from the corresponding photo-mask patterns and are accompanied by unwanted distortions and artifacts. Existing techniques (such as optical proximity correction or OPC, and resolution enhancement technologies or RET) are used to pre-distort the mask patterns to improve resolution and/or to improve a process window (i.e., a range of process conditions that result in acceptable yield) in a photolithography process. While these techniques may ensure that the wafer pattern is printed more accurately, determining the pre-distorted mask patterns is increasingly difficult, thereby exacerbating the computational complexity and the associated problems. For example, computing the pre-distorted mask patterns may be complicated by the presence of multiple potential solutions (such as local minima) in a higher-dimensional solution space. Moreover, while many of these solutions may produce similar wafer patterns, it may be easier to manufacture photo-masks corresponding to some of the solutions than others. Consequently, identifying a suitable pre-distorted mask pattern may be time-consuming and expensive. Moreover, many of the mask patterns and/or write patterns determined using existing techniques include one or more regions (which are sometimes referred to as hotspots) that violate pre-determined rules associated with the lithographic and/or photolithographic processes. As the density of features increases, it is increasingly difficult to correct the hotspots (so that these regions comply with the pre-determined rules) using existing techniques. Hence, what is needed is a method and an apparatus that facilitates determination of write patterns and mask patterns without the above-described problems. One embodiment of the present invention provides a method for determining a mask pattern to be used on a photo-mask in a lithography process, which may be performed by a computer system. During operation, this computer system receives at least a portion of a first mask pattern including first regions that violate pre-determined rules associated with the photo-mask. Next, the computer system determines a second mask pattern based on at least the portion of the first mask pattern, where the second mask pattern includes second regions that are estimated to comply with the pre-determined rules. Note that the second regions correspond to the first regions, and the second mask pattern is determined using a different technique than that used to determine the first mask pattern. In some embodiments, the computer system further receives the first mask pattern. In some embodiments, the computer system further analyzes the first mask pattern using the predetermined rules to identify the first regions and extracts at least the portion of the first mask pattern. Note that the analysis of the first mask pattern may involve verification. Moreover, the verification may be image-based. In some embodiments, the identification of the first regions involves identifying locations associated with violations of the pre-determined rules, and the extraction of at least the portion of the first mask pattern involves calculating the first regions based on one or more geometric relationships for shapes surrounding the locations. For example, the one or more geometric relationships may include overlapping shapes or adjacent shapes, such as polygons, that contact each other along an edge. Moreover, in some embodiments the extraction of at least the portion of the first mask pattern involves aggregating the calculated first regions. In some embodiments, the receiving involves accessing at least the portion of the first mask pattern in a computer-readable memory. In some embodiments, the computer system further applies an Optical Proximity Correction (OPC) to at least the portion of the first mask pattern prior to the determination of the second mask pattern. In some embodiments, the computer system further merges the second mask pattern with a remainder of the first mask pattern, where the remainder of the first mask pattern excludes the first regions. In some embodiments, the first regions include hotspots. In some embodiments, the pre-determined rules include manufacturing rules associated with the photo-mask. In some embodiments, at least the portion of the first mask pattern further includes third regions, where a given third region surrounds a given first region. Note that the third regions may be unchanged during the determination of the second mask pattern. Moreover, in some embodiments a second portion of the third regions are changed during the determination of the second mask pattern, and this second portion of the third regions is a transition region between the second regions and the first portion of the second regions. In some embodiments, an optical characteristic of the second portion of the third regions is approximately unchanged or is improved relative to the optical characteristic of the second portion of the third regions in at least the portion of the first mask pattern. For example, the optical characteristic may include intensity, contrast, depth of focus, and/or a mask error enhancement factor. In some embodiments, the first mask pattern includes multiple subsets that are to be processed by a group of processors, where the portion of the first mask pattern includes those multiple subsets that are proximate to the first regions. Note that in some embodiments at least some of the subsets are processed in parallel. In some embodiments, the computer system further analyzes the second mask pattern using the predetermined rules to confirm that the second regions comply with the pre-determined rules. Note that the analysis of the second mask pattern may involve verification. In some embodiments, the determination of the second mask pattern involves an inverse lithographic calculation that includes a projection of a target pattern at an image plane in the photolithographic process to an object plane in the photolithographic process. In some embodiments, the determination of the second mask pattern involves image-based or pixel-based correction. In some embodiments, at least the portion of the first mask pattern is included in a file that is compatible with a GDSII format. Another embodiment provides the computer system configured to execute instructions corresponding to at least some of the above-described operations. Another embodiment provides a computer-program product for use in conjunction with the computer system, which includes instructions corresponding to at least some of the above-described operations. Another embodiment provides a semiconductor wafer. This semiconductor wafer is produced in the photolithography process that uses the photo-mask. Another embodiment provides the photo-mask. Another embodiment provides a data file stored in a computer-readable memory that includes information corresponding to the mask pattern. Another embodiment provides another method in which at least some of the above-described operations are used for generating a write pattern (instead of a mask pattern) to be used in a maskless-lithographic process. Another embodiment provides another computer-program product for use in conjunction with the computer system, which includes instructions corresponding to at least some of the above-described operations for generating the write pattern. Another embodiment provides another semiconductor wafer. This semiconductor wafer is produced in the maskless-lithography process. Another embodiment provides another data file stored in a computer-readable memory that includes information corresponding to the write pattern. One embodiment of the present invention provides a third method for determining a mask pattern to be used on a photo-mask in a lithography process, which may be performed by another computer system. During operation, this other computer system receives a file including a first mask pattern and additional information associated with regions in the first mask pattern that violate pre-determined rules associated with the photo-mask. Next, the other computer system determines a second mask pattern based on the first mask pattern, wherein the second mask pattern is estimated to comply with the predetermined rules. In some embodiments, the regions include hotspots. In some embodiments, the information is included in a single-layer in the file. Moreover, the file may be compatible with a GDSII format. In some embodiments, the regions include polygons, where a given polygon surrounds a portion of the first mask pattern that violates the pre-determined rules. In some embodiments, the pre-determined rules include manufacturing rules associated with the photo-mask. In some embodiments, the regions are determined during verification. In some embodiments, the other computer system further performs verification on the second mask pattern to confirm that the second mask pattern complies with the pre-determined rules. Note that the verification may be image-based. In some embodiments, the determination of the second mask pattern involves image-based and/or pixel-based correction. In some embodiments, the determination of the second mask pattern involves an inverse lithographic calculation that includes a projection of a target pattern at an image plane in the photolithographic process to an object plane in the photolithographic process. In some embodiments, the second mask pattern is determined using a different technique than that used to determine the first mask pattern. Another embodiment provides the other computer system configured to execute instructions corresponding to at least some of the above-described operations. Another embodiment provides a third computer-program product for use in conjunction with the other computer system, which includes instructions corresponding to at least some of the above-described operations. Another embodiment provides a third semiconductor wafer. This third semiconductor wafer is produced in the photolithography process that uses the photo-mask. Another embodiment provides the photo-mask. Another embodiment provides a third data file stored in a computer-readable memory that includes information corresponding to the mask pattern. Another embodiment provides a fourth method in which at least some of the above-described operations are used for generating a write pattern (instead of a mask pattern) to be used in a maskless-lithographic process. Another embodiment provides a fourth computer-program product for use in conjunction with the other computer system, which includes instructions corresponding to at least some of the above-described operations for generating the write pattern. Another embodiment provides a fourth semiconductor wafer. This semiconductor wafer is produced in the maskless-lithography process. Another embodiment provides a fourth data file stored in a computer-readable memory that includes information corresponding to the write pattern. All publications, patents, and patent applications mentioned in this specification are herein incorporated by reference to the same extent as if each individual publication, patent, or patent application was specifically and individually indicated to be incorporated by reference. Note that like reference numerals refer to corresponding parts throughout the drawings. The following description is presented to enable any person skilled in the art to make and use the invention, and is provided in the context of a particular application and its requirements. Various modifications to the disclosed embodiments will be readily apparent to those skilled in the art, and the general principles defined herein may be applied to other embodiments and applications without departing from the spirit and scope of the present invention. Thus, the present invention is not intended to be limited to the embodiments shown, but is to be accorded the widest scope consistent with the principles and features disclosed herein. Embodiments of a computer system, a method, a computer program product (i.e., software), and a data structure or a file for use with the computer system are described. These systems, processes, and/or data structures may be used to determine or generate mask patterns that are used to produce photo-masks, which in turn are used to produce integrated-circuit die and/or semiconductor wafers (henceforth referred to as semiconductor wafers) during a photolithographic process. Furthermore, in some embodiments the systems, processes, and/or data structures are used to determine or generate write patterns that are used to produce the semiconductor wafers during a maskless-lithographic process (such as a process that uses a direct write device). In particular, the photo-mask may be used to project an aerial image or print a pattern (henceforth referred to as the printed pattern) corresponding to a target pattern in a design (such as a design layer in an integrated circuit) on to a semiconductor wafer. Alternatively, a write device (such as a laser writer or an e-beam writer) may use the write pattern to write a pattern on to the semiconductor wafer. In the discussion that follows, determination or generating of a mask pattern is used as an illustrative example. In some embodiments, a file containing at least the regions in a mask pattern that violate pre-determined rules (such as design and/or manufacturing rules) associated with the photo-mask is received. These regions may include so-called hotspots in the mask pattern. Next, another mask pattern may be determined based on at least the regions using a different technique than that used to determine the mask pattern. This other mask pattern may include additional regions, which correspond to the regions, and which comply with the pre-determined rules. In some embodiments, a remainder of the mask pattern, which excludes the regions, is merged with the other mask pattern. Moreover, in some embodiments, the other mask pattern is analyzed (for example, during verification) to confirm that the additional regions comply with the predetermined rules. In some embodiments, at least the regions are identified in the mask pattern (for example, during verification) and are extracted to produce the file. However, in some embodiments the file includes the mask pattern as well as information associated with the regions. This information may be included in a single layer in the file. Note that the file may be compatible with a GDSII format. In an example embodiment, the mask pattern is at least in part determined using a technique that includes Optical Proximity Correction or OPC. Moreover, the determination of the other mask pattern may involves an inverse lithographic calculation that includes a projection of a target pattern at an image plane in the photolithographic process to an object plane in the photolithographic process. We now describe embodiments of a system or technique for determining or generating mask patterns and/or write patterns. FIG. 1A presents a block diagram 100 illustrating an embodiment of determining a mask pattern and/or a write pattern. During the determining, a pattern generator 112 determines mask or write pattern 114-1 based on a target pattern 110, such as the target pattern to be produced on a semiconductor die during a photolithographic or lithographic process. Next verifier 116-1 may analyze mask or write pattern 114-1 to determine if it complies with pre-determined rules, such as those associated with manufacturing of a photo-mask to be used in the photo-lithographic process. If one or more regions in the mask or write pattern 114-1 violate one or more of the pre-determined rules, hot-spot extractor 118 may extract these regions and output hotspots 120. Then, hotspot-repair generator 122 may modify the regions such that they are estimated to comply with the pre-determined rules. In some embodiments, a merge 124 component combines the modified regions with the mask or write pattern 114-1 (or a remainder of the mask or write pattern 114-1 that excludes the regions) and outputs a new mask or write pattern 114-2. Moreover, in some embodiments an optional verifier 116-2 confirms that the modified regions comply with the pre-determined rules. In some embodiments, at least some of the functions illustrated in block diagram 100 are performed by different parties. For example, a first party may generate the mask or write pattern 114-1. Then, a second party may perform verification and/or extraction of hotspots 120. These hotspots and the mask or write pattern 114-1 (or the remainder of the mask or write pattern 114-1 that excludes the regions) may be provided to a third party that generates the mask or write pattern 114-2. Note that the hotspots 120 may be provided to the third party in a file that is compatible with a GDS format, such as GDSII. In some embodiments, different techniques are used to determine the mask or write pattern 114-1 and to determine the modified regions. For example, during or after the determining of the mask or write pattern 114-1 using pattern generator 112, OPC may be applied to the mask or write pattern 114-1. Note that OPC may be applied to the regions corresponding to the hotspots 120. Moreover, in some embodiments the determination of the mask or write pattern 114-2 involves an inverse lithographic calculation that includes a projection of the target pattern 110 at an image plane in the lithographic or photolithographic process to an object plane in the lithographic or photolithographic process. Note that in some embodiments verification performed by either or both of the verifiers 116 is image-based. Moreover, in some embodiments the determination of the mask or write pattern 114-2 using the hotspot-repair generator 122 involves image-based and/or pixel-based correction. While block diagram 100 describes an embodiment in which hotspots 120 are extracted prior to being repaired, in other embodiments these regions are identified and a single file that includes the hotspots 120 as well as the remainder of the mask or write pattern 114-1 is transmitted (for example, to the third party) for repair. Processing a single file may eliminate or reduce the challenges associated with dealing with multiple files for different hotspots 120 and the large number of variations in how the hotspots 120 or regions in these files may overlap. For example, it may be difficult to break a given hotspot into pieces because of error propagation (which may not be repairable). This technique is shown in FIG. 1B, which presents a block diagram 130 illustrating an embodiment of determining a mask pattern and/or a write pattern. In particular, hotspot-repair generator 122 may receive the single file and may modify the regions or hotspots 120 (FIG. 1A) to produce the mask or write pattern 114-2. Note that this single file may include the mask or write pattern 114-1 as well as additional information associated with regions or hotspots 120 (FIG. 1A). Moreover, in some embodiments this information is included in single-layer in the file and/or the file may be compatible with a GDS format, such as a GDSII format. Note that in some embodiments, block diagram 100 (FIG. 1A) and/or block diagram 130 there may be fewer or additional components. For example, at least a subset of the mask or write pattern 114-1 and/or the hotspots 120 (FIG. 1A) may be processed by a group of processors in parallel. A given subset or work unit may include or may be within optical proximity of one of the hotspots 120 (FIG. 1A). Moreover, two or more components may be combined into a single component, and/or a position of one or more components may be changed. We now describe embodiments of identifying and/or extracting regions or hotspots in a mask pattern and/or a write pattern. In the discussion that follows, a mask pattern is used as an illustrative example. FIG. 2A presents a block diagram illustrating an embodiment 200 of a mask pattern 210. In this mask pattern, several hotspots 212 have been identified. In an example embodiment, the hotspots 212 include less than 5% of a 4 cm2 mask or write pattern. Note that these hotspots correspond to locations (such as seed locations) associated with violations of the pre-determined rules. Moreover, halos or regions 214 are determined or defined, each of which surrounds one of the hotspots 212. As discussed below, the hotspots 212 and regions 214 may be used to extract at least a portion of the mask pattern 210 for processing using the hotspot-repair generator 122 (FIGS. 1A and 1B). In some embodiments, the hotspots 212 and/or the regions 214 are determined or defined based on one or more geometric relationships for shapes surrounding the locations. For example, the one or more geometric relationships may include overlapping shapes or adjacent shapes, such as polygons, that contact each other along an edge. Moreover, in some embodiments the hotspots 212 and/or the regions 214 are determined or defined by aggregating the shapes. In an example embodiment, areas associated with the hotspots 212 and the regions 214 are extracted from the mask pattern 210 to produce a sparse file, which may be compatible with a GDS format. This sparse file may be processed as described previously with reference to FIG. 1A. In some embodiments, the sparse file includes portions (such as hotspots 212 and regions 214) of the target layer and/or portions of reference layers (which include aspects of the design other than the target pattern) in the mask pattern 210. Note that there may be portions of between 6-32 reference layers in the sparse file. In some embodiments, the sparse file also includes portions of an OPC layer which includes information associated with OPC correction. After processing by the hotspot-repair generator 122 (FIGS. 1A and 1B), an output file may be produced that includes modified areas or regions corresponding to the hotspots 212 and/or the regions 214. These regions 242 are shown in FIG. 2B, which presents a block diagram illustrating an embodiment 230 of a mask pattern 240. As noted previously, the regions 240 are expected to comply with the pre-determined rules. In other embodiments, additional regions each of which surrounds one of the hotspots 212 (FIG. 2A) are defined for use during hotspot processing. These regions 262 are shown in FIG. 2C, which presents a block diagram illustrating an embodiment 250 of a mask pattern 260. In particular, in some embodiments, during the determination of the modified regions, the hotspots 212 may be modified, and the regions 214 and 262 are unmodified. However, in some embodiments at least some regions, such as region 214-3 which is sandwiched between hotspot 212-3 and region 262-3, is modified. In some embodiments, during the determining of the modified regions, a merit or cost function (which is described below in the discussion of an inverse calculation) is determined using hotspots 212 and/or the regions 214. In some embodiments, a size of the regions 214 is 2 times an interaction range associated with a lithographic or a photolithographic process. Note that the interaction range is a function of a wavelength in this process divided by a numerical aperture associated with an optical path. Moreover, a size of the regions 262 may be 0.2 times the interaction range. Note that in some embodiments, an optical characteristic of the regions 214 is approximately unchanged or is improved during the determining of the modified regions. For example, the optical characteristic may include: the intensity, the contrast, the depth of focus, and/or a mask error enhancement factor. In an example embodiment, the single file includes first markers corresponding to the hotspots 212, the regions 214, and the logical AND of the hotspots 212 and the regions 214. These markers may be included in a single layer in the file. Moreover, the first markers may be used to extract the portion of the target layer, the reference layer(s), and/or the OPC layer associated with the mask or write pattern 114-1 (FIGS. 1A and 1B). In addition, the merge 124 component (FIG. 1A) may use additional markers M1 and M2, where M1 includes the OPC layer minus the first markers and M2 includes the logical AND of the regions 240 (FIG. 213) and the first markers. In particular, the merge 124 component (FIG. 1A) may use the logical OR of M1 and M2. While files that are compatible with a GDS format, such as a GDSII or OASIS format, have been described previously, in some embodiments one or more files used during the determination of the mask or write patterns 114 (FIGS. 1A and 1B) and/or the hotspots 120 (FIG. 1A) may be flat or hierarchical. Consequently, conversions from one file format to another (and back again) may be performed. For example, a file compatible with a GDSII format may be converted to a pixel-based representation or a format having fewer levels than the original format. Acceptable file formats may include those with a pixel-based format (i.e., spatially discrete) data patterns (such as bitmap and/or grayscale images), binary patterns, and/or continuous-tone patterns. Note that in some embodiments, mask pattern 210 (FIG. 2A), mask pattern 240 (FIG. 2B), and/or mask pattern 250 there may be fewer or additional components. Moreover, two or more components may be combined into a single component, and/or a position of one or more components may be changed. We now describe embodiments of processes for generating write patterns and/or mask patterns. FIG. 3 presents a flowchart illustrating an embodiment of a process 300 for generating the mask pattern and/or the write pattern, which may be performed by a computer system. During operation, this computer system optionally receives a first mask or write pattern (310). Next, the computer system optionally analyzes the first mask or write pattern using pre-determined rules to identify first regions that violate the pre-determined rules and to extract at least a portion of the first mask or write pattern (312). Note that the pre-determined rules may be associated with a photo-mask or a lithographic process, such as a photolithographic process or a maskless-lithography process. Alternatively, the computer system optionally receives at least a portion of the first mask or write pattern including the first regions (314). Then, the computer system determines a second mask or write pattern based on at least the portion of the first mask or write pattern, where the second mask or write pattern includes second regions that are estimated to comply with the pre-determined rules (316). Note that the second regions correspond to the first regions, and the second mask or write pattern is determined using a different technique than that used to determine the first mask or write pattern. In some embodiments, the computer system optionally analyzes the second mask or write pattern using the pre-determined rules to confirm that the second regions comply with the pre-determined rules (318). Moreover, in some embodiments, the computer system optionally merges the second mask or write pattern with a remainder of the first mask or write pattern, where the remainder of the first mask or write pattern excludes the first regions (320). FIG. 4 presents a flowchart illustrating an embodiment of a process 400 for generating the mask pattern and/or the write pattern, which may be performed by a computer system. During operation, this computer system receives a file including a first mask or write pattern and additional information associated with regions in the first mask or write pattern that violate pre-determined rules (410). Next, the computer system determines a second mask or write pattern based on the first mask or write pattern, where the second mask or write pattern is estimated to comply with the pre-determined rules (412). Moreover, in some embodiments, the computer system optionally analyzes the second mask or write pattern using the predetermined rules to confirm that the second mask or write pattern complies with the pre-determined rules (414). Note that in some embodiments of the process 300 (FIG. 3) and/or 400 there may be additional or fewer operations. Moreover, the order of the operations may be changed and/or two or more operations may be combined into a single operation. We now describe embodiments of an inverse calculation (such as an inverse lithography calculation) that may be used to determine or generate one or more write patterns and/or mask patterns. This inverse calculation may be based on minimization of an error function (which is also sometimes referred to as a cost function or a Hamiltonian function). During each iteration of the calculation, an error function may be a function of the difference between the estimated wafer pattern that results when the aerial image or printed pattern associated with the write pattern or the mask pattern is projected through an optical path in an optical system during a lithographic process. In some embodiments, multiple images may be used, such as those corresponding to a range of process conditions or multiple exposures. A forward calculation may also be used when determining the cost function. In the discussion that follows, coherent illumination by an illumination pattern associated with the source in the lithographic process is assumed. Furthermore, the electric field falling on the photo-mask (which is associated with the mask pattern) or provided by a write device (based on the write pattern) is approximately constant. Using photo-lithography as an example, note that some regions of the photo-mask (such as those corresponding to ‘0s’ in a binary mask pattern) reflect the light to the semiconductor wafer at the image plane of the optical system, while other regions (such as those corresponding to ‘1s’ in the binary mask pattern) do not reflect the light to the semiconductor wafer. It follows that a scalar electric field E after reflection off of the photo-mask (which is henceforth referred to as an image pattern), may be expressed as E ⁡ ( r _ ) = { 0 1 } ,where {right arrow over (r)}=(x,y) is a point on the (x,y) plane. More generally, the electric field may include grayscale values in the range {−1, 1} or arbitrary complex numbers that correspond to the features of the photo-mask. Thus, chrome-on-glass photo-masks and various types of photo-masks other than chrome on glass, such as attenuated phase shifting, strong phase shifting, other materials, etc., are within the scope of the present invention.) Note that this representation of the image pattern may be re-expressed using a function φ (referred to as a level-set function) having positive regions that indicate light reflected to the semiconductor wafer and negative regions that indicate an absence of light reflected to the semiconductor wafer. (More generally, the level-set function may have two or more levels and a given mask pattern or write pattern may be represented by one or more level-set functions.) Furthermore, the level-set function may equal zero at the boundaries or contours of features of the photo-mask. Therefore, the electric field E associated with the photo-mask (and thus, the mask pattern) may be re-expressed as a function of this level-set function, i.e.,E({right arrow over (r)})=ĥ(Φ(x,y)),where ĥ is a transmission or reflection function h ^ ⁡ ( x ) = { 1 x ≥ 0 0 x < 0 } . Since an ideal diffraction limited lens acts as a low-pass filter, this may be used as an approximation to the actual (almost but not quite perfect) optics in the optical path in the optical system. Mathematically, the action of a lens may be expressed asA({right arrow over (r)})=f−1(Ĉ(f(E({right arrow over (r)}))))where A({right arrow over (r)}) indicates the electric field distribution on the wafer, f indicates the Fourier transform, f−1 indicates the inverse Fourier transform, and Ĉ indicates the pupil cutoff function, which is zero for frequencies larger than a threshold determined by the numerical aperture of the lens, and one otherwise. Thus, the pupil function is C ⋒ ⁡ ( k x , k y ) = h ^ ⁡ ( k max 2 - [ k x 2 + k y 2 ] ) = { 0 k x 2 + k y 2 ≥ k max 2 1 k x 2 + k y 2 < k max 2 } ,wherein kx, ky and kmax represent frequency coordinates in Fourier space. Therefore, the intensity pattern on the semiconductor wafer is simply the square of the electric fieldI({right arrow over (r)})=|A({right arrow over (r)})|2.Combining these two equations, we findF(φ(x,y))=(|f−1(Ĉ(f(ĥ(Φ(x,y)))))|2).This is a self-contained formula for the intensity pattern at the semiconductor wafer. Note that this is just one embodiment of the forward calculation that can be used within the scope of this invention, chosen by way of example due to its relative simplicity. More sophisticated forward models also fall within the scope of the present invention. Such models may take into account, by way of example but not limitation: various illumination conditions (e.g., off-axis, incoherent), the actual electromagnetics of the light field interacting with the photo-mask, the polarization of the light field, the actual properties of the lens (such as aberrations), and/or the vector nature of the electromagnetic field as it propagates through the optical path in the optical system during the lithographic process. In some embodiments, during each iteration of the inverse calculation the level-set function corresponding to the mask pattern and/or the write pattern is updated according toφi+1=φi+Δφ=φi+Δt·∇(H),where Φi+1 is an updated version of the level-set function, Φi is the current version of the level-set function, Δt is a step size in the calculation and ∇(H) is a gradient or a derivative of the error function. Note that ∇(H) is δ ⁢ ⁢ H δϕ ⁢ | ϕ i ,i.e., it is the Frechet derivative of the cost function H. Furthermore, in some embodiments ∇(H) is the direction of steepest descent for minimizing or optimizing H by changing Φ. Furthermore, in some embodiments a 1st order and/or a 3rd order Runge-Kutta method is used when updating Φi. In other embodiments, a Conjugate Gradient technique, a Levenberg-Marquardt technique, a Quasi-Newton technique, and/or a Simplex technique may be used. At least some aspects of Simulated Annealing may be utilized in some embodiments of the inverse calculation. In particular, the error function H may be allowed to increase during some iterations as the calculation evolves. In this way, the global minimum in the multi-dimensional space may be determined. Note that the size of this multi-dimensional space is proportional to a number of quantization levels to the power of the number of pixels in the mask pattern or the write pattern. In an example embodiment, these patterns or images have at least 1 million pixels (for example, 1024×1024). In some embodiments, features are added to the mask pattern or the write pattern during the inverse calculation. For example, features associated with sub-resolution assist features (SRAFs) may be added at one or more locations (such as at a maximum and/or a minimum in the gradient of the cost function). These features may help improve the mask pattern or the write pattern and/or may help accelerate convergence of the inverse calculation. In some embodiments, the inverse calculation is divided into a series of overlapping work units, at least some of which are processed independently and/or concurrently. These work units may be based on features or structures (for example, repetitive structures) in the mask pattern or the write pattern. In some embodiments, the inverse calculation is run for 100, 1000 or 10,000 iterations at which point the optimal solution has been determined. In other embodiments, the calculation is stopped based on convergence criteria, such as: oscillatory behavior; a relative and/or absolute difference between the target pattern and an estimate of the printed pattern or developed wafer pattern; the latest change to the error function H; and/or the history of changes to the error function H. For example, the relative difference may be less than 1% and/or the absolute difference may be 10 nm for a critical dimension of 100 nm. We now describe embodiments of computer systems that perform the determining or generating of the mask patterns and/or write patterns. FIG. 5 presents a block diagram illustrating an embodiment of a computer system to generate the mask pattern and/or the write pattern. Computer system 500 includes multiple processors 510 or processor cores, a communication interface 512, a user interface 514, and one or more signal lines 522 coupling these components together. Note that the processing units 510 support parallel processing and/or multi-threaded operation, the communication interface 512 may have a persistent communication connection, and the one or more signal lines 522 may constitute a communication bus. Moreover, the user interface 514 may include a display 516, a keyboard 518, and/or a pointer 520, such as a mouse. Memory 524 in the computer system 500 may include volatile memory and/or non-volatile memory. More specifically, memory 524 may include ROM, RAM, EPROM, EEPROM, FLASH, one or more smart cards, one or more magnetic disc storage devices, and/or one or more optical storage devices. Memory 524 may store an operating system 526 that includes procedures (or a set of instructions) for handling various basic system services for performing hardware dependent tasks. The memory 524 may also store communications procedures (or a set of instructions) in a communication module 528. The communication procedures may be used for communicating with one or more computers, devices and/or servers, including computers, devices and/or servers that are remotely located with respect to the computer system 500. Memory 524 may also include one or more program modules (or a set of instructions), including: first computation module 530 (or a set of instructions), second computation module 532 (or a set of instructions), verification module 534 (or a set of instructions), extraction module 536 (or a set of instructions), and/or merge module 538 (or a set of instructions). First computation module 530 may determine one or more mask and/or write patterns 550 (such as pattern A 552-1, pattern B 552-2, and/or pattern N 552-N). These patterns may be analyzed using verification module 534 to identify regions that violate pre-determined rules, such as manufacturing criteria 540 and/or feature rules 542. Next, extraction module 536 may extract at least these regions and generate portions of one or more mask and/or write patterns 546 (such as hotspot A 548-1, hotspot B 548-2, and/or hotspot M 548-M), as well as one or more optional remainders 544 (which include the remainder of the one or more mask or write patterns, i.e., which exclude the regions). Then, second computation module 532 may determine additional regions using these portions. These additional regions, which correspond to the regions, may comply with the pre-determined rules. Alternatively, second computation module 532 may determine additional mask or write patterns (not shown) from a file that includes one or more of the mask or write patterns 550 as well as information associated with the regions. In some embodiments, verification module 534 is used to confirm that the additional regions and/or the additional mask or write patterns comply with the pre-determined rules. Moreover, in some embodiments merge module 538 combines the additional regions with the optional remainder(s) 544 to generate the additional mask or write patterns (not shown). Instructions in the various modules in the memory 524 may be implemented in a high-level procedural language, an object-oriented programming language, and/or in an assembly or machine language. The programming language may be compiled or interpreted, i.e., configurable or configured to be executed by the processing units 510. Although the computer system 500 is illustrated as having a number of discrete components, FIG. 5 is intended to be a functional description of the various features that may be present in the computer system 500 rather than as a structural schematic of the embodiments described herein. In practice, and as recognized by those of ordinary skill in the art, the functions of the computer system 500 may be distributed over a large number of servers or computers, with various groups of the servers or computers performing particular subsets of the functions. In some embodiments, some or all of the functionality of the computer system 500 may be implemented in one or more ASICs and/or one or more digital signal processors DSPs. Note that computer system 500 may include fewer components or additional components. Moreover, two or more components may be combined into a single component, and/or a position of one or more components may be changed. In some embodiments the functionality of computer system 500 may be implemented more in hardware and less in software, or less in hardware and more in software, as is known in the art. We now describe embodiments of a data structure that may be used in the computer system 500. FIG. 6 presents a block diagram illustrating an embodiment of a data structure 600. This data structure may include information associated with one or more versions of mask patterns and/or write patterns (such as patterns 610). In particular, a given pattern (such as pattern 610-1) may include pixels 612 and associated values 614. FIG. 7 presents a block diagram illustrating an embodiment of a data structure 700. This data structure may include information associated with one or more versions of mask patterns and/or write patterns (such as patterns 710). In particular, a given pattern (such as pattern 710-1) may include: pixels 712, associated values 714, and/or additional information 716 associated with regions in the pattern 710-1 that violate pre-determined rules (such as manufacturing criteria 540 and/or feature rules 542 in FIG. 5). Note that in some embodiments of the data structure 600 (FIG. 6) and/or the data structure 700 there may be fewer or additional components. Moreover, two or more components may be combined into a single component, and/or a position of one or more components may be changed. The foregoing descriptions of embodiments of the present invention have been presented for purposes of illustration and description only. They are not intended to be exhaustive or to limit the present invention to the forms disclosed. Accordingly, many modifications and variations will be apparent to practitioners skilled in the art. Additionally, the above disclosure is not intended to limit the present invention. The scope of the present invention is defined by the appended claims.
051868687
abstract
Reagents and processes for reductively introducing deuterium or tritium into organic molecules are described. The reagents are deuterium or tritium analogs of trialkyl boranes, borane or alkali metal aluminum hydrides. The process involves forming these reagents in situ from alkali metal tritides or deuterides.
050646028
claims
1. In a nuclear reactor system including a core containing fissionable fuel elements and a fluid circulation system for circulating heat transfer fluid through said core, said heat transfer fluid being a more effective neutron moderator in a liquid phase than in a vapor phase, said core having control rod passages therethrough into which control rods can be inserted and through which said heat transfer fluid flows, a control rod comprising: a hollow tube having a wall defining a circumference and a longitudinally extending interior space; transverse flow means for allowing said fluid to enter and exit said interior space through said wall, said transverse flow means including a longitudinally extending series of pairs of openings, each of said pairs including an inlet and an outlet, each of said outlets generally transversely opposing a respective one of said inlets; and diverter means for diverting fluid through said outlets from said interior space, said diverter means including a barrier for each of said pairs of openings, each of said barriers at least partially occluding longitudinal fluid flow along said interior space and at least partially occluding transverse fluid flow between each pair of said openings; whereby heat transfer fluid flowing longitudinally through said interior space is exchanged with fluid flowing through said control rod passage and external to said interior space, whereby the presence of the vapor phase of said fluid in said interior space is diminished and the neutron absorption effectiveness of said control rod is enhanced. a hollow hafnium tube, said tube having a length and opposing first and second sides extending lengthwise along said tube; said first side having a series of cuts spaced at equal intervals along the length of said tube, said cuts being three sided so as to form rectangular tabs attached to said first side with an integral attachment portion of said first side, said tabs being bent inwards into the interior of said tube to touch said second side at a contact portion, and form first openings along the length of said first side of said tube; said second side having a series of second circular openings corresponding to, and opposing said first openings in said first side, wherein for each of said first openings there is exactly one opposing second opening, and wherein said second openings extend from said contact portion towards the perpendicular projection of said attachment portion on said second side; and a stainless steel sheath covering said tube, said sheath having third openings, each of said first openings and each of said second openings being adjacent to a respective one of said third openings so that said sheath does not occlude any of said first and second openings; whereby, water enters said tube through said third and first openings, and leaves said tube through said second and third openings. 2. A device as recited in claim 1 wherein each of said barriers is a tab formed from said wall so as to define one inlet opening of each respective pair of openings, each of said tabs having an attachment end at said inlet opening about which it is bent to occlude said interior space. 3. A device as recited in claim 2 wherein each of said tabs has a distal end opposing said attachment end, each of said tabs being bent radially inward so that its distal end contacts said wall at a circumferential position along said wall generally opposed to that at which its attachment end is located. 4. A device as recited in claim 3 wherein each outlet opening of each pair of respective openings is upstream of said circumferential position. 5. A device as recited in claim 4 wherein said tube is hafnium. 6. A device as recited in claim 5 wherein said inlet openings are rectangular and said outlet openings are circular. 7. A flux-trap control rod comprising:
039487237
description
DESCRIPTION OF THE PREFERRED EMBODIMENT In order to facilitate understanding of the structure and utility of this invention it will be described in conjunction with one specific spent fuel handling machine with which it may be used. This fuel handling machine is the subject of a separate patent application, "Nuclear Fuel Handling Apparatus and Method" of C. Andrea, R. C. Noyes, and C. F. G. Dupen filed on even date with this application. It should be appreciated that the apparatus of the present invention may be used to facilitate removal and insertion of fuel assemblies from reactor cores with virtually any type of fuel handling apparatus which has the capability of reaching into the reactor through an access port in the reactor closure head. General Arrangement of Refueling Apparatus Referring to FIG. 1, spent fuel handling machine 19 is shown positioned above the reactor core 12 and coupled to an access port 14 in the reactor closure head 16. The handling machine is supported in this position by the trolley 18 which is mounted for linear translation on tracks 20 provided on the gantry 22 which in turn is mounted on suitably supported tracks 24 for motion in a direction perpendicular to the trolley. The attachment of the handling machine 10 to the trolley 18 is accomplished through a mounting ring 26 which is integrally attached to the outer barrel 28 of the handling machine and which is received in a circular bearing 30 (see FIG. 13) carried by the trolley so that the entire handling machine may be rotated about its longitudinal axis. The lower end of the handling machine includes a shield skirt 32 which sealingly engages a floor valve 34 which rests upon and seals with the upper surface of a handling plug 36. The handling plug 36 is contained within the reactor access port 14 and has extending downwardly therefrom a hollow structural shroud 38 which has attached to its lower end a radially floating spreader assembly 40 for engagement with the upper end of one of the subassemblies 42 which make up the reactor core. When inserted into the core the spreader isolates the desired fuel subassembly to permit easy withdrawal of the subassembly from the core. The handling machine outer barrel 28 contains therewithin a fuel subassembly handling tube 44 which is mounted through the handling tube carriage 46 for vertical displacement downwardly through the access port 14 to the interior of the reactor where it is brought into alignment with the selected subassembly by engaging the upper end 48 of the spreader assembly 40. A gripper assembly 50 mounted for vertical motion with respect to the handling tube carriage 46 is then lowered downwardly through an open closure valve 52 at the end of the handling tube where it engages the upper end of the selected fuel subassembly. The gripper with the fuel subassembly attached is then raised into the handling tube 44, the closure valve 52 closed and the entire subassembly raised up into the outer barrel 28. The lower end of the outer barrel includes an isolation valve 60 which is closed upon withdrawal of the handling tube from the reactor into the barrel so that the handling machine may be transported by use of the gantry 22 and trolley 18 to a remotely located decay tank (not shown). Handling Plug/Spreader Assembly The handling plug/spreader assembly, shown in detail in FIG. 2, is designed to facilitate the insertion and removal of fuel subassemblies into and from the reactor core. The core spreader includes a camming tube 56 which is capable of locating a given core position and guiding the fuel handling machine handling tube into alignment with that position. Referring still to FIG. 2, the handling plug/spreader assembly 54 consists of three subassemblies: the floor valve 34; the handling plug 36; and the core spreader assembly 40. Looking now at FIG. 3, the handling plug 58 is a wedge shaped section shaped to fit into an access port 14 in the top of the reactor closure head. In a typical arrangement the access port 14 may be the opening left in the closure head of a reactor when a similarly shaped control rod island is removed. The rotating plug may be part of a double eccentric rotating plug system for positioning the reactor access port over the various core positions. Such double rotating plug arrangements are well known in the art as exemplified by the arrangement shown in U.S. Pat. No. 3,054,741, entitled "Nuclear Reactor Control Assemblies" by Tatlock et al. The insertion of the handling plug/spreader assembly into the reactor will be more fully described in connection with the description of the operation of the refueling machine. An obround opening 62 in the handling plug 58 is provided to permit passage therethrough of the handling tube from the fuel handling machine. An elongated structural tube or shroud 38 is attached to and suspended from the bottom of the handling plug 58 and extends downwardly therefrom to support the core spreader 40 through two ball screws 64. Referring to FIG. 10 the handling plug 36 is supported, and its penetration into the access port 14 limited, by the engagement of a flange 66 at the upper end of the plug with the upper face 68 of the rotating plug. The upper face 70 of the handling plug mates with the lower surface 72 of the floor valve 34 and a gas-tight seal is effected therebetween by a double O-ring sealing arrangement 74. FIG. 2 shows an elongated shield plug 76 inserted within the obround opening 62 in the handling plug 36. This plug 76 serves as a temporary shield before the installation of the floor valve 34 and is removable remotely after the floor valve is secured in position. Referring to FIG. 4, the core spreader assembly 40 is shown in detail in engagement with the reactor core 12 so as to isolate a typical fuel assembly 42 to facilitate removal of the assembly from the core. The spreader assembly includes the hexagonal camming tube 56 which is mounted within the spreader housing 78 in a manner to permit limited radial movement of the tube with respect to the housing. The mounting of the camming tube 56, which is essentially an inverted Oldham coupling, is comprised of three plates: the upper plate 80, which is a radial extension of the camming tube; the base plate 82; and the key plate 84, which acts as the connecting link between the upper plate and the base plate. These plates are shown in detail in FIG. 6, and their interrelationship will be described in more detail below. Referring back to FIG. 4, the base plate 82 is mounted for rotational motion about the centerline 86 of the spreader assembly 40 through a bearing 88 which is supported by the lower end 90 of the spreader housing. A segment of the outer periphery of the base plate contains gear teeth 92 which are adapted to engage mating teeth provided on a pinion 94 (see FIG. 5). The pinion 94 is driven by gear teeth on the angular alignment shaft 98 which extends upward through the elongated shroud 38 and engages a suitable rotational drive arrangement 100 carried by the floor valve 34 (see FIG. 11). The lower end 102 of the angular alignment shaft is supported in a bushing 104 carried by the spreader housing 78. Rotation of the angular alignment shaft 98 causes the base plate 82 to rotate through a maximum angle of 60.degree.. Such a degree of rotation permits the hexagonal camming tube 56, which rotates with the base plate, to be angularly aligned with a selected hexagonal fuel subassembly at any core location. Referring again to FIG. 6, the key plate 84 is annular in shape and contains two sets of keyways, on opposite sides of the plate and rotated 90.degree. from one another. The first set of keyways 106 is on the lower side of the plate and is engaged by keys 110 formed integrally with the base plate and extending upwardly therefrom. The key plate 84 is thus permitted a small degree of motion along the longitudinal axis of the keyways 106. The upper plate 80 of the camming tube 56 is in turn supported on the upper surface of the key plate. Key extensions 112 of the upper plate 80 engage the second set of keyways 108 and permit limited motion of the camming tube 56 along the second key axis. The above described arrangement permits the camming tube 56 to freely seek and isolate the desired core position without causing undesirable bending stresses in the shroud 38 and other spreader support structure. The interaction of the camming tube 56 with the core will be described with reference to FIGS. 4, 8, and 9. FIG. 4 shows the tube in its desired final position with respect to the core position into which a subassembly is to be removed or inserted. The hexagonal camming tube 56 is in contact with each of the fuel subassemblies 114 adjacent to the core position of interest and, because the internal dimensions of the camming tube 56 are larger than the outer dimensions of the fuel subassembly 42, there exists an all-around clearance 120 between the inside of the camming tube and the selected fuel subassembly. This clearance 120 permits unrestricted insertion and withdrawal of subassemblies from the core position. Referring still to FIG. 4, each fuel subassembly (42, 114) is provided with an upward facing shoulder 122. In the event that an adjacent fuel subassembly is caused to move upward when a particular subassembly is being withdrawn, the upward movement will be limited to a short distance by the engagement of the shoulder 122 with the end of the camming tube 56. Turning now to FIGS. 8 and 9, the interaction of the camming tube 56 with the core, as the camming tube is being inserted into the core, will be described. FIG. 9 and the upper portion of FIG. 8 show a camming tube 56 substantially misaligned from the subassembly 42 to be removed. As best shown in FIG. 9, each of the fuel assemblies 42 includes six symmetrically arranged, inwardly inclined tabs 116 at their upper ends. The symmetrical arrangement of these tabs 116 co-act to form a camming surface which when engaged by the camming tube 56 cause the tube to move into axial alignment with the selected subassembly. The lower end 118 of the camming tube is chamfered on the outside edge to further facilitate guidance of the camming tube into alignment with the selected fuel subassembly. As the tube 56 is lowered toward the subassembly the chamfered lower edge 118 of the tube engages the inwardly extending tabs 116 of several adjacent subassemblies. As a result, as the tube is lowered into the core it is caused to move laterally to become axially aligned with the fuel subassembly and to assure the fully engaged position as shown in the lower portion of FIG. 8. As was pointed out above, the core spreader 40 is attached to an elongated shroud 38 by means of two ball screws 64. Referring to FIG. 4, these ball screws are attached to the spreader housing 78 and extend upwardly through the shroud 38 where they are suitably supported by a series of bosses 124 on the inside of the shroud through which the ball screws 64 are journaled. Referring to FIG. 7, it is seen that the two ball screws 64 are disposed symmetrically on either side of the spreader longitudinal axis 86. A third rod or rail 128 is provided to act as a guide only and extends only partially into the shroud. Turning now to FIG. 12, the upper end of each of the ball screws 64 engages a ball nut 130 which is enclosed in a protective sleeve 132 attached to the lower face 134 of the handling plug 36. This sleeve 132 permits controlled rotation of the nut 130 within it, while restraining it from axial movement. Rotation of the ball nuts 130 thus imparts axial movement on the ball screw shafts 64. A recess 136 is provided in the handling plug 36 above the ball nut to receive the ball screw shaft 64 when it is in the up position. The ball nuts 130 are driven by two stepping motors 138 mounted on a platform 140 extending from the floor valve (see FIG. 11). The axis of rotation of the motor drive line 142 is changed from horizontal to vertical through a bevel gear set 144 contained within the floor valve 34. The vertical drive line 146 couples to a second vertical line by means of a spline coupling 148 at the interface between the handling plug 36 and the floor valve 34. The spline coupling 148 is comprised of a spring-loaded sliding spline 150 in the floor valve section of the drive line and a splined one-directional, or antirun back device 152 which the sliding spline 150 engages, and which is located in the handling plug 36. The lower end of the handling plug portion of the drive line 153 carries a small gear 154 which engages mating circumferential gear teeth 156 carried by the ball nut 130. Remote actuation of the motors 138 thus causes the ball screws 130 to move vertically up or down to cause withdrawal or insertion, respectively, of the spreader assembly 40 with respect to the reactor core. The vertical travel of the spreader is limited by hard stops 158 on the lower portion of the ball screw shafts and the third rail 128. These stops 158 provide for sufficient vertical movement to permit full insertion and withdrawal of the spreader clear of the core, while at the same time preventing damage to the spreader and/or core which might result from attempting to force the spreader farther than necessary into the core. The Floor Valve Referring to FIG. 10 the floor valve 34 fits over and attaches to the handling plug to complete the handling plug/spreader assembly 54. During refueling the upper surface 160 of the floor valve interfaces with the refueling machine to form a hermetically sealed passage through the handling plug into the reactor. When the valve is closed it provides a barrier of lead shielding for personnel protection. The floor valve 34 is basically a heavily shielded gate valve. The valve disc 162 is tapered on its lower face 164 to mate with a similarly tapered valve seat 166. This protects the O-ring lower sealing element 168 from damage when the disc is moved to close or open the passage. The valve body 170 is otherwise a hermetically sealed unit with connections 176 provided for purging the body of the valve with clean argon. Referring to FIGS. 10 and 11 the valve disc 162 is mounted on rails 178 and rides on a series of ball bushings 180. Motive force to operate the valve is provided by a ball screw drive arrangement 182. The drive motor 184 is mounted outside of the valve body 170 and drives, through a one-directional coupling 186, a ball screw shaft 188. The shaft 188 and motor 184 are axially stationary and engage a ball nut 190 attached to the valve disc 162. Upon actuation of the motor 184 is caused to be inserted or retracted, with the ball screw shaft 188 passing into a longitudinal cavity 192 within the disc 162 when the valve is fully open. Spent Fuel Handling Machine The spent fuel handling machine 10 is designed to remove spent fuel subassemblies directly from the reactor core 12 to the decay tank (not shown). During this transfer, the spent subassemblies are maintained immersed in liquid sodium within a handling tube. Referring to FIG. 1, the machine 10 is located for movement on X-Y coordinates by moving with the gantry crane 22 and its associated trolley 18. The machine is rotatably mounted to the trolley 18 through a mounting ring 26 and is rotated with respect to the crane and trolley by actuation of a drive motor 194 which engages circumferential gear teeth 196 on the outer periphery of the mounting ring 26 (see FIG. 13). The handling machine works with the handling plug/spreader assembly to enter the reactor vessel and align itself with the subassembly scheduled for removal. Referring to FIG. 13 the main structural component of the handling machine is a vertically extending shielded cylinder 28 which will be hereinafter referred to as the outer barrel. All systems and components of the handling machine are supported from the outer barrel. The mounting ring 26 through which the machine is supported by the trolley is an integral part of the outer barrel. A large bearing 30 is disposed between the mounting ring and the trolley to facilitate rotation of the machine to bring it into alignment with the access port of the reactor. To cover all core locations the outer barrel drive 194 is provided with a 360.degree. rotation capability which is controlled by a pre-prgrammed control system. The outer barrel 28 is divided into two regions because of radiation shielding requirements. The lower end 200 is supplied with shielding equivalent to about 13 inches of lead. The upper end 202 is shielded with about 6 inches of lead. Referring to FIGS. 14 and 15, the shielded walls of the outer barrel are bisected by a vertically extending slot 204 connecting an adjacent shielded flexible hose cavity 206 to the interior of the outer barrel. This cavity houses loops of flexible hoses 208 used to bring various service lines from the exterior of the outer barrel 28 to the internal assemblies. Among the services required are NaK lines for cooling loops, an argon line, and instrumentation lines for monitoring and controlling operation of the fuel handling machine. Two NaK-to-air heat exchangers 210 are mounted on the outside of the outer barrel to dum decay heat removed from inside the handling tube into the operating room atmosphere. Other components which are mounted on and supported by the outer barrel include sodium pumps 212 and surge tanks 214 for the two coolant loops, an emergency sodium supply tank 216, and motors and associated drives 218 for the various mechanical manipulators. Each of these components and systems will be subsequently described in greater detail. Referring to FIG. 13, there are integrally formed with the lower end 200 of the outer barrel an isolation valve housing 220 and a stationary portion 222 of a shield skirt assembly extending down from the isolation valve housing. The isolation valve 60 provides shielding and gas sealing at the bottom of the outer barrel during transport of the machine and also provides sodium containment in the event of sodium leakage from the handling tube 44 contained within the outer barrel 28. Referring still to FIG. 13, the isolation valve 60 is basically a heavily shielded gate valve virtually identical in design to the floor valve 34. The valve disc 224 is tapered on its lower surface to mate with a similarly tapered valve seat 228. This arrangement minimizes the possibility of damage to the sealing element, which comprises an O-ring 230 embedded in a groove in the valve seat, during operation of the valve. The valve disc 224 is sealed on its upper face by an inflatable seal 236 which seals against the valve disc. The interior of the valve body is, except for the opening covered by the valve disc, hermetically sealed and includes gas connections (not shown) for purging with a clean inert gas such as argon. The mounting and actuation apparatus 234 of the isolation valve disc 224 is identical to that for the floor valve 34 and will not be described in detail. The upper side of the isolation valve disc is hollowed out 238 to permit placement of a drip pan 240 which is provided to collect small sodium drips from the handling tube 44 contained within the outer barrel 28. The drip pan is designed to serve as a backup to the handling tube closure valve 52. If a leak develops in the valve, the handling tube is lowered into the drip pan 240 which is contoured to provide a plug into which the end of the handling tube 44 can be inserted. This engagement will be understood more fully flowing the complete description of the handling tube and closure valve assemblies. As pointed out above, the stationary portion 222 of the shield skirt extends downward from the isolation valve body 220. This portion of the skirt comprises an annular ring having essentially an inverted L-shaped cross section. The movable portion of the shield skirt 244 comprises a movable ring section mating with and guided by the stationary section. The movable section has an L-shaped cross section and mates with the stationary section at two surfaces 246, 248. Double O-ring type seals are provided at each of these mating surfaces. Vertical motion of the movable section is caused by a series of four ball screws supported from the stationary section and driven by a drive motor 252 attached to the isolation valve housing 220. Details of the drive system are not shown in the drawing. In operation, the handling machine 10 is positioned just above the floor valve 34 of the spreader assembly and the shield skirt lower section 244 is actuated to move downwardly to form a seal with the floor valve 34 through double O-rings 161 (see FIG. 10) in the upper surface of the floor valve. INTERNAL ASSEMBLIES The internal assemblies include all mechanisms and components contained within the shielded outer barrel. Among these, generally, are the handling tube 44, the handling tube carriage 46, the gripper 254 and gripper carriage 256 and the drive mechanisms. The handling tube assembly is shown in FIG. 13 in its at rest position within the outer barrel, and in more detail in FIGS. 16 and 17. The handling tube 44 is an elongated obround vessel designed to accommodate a spent fuel subassembly; it further includes cooling coils 250 to remove decay heat given up by the subassembly, and a closure valve assembly 52 to contain liquid sodium within the tube. The handling tube is supported at its upper end by the handling tube support plate 258, which in turn is supported, from above, through four columns 260 attached to the handling tube carriage assembly 46, which will subsequently be described in considerable detail. The lower end of the handling tube contains the closure valve seat 262 and an alignment nozzle 264. The alignment nozzle is tapered inwardly on its outer edge and is sized to facilitate engagement with the upper end 48 of the upper plate/camming tube component of the spreader assembly 40 when the handling tube 44 is lowered into the reactor core. Inside the handling tube and also suspended from the handling tube support plate 258 is the parking tube assembly 268. The parking tube 268 has attached to its lower end the necessary structure to guide and operate the closure valve disc 278 and mechanism. This structure includes a pair of spaced vertically extending gear racks 272 and suitable journal type guide means 274 for the valve actuator shaft 276. The closure valve disc is supported by a yoke 280 having a geared sector which engages the rack 22 and is restrained and guided in its travel by the gear rack and guides mounted on the parking tube. The valve disc 278 is operated by a ball screw shaft 282 which attaches to the valve actuator 276 and in turn to the yoke 280. When the ball screw shaft is drawn upward the valve disc, which includes an integrally formed downwardly extending skirt portion 284, is raised slightly upwardly to provide clearance and is then caused to swing laterally out of the way of the passage-way 285 into the handling tube 44. The valve 278 is shown in its open position in FIGS. 27c and d of the series of figures showing the operating sequence of the present invention. The details of construction and operation of the closure valve are more specifically shown and described in a copending application entitled "Anti-Leak Closure Valve" of C. Andrea filed on even data and assigned to the same assignee as the present invention. Referring back to FIG. 16, the parking tube proper begins at a position 286 above the valve seat 262 sufficient to permit unobstructed operation of the valve and extends up to and is attached to the handling tube support plate 258. The upper end of the parking tube is supplied with flow holes 288 to permit free circulation of liquid sodium heated in the parking tube by spent fuel decay heat generation. Handling Tube Assembly As was indicated the handling tube assembly is supported from above by four columns 260 attached to the handling tube carriage assembly 46. The handling tube carriage in turn is mounted on three ball screws 290 (only one of which is shown in FIG. 13) which extend the full length of the outer barrel and which when actuated cause the entire handling tube assembly to translate vertically within the outer barrel 28. The full range of travel of the handling tube 44 is illustrated by reference to FIGS. 27a and 27c where it is shown in fully withdrawn and fully inserted positions, respectively. Referring to FIGS. 20 and 21 it is seen that the three ball screws are equally spaced about the carriage and pass through the top 292 of the outer barrel where they engage with a chain drive mechanism 294 which is contained within a disc-shaped compartment 296 positioned above the top of the barrel. The top of this compartment forms a drive mechanism platform 302 which carries the motor gear reducer set 304 which drives the chain drive mechanism 294. An anti-runback device 306 is provided between the gear box output shaft 308 and the ball screw drives 294 to assure that the handling tube carriage 46 will not run down due to gravity in the event of a loss of electrical power. Referring to FIGS.. 18 and 19, the handling tube carriage 46 is comprised of two major components; the outer portion 310 which includes the chain drive support plate 312 and the swivel cage 314 to which the three ball screw nuts 316 which engage the handling tube ball screws 290 are attached; and the swivel plate 318 which is contained by the swivel cage 314 and to which the four columns 260 which support the handling tube assembly 44 are rigidly attached. While the carriage assembly 46 and handling tube assembly 44 are shown in close proximity in FIG. 19 for convenience of illustration, it should be appreciated that the handling tube support columns 260 are quite long, in order to allow engagement of the handling tube 44 with the reactor core when the carriage 46 is in its full down position. Referring still to FIG. 19, the swivel plate 318 is essentially disc shaped and has a spherical surface 320 on its upper edge. The lower surface 322 of the swivel plate is flat and the plate is supported through a series of die springs 324 disposed between recesses 323 in the bottom of the plate and the base plate 326 of the swivel cage. These springs 324 are sized to life the swivel plate 318 and handling tube assembly 44 without a spent subassembly inside, from the flat lower surface 322 and to urge the spherical surface 320 into engagement with a mating spherical surface 328 on the upper portion of the cage. The clearance 330 between the lower surface 322 of the plate and the base plate 326 is sufficient to permit a swiveling motion of the swivel plate 318/handling tube 44 assembly with respect to the rest of the carriage 46. This freedom of motion permits the handling tube 44 to accommodate a limited amount of misalignment from the theoretical centerline as it is lowered down through the outer barrel 28 into the reactor and into engagement with the spreader assembly 40. When a spent fuel subassembly is held in the handling tube the weight is sufficient to overcome the upward force of the die springs 324 and causes the swivel plate 318 to seat on the flat surface 322, thus assuring perpendicularity of the tube 44 as it is withdrawn from the core back into the outer barrel 28. The upper section of the handling tube carriage 46 is attached rigidly to the cage section 314 and provides support for the offset arm 332 which provides support and guidance for the coolant lines 250 and other services such as gas lines which must pass from the flexible hose cavity 206 to service the handling tube assembly. The upper section of the carriage also serves as a support structure 312 for chain drive power take-offs 334 from the primary splines for the gripper actuation and manipulation of which this shaft identified as 370 s typical. These drive arrangements will be fully described below in connection with the description of the gripper system. Gripper System The gripper system is comprised of two major subassemblies, the gripper assembly itself 50 and the gripper carriage 256; the relative position of these subassemblies is simply shown in FIG. 27a. Referring to FIG. 23, the gripper assembly consists of the body 338 to which are mounted, through pinned connections 340 three gripper fingers 342. The fixed part of the gripper is referred to as the pedestal 344 and comprises three downwardly extending projections 346 which engage the upper end of a fuel assembly 42, as at 348, to provide the proper orientation between the gripper and the subassembly. The gripper actuator includes an enlarged plunger 350 attached to an actuator rod 352. The actuator rod 352 is actuable in a vertical direction and is stop-limited through stops 354 for proper positioning. When the actuator rod 352 is in the down position (as shown in FIG. 23), the gripper actuator plunger 350 holds the gripper fingers 342 in the engaged position with the extension on the lower outer portion 356 of the fingers 342 engaging the lower surface 358 of a peripherally extending lip on the subassembly. When the actuator rod 352 is in the full up position, the fingers 342 are caused to swivel inwardly to the disengaged position as the lower stop engages the upper arm 360 of the fingers 342. The gripper actuator is mounted to permit free rotation of the actuator rod 352 to minimize friction forces that must be overcome when the gripper, with a subassembly attached, is rotated for fuel rotation or shuffling to another position in the reactor core. The gripper 50 is suspended from the gripper carriage 256 by the gripper support tube 362. This tube is hollow to minimize weight and to permit passage of the actuator rod 352 therethrough to the gripper carriage. Referring to FIGS. 22 through 25, the gripper carriage 256 is essentially a machine crosshead 364 and is the focal point for the apparatus for performing all of the gripper manipulations required. The raising and lowering of the gripper carriage is accomplished by two ball screws 366 which engage ball screw nuts 368 mounted on the gripper carriage. Two spline shafts 370, 371 energize drive trains in the carriage, one (370) operating the gripper actuator 352 as previously described, and the other (371) for rotating the gripper. The gripper support tube 362 is mounted in the gripper carriage crosshead 364 on bearings 372 and is free to rotate in relation to the gripper carriage 256. The gripper rotation drive is capable of placing the gripper 50 in angular alignment with the core for all core positions and is also used for performing subassembly rotation. Drive Mechanisms The handling machine 10 requires five independent drive trains for operating the internal mechanisms described above. Drive packages for all five of these drives are located on the platform 302 above the shielded outer barrel 28. These drives are in an argon atmosphere at all times and because they are isolated and shielded from the barrel internal atmosphere permit personnel access to perform maintenance at all times. Before proceeding with the description of the drive mechanisms a few clarifying comments will be made with regard to FIGS. 18 and 19 of the drawings. FIG. 18, as already indicated, is a plan view of the upper end of the gripper carriage 46 showing the location of all drive shafts and other services which enter the handling tube. The locations of chain drives, the gripper carriage, the handling tube and the coolant lines are also shown in phantom lines. While FIG. 19 is identified as a section to the view of FIG. 18, certain liberties have been taken in this figure to more clearly illustrate the apparatus. The following modifications have been made in this drawing: The offset arm 332 has been rotated into view along the horizontal section; the gripper actuator spline shaft 370 has also been rotated into view; the gripper carriage 256 and associated drive shafts have been rotated clockwise to bring the two ball screw shafts 366 into alignment with the section line 19--19. The five drive mechanisms include the handling tube carriage drive, the gripper drive, the gripper actuator drive, the gripper rotation drive and the closure valve drive. The handling tube carriage drive has already been described in connection with the handling tube carriage 46 and as indicated includes the motor gear reducer set 304, an anti-runback device 308, chain drive arrangement 294, and the three ball screws 290. The gripper drive mechanism provides the motive force to lower and raise the gripper carriage 256. Referring to FIGS. 20 and 21, a motor gear reducer set 374 drives a spline shaft 376, similar to the shaft 336, which extends the full length of the interior of the outer barrel 28. Referring now to FIGS. 18 and 19 again, the shaft identified as 336 is typical of the gripper drive spline shaft and those used in connection with the other similar drive mechanisms. The shaft passes through the swivel plate 318 of the handling tube carriage 46 with sufficient clearance to permit free rotation of the shaft and the necessary swiveling or tilting of the plate. A swivel plate support spring 324 is disposed concentrically about the shaft. The shaft then passes downwardly through the space between the inner wall of the barrel 28 and the outer wall of the handling tube 44. Still referring to FIGS. 18 and 19, the spline shaft 376 slideably engages a splined chain drive sprocket similar to 378 which is held captive in the chain drive support plate 312 of the handling tube carriage 46. this sprocket, as does 378, rides on the spline shaft, and through a chain 380 drives two other sprockets 382 which are located directly overlying the gripper carriage 256. These sprockets 382 in turn drive a pair of flexible shafts 384 which extend down to the swivel plate 318. At the swivel plate, the flexible shafts 384 engage, through splined connections 386, the gripper drive ball screw shafts 366 which extend down through the gripper carriage 256 and are supported at their lower ends 388 in the top of the handling tube 44. The ball screws 366 engage ball screw nuts 368 which are held captive in the gripper carriage 256. Accordingly, as the ball screws 366 are rotated the gripper carriage 256 translates vertically along the ball screw shafts 366. An anti-runback device similar to that used in the other drives mounted between the upper end of the spline shaft 376 and the gear reducer assures that in the event of a power failure the gripper cannot run down and will maintain its desired position. The prime mover for the gripper actuator is a low speed stepping motor 394 which drives a spline shaft 336 which extends the length of the interior of the outer barrel, similar to the gripper drive mechanism. A sprocket 396 and chain drive 398 transfer the drive power to a flexible shaft 399 which passes to the swivel plate 312. At the swivel plate the power is transferred to a second spline shaft 370 which runs from the swivel plate 312 to the top of the handling tube 44. Referring now to FIGS. 22 through 25, this spline 370 passes through the gripper carriage 256 where it engages a splined gear 400 which is mounted to the gripper carriage. This gear in turn engages mating teeth on a gear 402 attached to a ball nut 404 which is held axially by an attachment to the gripper carriage. As the ball nut is turned the ball screw 406 which is attached to the actuator drive rod 352 translates to open or close the gripper fingers 342 as previously described. The gripper rotation drive mechanism includes a motor 408, spline shaft 410, chain drive 412, flexible shaft 414, and second spline shaft 371 arrangement identical to the gripper actuator drive. Referring to FIGS. 22-25, at the gripper carriage 256 the second spline shaft 371 engages a splined gear 416 which in turn engages a gear 418 on the upper end of the gripper support tube 362. The tube 362 is mounted to the carriage through a series of bearings 372 and thus is free to rotate upon actuation of the rotation drive. Referring again to FIGS. 18 through 20, the closure valve drive mechanism includes a motor gear set 420, spline shaft 422, chain drive 424 and flexible shaft arrangement 426 identical to the gripper actuator drive. At the swivel plate 318 the flexible shaft engages a second drive shaft 428 (see FIGS. 19 and 16) which extends down to the handling tube 44 where it is connected to a ball screw 430 mounted in the upper end of the handling tube. The ball screw 430 engages a ball nut 432 which is attached through connecting rod 434 to the yoke 280 supporting the closure valve disc 278. As the ball screw 430 is rotated the yoke 280 is raised or lowered to open or close the closure valve. Environmental Control Systems The systems for controlling the environment in the handling machine are divided into three categories consisting of the decay heat removal systems, the handling tube sodium level system, and the handling machine internal environmental control system. Decay Heat Removal System The decay heat removal system includes two subsystems: the primary heat removal system and the secondary cooling system. The primary heat removal system consists of the handling tube and the parking tube (see FIG. 16). Decay heat given off by spent subassemblies in the parking tube 268 is transferred to the sodium by conduction. Convection currents then cause the heated sodium to rise in the parking tube 268 and pass out through the flow holes 288 to the cooling chamber 436 which is the space between the parking tube 268 and the wall of the handling tube 44. The heated sodium then descends through the cooling chamber where it gives up heat to the secondary cooling system. The secondary cooling system is a hermetically sealed system filled with NaK and is shown schematically in FIG. 26. Each of the components of this system is also shown in more detail in FIGS. 13 through 15. While there are two such cooling systems, with identical components, only one circuit will be described in detail. The cooling coil 250 for removing decay heat from the liquid sodium is supported by the offset arm 332 attached to the handling tube carriage 46 (see FIG. 19). This coil extends down into the interior of the machine, passes through the upper end of the handling tube as at 438 into the tube to a predetermined depth where it makes a loop and passes upward and exits by the same route. Both the inlet and outlet legs are supported by the offset arm and directed by the arm out through the longitudinal slot 204 in the outer barrel 28 to the flexible hose cavity 206. At the upper end of the cavity the rigid tubing 250 is coupled to a length of flexible metal hose 440 which extends down into the cavity 206 (see FIG. 15) and passes back up to the point 442 where it exits from the cavity. The length of flexible hose is sufficient to permit the handling tube carriage 46 to move through its full length of travel without binding. Such an arrangement permits the cooling coil 250 to be fully immersed in the liquid sodium in the handling tube 44 at all times and thus provides full cooling from the moment a spent fuel subassembly is brought into the handling tube. The remaining components of the secondary cooling system are all mounted on the outside of the machine and include NaK pump 212, NaK-to-air heat exchanges 210, surge tanks 214 and the associated piping interconnecting these components. Sodium Level Control System The sodium level in the handling tube 44 must be maintained at a level 446 (see FIG. 16) above the top of the spent subassemblies at all times. In order to assure that the level is maintained within safe limits several systems are provided. The closure valve system 52 shown in FIG. 16 is the primary system for containing the sodium and has described previously. In practice, the closure valve is closed after the handling tube is immersed in the sodium pool in the reactor core and traps sodium along with the subassembly. The gripper system 52 is then used to apply a downward sealing force to the valve disc 278 by lowering the subassembly onto it, or by pressing down with the gripper itself. A sacrificial gas system is provided to place a volume of inert gas in the annular chamber 446 which is adjacent to the closure valve sealing interface 262. With such an arrangement, if a leak were to develop in the closure valve the inert gas, and not liquid sodium, will leak out, As a backup for the closure valve and sacrificial gas system an emergency sodium supply system is provided. This system is best shown in FIG. 13 and includes a large tank of liquid sodium 216 mounted by suitable support structure on the topmost point of the machine. This tank is connected through a pair of telescoping pipes 450, 452 and a motor operated valve 454 to the interior of the handling tube. The first pipe 450 extends from the bottom of the tank through the top of the outer barrel and partway into the cavity. The second pipe 452 (see FIGS. 18 and 19) includes a guide funnel at its upper end (not shown) and is attached to the handling tube carriage 46 and extends below the level of the sodium in the handling tube 44. The motor operated valve 454 is located in the first pipe and is actuated by sodium level sensors in the handling tube which will actuate the valve to allow gravity flow of sodium into the handling tube 44 in an emergency situation. A number of systems are employed to control the internal environment of the fuel handling machine. These systems are not shown in the figures and will not be described in detail, but are necessary to control temperature and gas quality in the interior of the machine. Briefly, for temperature control heaters are provided to maintain the temperature within the machine at a predetermined temperature, around 375.degree. F during the refueling operation when spent subassemblies are not contained in the handling tube. The atmosphere in the handling machine is an inert gas, preferably argon, containing less than 10 ppm of oxygen. During the period when the machine is operating to transfer spent subassemblies the interior is also exposed to sodium vapors and radioactive gases and thus various conventional means are employed to control the level of these contaminants. Removal of a Spent Fuel Subassembly Installation of the Handling Tube/Spreader Assembly In preparation for removal of a spent fuel subassembly, the reactor is shut down and the control rod assembly or the like is removed from the core 12 to reveal the access port 14. In a typical arrangement a large cask containing the handling plug/spreader assembly 54 will be lowered onto and sealed against the top of the reactor. A crane and trolley arrangement contained within the transfer cask will then be operated to remove the control rod island and lower the handling plug/spreader assembly 54, with the shield plug 76 in place, into position in the access port. During this installation the spreader assembly 40 is in its full up position so that it clears the reactor core. The cask containing the control rod assembly is then removed and the floor valve 34 is placed in position above the handling plug 36 and suitably secured in this location. Once properly installed the floor valve 34 is opened and a second handling cask is placed over the valve opening and actuated to remove the shield plug 76 from the handling plug. Following this step the floor valve 34 is closed and the handling cask containing the shield plug is moved to a remote location. The rotating plug system of the reactor is then actuated to locate the access port and thus the spreader over the desired core location. Once this is accomplished the spreader drive motors 138 are actuated to insert the spreader 40 into the core and isolate the desired fuel subassembly. As has been described, the spreader isolates the desired subassembly by engaging the camming surfaces or tabs 116 on the adjacent subassemblies and pushing them away to provide clearance from contact with the chosen subassembly. Of course, if the spreader tube 56 is not exactly aligned with the desired core position, the spreader or camming tube is permitted to freely float radially to seek the desired position. Installation and Operation of the Spent Fuel Handling Machine The next step in the refueling operation is to position the fuel handling machine 10 above the reactor access port 14 by operation of the gantry 22 and trolley 18 to which the machine is attached. Once axially aligned the entire machine is rotated by actuating the rotation drive 194 to bring the obround handling tube 44 into alignment with the obround opening in the floor valve 34. Referring now to the series of FIGS. 27a-27f, FIG. 27a illustrates the handling machine 10 in the position described above, i.e., it is substantially axially aligned with the reactor access port 14 and the handling tube 44 is aligned to pass through the obround access port. It should be noted that the weight of the handling machine does not bear upon the floor valve 34, but is suspended above it. Interconnection between the machine and the reactor is accomplished by lowering the movable portion of the shield skirt 32 to engage and seal to the upper surface of the floor valve 34, thereby forming a sealed passage. Switches (not shown) mounted on the shield skirt 32 indicate when the shield skirt has properly mated with the top face of the floor valve. The space between the floor valve and the isolation valve is then purged with argon and checked for leak tightness. Referring now to FIG. 27b, with the handling machine 10 properly coupled to the floor valve 34 the isolation valve 60 and the floor valve 34 are opened to permit direct access to the interior of the reactor. The next step is to actuate the handling tube carriage drive 46 to insert the handling tube 44 to its full-in position. Shortly before reaching the full-in position, the tapered alignment nozzle 264 of the handling tube is engaged by the upper end 48 of the spreader camming tube and the handling tube is guided into axial alignment with the spent subassembly which has been isolated by the spreader assembly (see FIG. 4). During the time when the handling tube and spreader are being brought into alignment the swiveling motion previously described in considerable detail is experienced in the handling tube carriage 46 where the spherical top surface 320 of the swivel plate 318 contacts the spherical surface 328 of the swivel cage. This swiveling action, in the configuration shown in the drawings, permits the end of the handling tube 44 to accommodate a total 11/4 radial misalignment from the theoretical centerline. With the handling tube 44 properly aligned, the gripper carriage 256 is actuated to raise the gripper 50 sbove the valve disc 278 a distance sufficient to allow room for the valve disc to swing out of the way to open the passage from the handling tube. The closure valve is then opened to permit insertion of the gripper into the reactor until the pedestal contacts the upper end of the subassembly 42. The actuator rod 352 of the gripper is then inserted to its full-in position extending the fingers 342 and locking them in the full-out position to grasp the fuel assembly. FIG. 27c illustrates the handling machine in this position. The gripper carriage drive 256 is then actuated to move upwards and withdraw the selected subassembly 42 from the core and into the handling tube 44. The core spreader prevents adjacent subassemblies from lifting out of the core while this is occurring. The spent subassembly 42 is raised within the handling tube 44 a sufficient distance above the valve seat to permit unobstructed closing of the closure valve 52. The machine is shown in this position in FIG. 27d. Referring still to FIG. 27d, it should be noted that the level of sodium 456 within the reactor, and thus in the handling tube 44, is sufficient to completely immerse the withdrawn fuel subassembly 42. Also, it should be noted that the cooling coils 250 remain fully inserted in the handling tube 44 while it is in its full down position and thus are able to provide full cooling capacity at all times. The closure valve 50 is then closed and the gripper carriage 256 actuated to lower the spent subassembly 42 until it rests upon the top of the valve disc 278 to provide additional sealing force on the valve. Closing of the valve traps the sodium in the handling tube at the desired level above the top of the subassembly. The sacrificial inert gas is then introduced into the annular space in the closure valve as described previously. The handling tube carriage 46 is next actuated to retract the handling tube 44 above the sodium level 456 in the reactor, and it is held in this position (see FIG. 27e) for several minutes to check for gross sodium leaks through the closure valve 50. The cooling systems are also checked for proper operation at this time. Once it is determined that the closure valve 50 and cooling systems are operating properly the floor valve 34 and the isolation valve 60 are closed. The space between these two valves is then purged with argon and checked for leaks. The shield skirt 32 is then raised to uncouple from the floor valve 34 and the crane 22 and trolley 18 are used to transport the handling machine 10 to the decay tank. At the decay tank, similar steps are taken to insert the handling tube 44 into the tank and to deposit the spent subassembly therein. In an actual refueling operation, a second handling machine similar to the spent fuel handling machine just described brings a new fuel subassembly to the reactor access port and inserts it into the reactor core. The spreader assembly remains in the core during this operation to isolate the core position into which the new assembly is being inserted. Removal of the handling plug/spreader assembly and installation of the control rod guide assemblies is accomplished by reverising the steps followed in installing these assemblies onto the reactor. While this preferred embodiment of the invention has been shown and described, it will be understood that it is merely illustrative and that changes may be made without departing from the scope of the invention as claimed.
summary
description
FIG. 1 is a plan view for explaining the positional relationship between a rectangular window 2 and vectors of forces (magnitudes and directions of forces) to be applied to a support frame 1 of a mask structure in executing a mask pattern magnification correction method of the present invention. The X- and Y-axes are defined in parallel to corresponding sides with respect to the rectangular window 2, as shown in FIG. 1. This mask pattern magnification correction method is executed by defining pressurizing points 4 to 7 on an outer periphery 3 of the support frame 1 on the extended lines of the two diagonal lines of the rectangular window 2 and applying a force Fc to each pressurizing point. The force Fc is a resultant force of a force Fa in the X-axis direction and a force Fb in the Y-axis direction. To obtain a desired corrected magnification xe2x88x92xcex1 ppm in the X-axis direction and a corrected magnificationxe2x80x94xcex2 ppm in the Y-axis direction, the magnitudes (scalar amounts) of the forces Fa and Fb are determined. Each pressurizing mechanism for applying the force Fc can change its angle with respect to a corresponding one of the four pressurizing points 4 to 7, as indicated by arrows. In the method according to this embodiment, the force Fc which has a magnitude and direction represented by an angle xcex8, i.e., the force Fc corresponding to the resultant force of the force Fa in the X-axis direction and the force Fb in the Y-axis direction, which are set to obtain the desired corrected magnifications in the X- and Y-axis directions, is applied. The relationships between the forces Fa, Fb, and Fc in FIG. 1 are represented as follows. xe2x80x83Faxe2x8axa5Fb The magnitude (scalar amount) of the force Fc is given by Fc={square root over (Fa2+Fb2)} The angle xcex8 made by the force Fc and the X-axis is given by xcex8=tanxe2x88x921Fb/Fa FIG. 2 is a plan view showing a method of applying the force Fc to be applied to the support frame 1 of the mask structure in the mask pattern magnification correction method shown in FIG. 1 by decomposing the force into the force Fa in the X-axis direction and the force Fb in the Y-axis direction, which are parallel to the sides of a rectangular window 9, and applying the forces to the support frame 8. The X- and Y-axes are defined in parallel to corresponding sides with respect to the rectangular window 9, as shown in FIG. 2. Pressurizing points 11 to 14 are defined on an outer periphery 10 of the support frame 8 on the extended lines of the two diagonal lines of the rectangular window 9. The forces Fa and Fb are applied to each pressurizing point. FIG. 3 is a partially cutaway perspective view showing a transmission mask structure used for lithography using X-rays. Reference numeral 15 denotes a circular mask substrate formed from a silicon wafer. The mask substrate 15 has a notch called an orientation flat 16 that defines the direction of the substrate and a rectangular window 17 serving as a radiation beam transmission region. The mask substrate 15 may have a V-shaped groove or the like in place of the orientation flat 16 to define the direction of the mask substrate 15. For the mask substrate 15, an SiN film or SiC film is formed on the surface of a silicon substrate. After that, a prospective rectangular window portion is removed by back etching from one side of the silicon substrate to leave the SiN film or SiC film as a very thin membrane. A mask pattern 18 to be transferred is formed on the membrane using a radiation absorber (a metal such as W or Ta). In the mask pattern magnification correction method according to the embodiment of the present invention, a mask pattern is reduced and corrected. Hence, the mask pattern 18 is formed in advance at a magnification slightly larger than an ideal transfer pattern size. This offset magnification is determined also in consideration of a process distortion supposed in a wafer process after transfer. Reference numeral 19 denotes a ring-shaped support frame 19 which supports and reinforces the mask substrate 15. The support frame 19 is preferably formed from heat-resistant glass or a ceramic such as SiC. The mask substrate 15 and support frame 19 are joined by adhesive bonding or anodic bonding. The support frame 19 has a V-shaped groove called a notch portion 20 that defines the direction and a rectangular window 21 serving as a radiation beam transmission region. The outer shape of the support frame 19 is based on two, upper and lower disks, i.e., a circular upper portion 22 an circular lower portion 23. As will be described later, a W-shaped groove or V-shaped groove may be formed or pressurizing points may be chamfered to make the outer shape rectangular in correspondence with the mask pattern magnification correction method of the present invention. FIG. 4 is a plan view showing an apparatus which executes a mask pattern magnification correction method according to the first embodiment of the present invention. In this embodiment, fixed points 27 and 28 and pressurizing points 29 and 30 are set on the outer periphery of a circular upper portion 26 of a support frame 24 on the extended lines of the two diagonal lines of a rectangular window 25 of the support frame 24 of a mask structure. As another embodiment, fixed points and pressurizing points may be set on the outer periphery of the circular lower portion of the support frame 24. FIG. 4 will be compared with FIG. 1. Although the force Fc is applied to the pressurizing points 4 and 5 in FIG. 1, no pressurizing mechanisms are used at those points in FIG. 4. Instead, the fixed points 27 and 28 are received using receiving mechanisms which make fixed blade edges 31 and 32 abut against the fixed points 27 and 28. With this arrangement, the pressurizing mechanisms are simplified, and the mask structure is positioned by butt-receiving the fixed points. The entire receiving mechanisms including the fixed blade edges 31 and 32 are installed on rails 33 and 34 and rails 35 and 36, respectively. The mechanisms can slide in parallel to the tangential directions of the outer periphery of the circular upper portion 26, as indicated by arrows, and function so as not to restrain reducing deformation of the mask structure. When the mask structure is to be attached or detached, the fixed blade edges 31 and 32 can retreat to positions 37 and 38 indicated by corresponding broken lines, respectively. Pressurized blade edges 39 and 40 individually have pressure force detection sections 41 and 42 formed from load cells or the like and are fixed to retractable rods 43a and 44a of actuators 43 and 44 which generate the force Fc shown in FIG. 1. When the mask structure is to be attached or detached, the pressurized blade edges 39 and 40 can retreat to positions 45 and 46 indicated by corresponding broken lines, respectively. The pressurizing mechanisms with the pressurized blade edges 39 and 40, including the actuators 43 and 44, are installed on rails 47 and 48 and rails 49 and 50, respectively. The mechanisms can turn about the pressurizing points 29 and 30 in directions indicated by arrows so as to apply a force corresponding to the resultant force of the force Fa in the X-axis direction and the force Fb in the Y-axis direction, which are set to obtain the desired different magnifications in the X- and Y-axis directions, as shown in FIG. 1. A pin 51 regulates the direction of the mask substrate by a notch portion 52 as a V-shaped groove of the support frame 24. The pin 51 has a retreat function to hide itself under a base plate 53 or slide in the horizontal direction. Reference numerals 54 to 56 denote mask fixing portions. The mask fixing portions 54 to 56 turn to positions 57 to 59 indicated by broken lines whereby the support frame 24 of the mask structure is sandwiched and fixed between the mask fixing portions 54 to 56 and three balls (not shown). When the apparatus shown in FIG. 4 is used as an X-ray mask holding apparatus of an X-ray exposure apparatus, the apparatus can be used to execute a method of irradiating the mask substrate with X-rays while keeping a force applied to the support frame 24 such that desired corrected magnifications can be obtained in the X- and Y-axis directions and transferring the mask pattern 18 onto a wafer serving as a photosensitive substrate. In addition, when the apparatus shown in FIG. 4 is used as a mask holding apparatus in drawing a mask pattern using an electron beam or the like, the apparatus can be used to execute a method of drawing a mask pattern while keeping the membrane distorted by a predetermined force. FIG. 5 is a plan view showing an apparatus which executes a mask pattern magnification correction method according to the second embodiment of the present invention. Fixed points 63 and 64 and pressurizing points 65 and 66 are set on the outer periphery 62 of a circular upper portion of a support frame 60 on the extended lines of the two diagonal lines of a rectangular window 61 of the support frame 60 of a mask structure. A force Fc is applied to the pressurizing points 65 and 66. FIG. 5 will be compared with FIG. 1. Although the force Fc is applied to the pressurizing points 4 and 5 in FIG. 1, the fixed points 63 and 64 are used in FIG. 5. With this arrangement, the pressurizing mechanisms are simplified, and the mask structure is positioned by butt-receiving the fixed points. The receiving mechanisms for receiving the fixed points 63 and 64 can slide in directions indicated by arrows. An angle xcex8 that determines the sliding direction is set in correspondence with the ratio of a force Fa to a force Fc, which are obtained by decomposing the force Fc applied to the pressurizing points 65 and 66 in the X- and Y-axis directions. The receiving mechanisms for the fixed points 27 and 28 in FIG. 4 can slide in parallel to the tangential directions, as indicated by arrows. Referring to FIG. 5, mechanisms for changing the sliding directions are added. This arrangement can decrease a residue other than rectangular reducing modification generated when the magnification difference, i.e., the difference between the X-axis magnification and the Y-axis magnification is increased. FIG. 6 is a plan view showing an apparatus which executes a mask pattern magnification correction method according to the third embodiment of the present invention. The X- and Y-axes are defined in parallel to corresponding sides with respect to a rectangular window 68, as shown in FIG. 6. A fixed point 70 is defined on an outer periphery of a circular upper portion 69 of a support frame 67 on the extended line of a diagonal line of the rectangular window 68. A force in the X-axis direction is applied to a pressurizing point 71 that is present on a line extended from the fixed point 70 in the X-axis direction. A force in the Y-axis direction is applied to a pressurizing point 72 that is present on a line extended from the fixed point 70 in the Y-axis direction. A resultant force of a force Fa in the X-axis direction and a force Fb in the Y-axis direction is applied to a pressurizing point 73 that is present in a diagonal direction of the fixed point 70. The pressurizing point 71 does not move in the Y-axis direction. The pressurizing point 72 does not move in the X-axis direction. A fixed blade edge 74 is firmly fixed while a force is being applied to the support frame 67. When a mask structure is to be attached or detached, the fixed blade edge 74 can retreat to a position indicated by a broken line. Pressurized blade edges 76 to 78 have pressure force detection sections 79 to 81 formed from load cells or the like, respectively. The pressurized blade edges 76 and 77 have sliders 82 and 83, respectively. Hence, the pressurized blade edges 76 and 77 slide in the X- and Y-axis directions and resist against forces in the Y- and X-axis directions perpendicular to the directions of forces. The pressurized blade edges 76 to 78 are fixed to retractable rods 84a to 86a of actuators 84 to 86 which generate the force. When the mask structure is to be attached or detached, the pressurized blade edges 76 to 78 can retreat to positions 87 to 89 indicated by broken lines, respectively. The pressurizing mechanism with the pressurized blade edge 78, including the actuator 86, is installed on rails 90 and 91. The mechanism can turn about the pressurizing point 73 in a direction indicated by an arrow. A pin 92 regulates the direction of the mask substrate by a notch portion 93 as a V-shaped groove of the support frame 67. The pin 92 has a retreat function to hide itself under a base plate 94 or slide in the horizontal direction. Reference numerals 95 to 97 denote mask fixing portions. The mask fixing portions 95 to 97 turn to positions 98 to 100 indicated by broken lines whereby the support frame 67 of the mask structure is sandwiched and fixed between the mask fixing portions 95 to 97 and three balls (not shown). FIG. 7 is a plan view for explaining an example in which a force is decomposed in the X- and Y-axis directions parallel to the sides of a rectangular window 102 and applied to a support frame 101 using W-shaped grooves formed in the support frame. The support frame 101 of a mask structure has W-shaped grooves 104 to 107 on an outer periphery 103 of the circular upper portion or circular lower portion. Pressurizing points 108 to 115 are set for pressurizing in the X-axis direction and pressurizing in the Y-axis direction. Instead of the resultant force Fc, as in FIG. 1, the decomposed forces Fa and Fb are applied. As shown in FIG. 7, this method in which the force is decomposed in the X- and Y-axis directions and applied can easily cope with magnification correction when the force in the X- or Y-axis direction is zero or almost zero. FIG. 8 is a plan view showing an apparatus which executes a mask pattern magnification correction method according to the fourth embodiment of the present invention. Referring to FIG. 8, a support frame 116 of a mask structure has W-shaped grooves 119 to 122 on the outer periphery of a circular upper portion 118 on the extended lines of the two diagonal lines of a rectangular window 117. Fixed points 123 and 124 on the upper left side in FIG. 8 are defined at the portion of the W-shaped groove 119. During magnification correction operation, a receiving mechanism for receiving the fixed points 123 and 124 is in a stationary state. When the mask structure is to be attached or detached, fixed blade edges 125 and 126 retreat to positions 127 and 128 indicated by broken lines. A fixed point 129 and pressurizing point 130 are present at the portion of the W-shaped groove 120 on the upper right side in FIG. 8. FIGS. 7 and 8 will be compared. The fixed points 123 and 124 in FIG. 8 are defined in place of the pressurizing points 108 and 109 in FIG. 7. The fixed point 129 is defined in FIG. 8 in place of the pressurizing point 110 in FIG. 7. A receiving mechanism with a fixed blade edge 131 on the upper right side in FIG. 8 is installed on rails 132 and 133 and can slide in directions indicated by an arrow. This receiving mechanism does not move in a direction perpendicular to the direction of the arrow and functions so as not to restrain reducing deformation of the mask structure. When the mask structure is to be attached or detached, the fixed blade edge 131 retreats to a position 134 indicated by a broken line. A pressurized blade edge 135 has a pressure force detection-section 136 formed from a load cell or the like and is fixed to a retractable rod 137a of an actuator 137 which generates the force Fa. When the mask structure is to be attached or detached, the pressurized blade edge 135 can retreat to a position 138. The pressurizing mechanism with the pressurized blade edge 135, including the actuator 137, is installed on rails 139 and 140 and can slide in directions indicated by arrows. Pressurizing points 141 to 144 are present at the portions of the W-shaped grooves 121 and 122. Pressurized blade edges 145 to 148 have pressure force detection sections 149 to 152 formed from load cells or the like and are fixed to retractable rods 153a to 156a of actuator 153 to 156 which generate the force. When the mask structure is to be attached or detached, the pressurized blade edges 145 to 148 can retreat to positions 157 to 160, respectively. The pressurizing mechanisms with the pressurized blade edges 145 to 148, including the actuator 153 to 156, are installed on rails 161 to 168 and can slide in directions indicated by arrows. Reference numerals 169 to 171 denote mask fixing portions. The mask fixing portions 169 to 171 turn to positions 172 to 174 indicated by broken lines whereby the support frame 116 of the mask structure is sandwiched and fixed between the mask fixing portions 169 to 171 and three balls (not shown). When the apparatus shown in FIG. 8 is used as an X-ray mask holding apparatus of an X-ray exposure apparatus, the apparatus can be used to execute a method of irradiating the mask substrate with X-rays while keeping a force applied to the support frame 116 such that desired corrected magnifications can be obtained in the X- and Y-axis directions and transferring the mask pattern onto the wafer. In addition, when the apparatus shown in FIG. 8 is used as a mask holding apparatus in drawing a mask pattern using an electron beam or the like, the apparatus can be used to execute a method of drawing a mask pattern while keeping the membrane distorted by a predetermined force. FIG. 9 is an enlarged view showing a state wherein the rectangular window changes when the magnitudes of the forces Fa and Fb applied to the outer periphery of the circular upper portion of the support frame are changed in the magnification correction method shown in FIG. 7. In the example shown in FIG. 9, the square indicated by the broken line represents a rectangular window size in a natural state. When the forces Fa and Fb have the same magnitude (in FIG. 9, Fa=10N and Fb=10N), the reduction magnification in the X-axis direction equals that in the Y-axis direction. Hence, the rectangular window becomes a square window having a size indicated by a solid line. However, when the magnitude of the force Fa is different from that of the force Fb, the reduction magnifications in the X- and Y-axis directions are corrected. The square window changes to a rectangular window. FIG. 10 is a plan view for explaining an example in which a force is decomposed in the X- and Y-axis directions parallel to the sides of a rectangular window 176 and applied to a support frame 175 using V-shaped grooves formed in the support frame in the mask pattern magnification correction method according to the present invention. The support frame 175 of a mask structure has V-shaped grooves 178 to 181 on an outer periphery 177 of a circular upper portion or circular lower portion. Forces Fa and Fb are applied to the V-shaped grooves by balls 182 to 185 serving as rolling contactable portions. As the rolling contactable portions, not the balls, but cylinders may be used. FIG. 11 is a plan view showing an apparatus which executes a mask pattern magnification correction method according to the fifth embodiment of the present invention. A support frame 186 of a mask structure has V-shaped grooves 189 to 192 on an outer periphery of a circular lower portion 188 on the extended lines of the two diagonal lines of a rectangular window 187. As another embodiment, fixed points and pressurizing points may be set at V-shaped grooves that are formed on the outer periphery of the circular upper portion of the support frame 186. FIG. 11 will be compared with FIG. 10. Although the forces Fa and Fb act on the ball 182 in FIG. 10, a mechanism using a ball 193 set at a fixed point is used in FIG. 11. The ball 193 may have a moving function for attachment/detachment of a mask structure or to adjust the position. The ball 193 is in a stationary state during a magnification correction operation. Although the force Fb acts on the ball 183, and the force Fa acts on the ball 184 in FIG. 10, balls 194 and 195 in FIG. 11 are fixed to sliders 200 and 201 installed on rails 196 and 197 and rails 198 and 199. The balls 194 and 195 can slide in directions indicated by arrows and do not move in directions perpendicular to the directions of arrows. The balls 194 and 195 function not to restrain reducing deformation of the mask structure. Pressurizing sections 202 and 203 have pressure force detection sections 204 and 205 formed from load cells or the like and are fixed to retractable rods 206a and 207a of actuators 206 and 207 which generate the force. The force is applied to the V-shaped groove portion 192 by a pressurizing section 209 through a ball 208. The pressurizing section 209 has a pressure force detection section 210 formed from a load cell or the like and is fixed to a retractable rod 211a of an actuator 211 which generates the force. The pressurizing mechanism with the pressurizing section 209, including the actuator 211, is installed on rails 212 and 213 and can slide about the ball 208 in directions indicated by arrows. The balls 194, 195, and 208 serving as rolling contactable portions may be held at pressurized blade edges by a magnetic force so as to freely rotate. Reference numerals 214 to 216 denote mask fixing portions. The mask fixing portions 214 to 216 turn to positions 217 to 219 indicated by broken lines whereby the support frame 186 of the mask structure is sandwiched and fixed between the mask fixing portions and three balls (not shown). When the apparatus shown in FIG. 11 is used as an X-ray mask holding apparatus of an X-ray exposure apparatus, the apparatus can be used to execute a method of irradiating the mask substrate with X-rays while keeping a force applied to the support frame 186 such that desired corrected magnifications can be obtained in the X- and Y-axis directions and transferring the mask pattern onto the wafer. In addition, when the apparatus shown in FIG. 11 is used as a mask holding apparatus in drawing a mask pattern using an electron beam or the like, the apparatus can be used to execute a method of drawing a mask pattern while keeping the membrane distorted by a predetermined force. FIG. 12 is a plan view for explaining an example in which a force is decomposed in the X- and Y-axis directions parallel to the sides of a rectangular window 221 and applied to a support frame 220 by forming a rectangular outer periphery on the outer periphery of the upper or lower portion of the support frame 220. In the support frame 220 of a mask structure, a rectangular outer periphery 222 parallel to the sides of the rectangular window 221 is formed. Pressurizing points 223 to 230 are set for pressurizing in the X-axis direction and pressurizing in the Y-axis direction. Forces Fa and Fb are applied to the sides of the rectangular outer periphery 222. In this case, the intersection between the extended lines of arrows that indicate the directions of the forces Fa and Fb near each chamfered portion of the support frame 220, i.e., the point of action of the resultant force of each set of forces Fa and Fb, e.g., the forces Fa and Fb at the pressurizing points 223 and 224 is located on the extended line upward to the left of the diagonal line downward to the right of the rectangular window 221. FIG. 13, will be compared with FIG. 12. Although the pressurizing points 223 and 224 are used in FIG. 12, a mechanism using fixed points 237 and 238 is used in FIG. 13. In addition, although the pressurizing points 225 and 226 are used in FIG. 12, fixed points 239 and 240 are received by receiving mechanisms that can slide in directions indicated by arrows in FIG. 13. The receiving mechanisms can slide in the directions indicated by the arrows and do not move in directions perpendicular to the directions of the arrows. FIG. 13 will be compared with FIG. 12. Although the pressurizing points 223 and 224 are used in FIG. 12, a mechanism using fixed points 237 and 238 is used in FIG. 13. In addition, although the pressurizing points 225 and 226 are used in FIG. 12, fixed points 239 and 240 are received by receiving mechanisms that can slide in directions indicated by arrows in FIG. 13. The receiving mechanisms can slide in the directions indicated by the arrows and do not move in directions perpendicular to the directions of arrows. Pressurizing mechanisms for forces Fa and Fb applied to pressurizing points 241 to 244 can slide in directions perpendicular to the directions indicated by the arrows and function not to restrain deformation of the mask structure. In this case as well, the intersection between the extended lines of the arrows that indicate the forces Fa and Fb near each chamfered portion of the support frame 231, i.e., the point of action of the resultant force of each set of forces Fa and Fb is located on one of the extended lines of the two diagonal lines of the rectangular window 232. FIG. 14 is a schematic view showing an apparatus which executes a mask pattern magnification correction method according to the seventh embodiment of the present invention. In FIG. 13, the upper portion of the support frame has a rectangular shape. Referring to FIG. 14, the outer periphery of a lower portion 246 of a support frame 245 of a mask structure has a rectangular outer periphery 248 parallel to a rectangular window 247. A V-shaped groove as a notch portion 249 that defines the direction of the support frame 245 is formed at a chamfered portion of the outer periphery of the lower portion 246. However, the notch portion 249 may be formed on the rectangular outer periphery 248. FIG. 14 will be compared with FIG. 12. Although the pressurizing points 223 and 224 are used in FIG. 12, receiving mechanisms for receiving fixed points 250 and 251 are used in FIG. 14. In addition, although the pressurizing points 225 and 226 are used in FIG. 12, fixed points 252 and 253 are received by receiving mechanisms that can slide in directions indicated by the arrows in FIG. 13. The receiving mechanisms can slide in the directions indicated by the arrows and do not move in directions perpendicular to the directions of the arrows. Pressurizing mechanisms for forces Fa and Fb applied to pressurizing points 254 and 257 can slide in directions perpendicular to the directions indicated by the arrows and function not to restrain deformation of the mask structure. In this case as well, the intersection between the extended lines of arrows that indicated the forces Fa and Fb near each chamfered portion of the support frame 245, i.e., the point of action of the resultant force of each set of forces Fa and Fb is located on one of the extended lines of the two diagonal lines of the rectangular window 247. (Embodiment of A Semiconductor Production System) Next, an example of a semiconductor device (e.g., a semiconductor chip of an IC, LSI or the like, a liquid crystal panel, a CCD, a thin film magnetic head, a micromachine, etc.) production system using the apparatus of the present invention will be described. The system performs maintenance services such as trouble shooting, periodical maintenance or software delivery for fabrication apparatuses installed in a semiconductor manufacturing factory, by utilizing a computer network outside the fabrication factory. FIG. 16 shows the entire system cut out from an angle. In the figure, numeral 1101 denotes the office of a vendor (apparatus maker) of semiconductor device fabrication apparatuses. As the semiconductor fabrication apparatuses, apparatuses in the semiconductor fabrication factory for performing various processes such as preprocess apparatuses (e.g., lithography apparatuses including an exposure apparatus, a resist processing apparatus and an etching apparatus, a heat processing apparatus, a film forming apparatus, a smoothing apparatus, and the like) and postprocess apparatuses, (e.g., an assembly apparatus, an inspection apparatus and the like) are used. The office 1101 has a host management system 1108 to provide a maintenance database for the fabrication apparatus, plural operation terminal computers 1110, and a local area network (LAN) 1109 connecting them to construct an Intranet or the like. The host management system 1108 has a gateway for connection between the LAN 1109 and the Internet 1105 as an external network and a security function to limit access from the outside. On the other hand, numerals 1102 to 1104 denote fabrication factories of semiconductor makers as users of the fabrication apparatuses. The fabrication factories 1102 to 1104 may belong to different makers or may belong to the same maker (e.g., preprocess factories and postprocess factories). The respective factories 1102 to 1104 are provided with plural fabrication apparatuses 1106, a local area network (LAN) 1111 connecting the apparatuses to construct an Intranet or the like, and a host management system 1107 as a monitoring apparatus to monitor operating statuses of the respective fabrication apparatuses 1106. The host management system 1107 provided in the respective factories 1102 to 1104 has a gateway for connection between the LAN 1111 and the Internet 1105 as the external network. In this arrangement, the host management system 1108 on the vendor side can be accessed from the LAN 1111 in the respective factories via the Internet 1105, and only limited user(s) can access the system by the security function of the host management system 1108. More particularly, status information indicating the operating statuses of the respective fabrication apparatuses 1106 (e.g. problem of fabrication apparatus having trouble) is notified from the factory side to the vendor side via the Internet 1105, and maintenance information such as response information to the notification (e.g. information indicating measure against the trouble, or remedy software or data), latest software, help information and the like is received from the vendor side via the Internet. The data communication between the respective factories 1102 to 1104 and the vendor 1101 and data communication in the LAN 1111 of the respective factories are performed by using a general communication protocol (TCP/IP). Note that as the external network, a private-line network (ISDN or the like) with high security against access from outsiders may be used in place of the Internet. Further, the host management system is not limited to that provided by the vendor, but a database constructed by the user may be provided on the external network, to provide the plural user factories with access to the database. FIG. 17 is a conceptual diagram showing the entire system of the present embodiment cut out from another angle different from that in FIG. 16. In the above example, the plural user factories respectively having fabrication apparatuses and the management system of the apparatus vendor are connected via the external network, and data communication is performed for production management for the respective factories and transmission of information on at least one fabrication apparatus. In this example, a factory having fabrication apparatuses of plural vendors is connected with management systems of the respective vendors of the fabrication apparatuses via the external network, and data communication is performed for transmission of maintenance information for the respective fabrication apparatuses. In the figure, numeral 1201 denotes a fabrication factory of a fabrication apparatus user (e.g., a semiconductor device maker). In the factory fabrication line, fabrication apparatuses for performing various processes, an exposure apparatus 1202, a resist processing apparatus 1203 and a film forming apparatus 1204, are used. Note that FIG. 17 shows only the fabrication factory 1201, however, actually, plural factories construct the network. The respective apparatuses of the factory are connected with each other by a LAN 1206 to construct an Intranet, and a host management system 1205 performs operation management of the fabrication line. On the other hand, the respective office of vendors (apparatus makers), an exposure apparatus maker 1210, a resist processing apparatus maker 1220, a film forming apparatus maker 1230 have host management systems 1211, 1221 and 1231 for remote maintenance for the apparatuses, and as described above, the systems have the maintenance database and the gateway for connection to the external network. The host management system 1205 for management of the respective apparatuses in the user fabrication factory is connected with the respective vendor management systems 1211, 1221 and 1231 via the Internet or private-line network as an external network 1200. In this system, if one of the fabrication apparatuses of the fabrication line has trouble, the operation of the fabrication line is stopped. However, the trouble can be quickly removed by receiving the remote maintenance service from the vendor of the apparatus via the Internet 1200. Thus, the stoppage of the fabrication line can be minimized. The respective fabrication apparatuses installed in the semiconductor fabrication factory have a display, a network interface and a computer to execute network access software stored in a memory and device operation software. As a memory, an internal memory, a hard disk or a network file server may be used. The network access software, including a specialized or general web browser, provides a user interface screen image as shown in FIG. 18 on the display. An operator who manages the fabrication apparatuses in the factory checks the screen image and inputs information of the fabrication apparatus, a model 1401, a serial number 1402, a trouble case name 1403, a date of occurrence of trouble 1404, an emergency level 1405, a problem 1406, a remedy 1407 and a progress 1408, into input fields on the screen image. The input information is transmitted to the maintenance database via the Internet, and appropriate maintenance information as a result is returned from the maintenance database and provided on the display. Further, the user interface provided by the web browser realizes hyper link functions 1410 to 1412 as shown in the figure, and the operator accesses more detailed information of the respective items, downloads latest version software to be used in the fabrication apparatus from a software library presented by the vendor, and downloads operation guidance (help information) for the operator""s reference. The maintenance information provided from the maintenance database includes the information on the above-described present invention, and the software library provides latest version software to realize the present invention. Next, a semiconductor device fabrication process utilizing the above-described production system will be described. FIG. 19 shows a flow of the entire semiconductor fabrication process. At step S1 (circuit designing), a circuit designing of the semiconductor device is performed. At step S2 (mask fabrication), a mask where the designed circuit pattern is formed is fabricated. On the other hand, at step S3 (wafer fabrication), a wafer is fabricated using silicon or the like. At step S4 (wafer process), called a preprocess, the above mask and wafer are used. An actual circuit is formed on the wafer by lithography. At step S5 (assembly), called a postprocess, a semiconductor chip is formed by using the wafer at step S4. The postprocess includes processing such as an assembly process (dicing and bonding) and a packaging process (chip sealing). At step S6 (inspection), inspection such as an operation test and a durability test are performed on the semiconductor device assembled at step S5. The semiconductor device is completed through these processes, and it is shipped (step S7). The preprocess and the postprocess are independently performed in specialized factories, and maintenance is made for these factories by the above-described remote maintenance system. Further, data communication is performed for production management and/or apparatus maintenance between the preprocess factory and the postprocess factory via the Internet or private-line network. FIG. 20 shows a more detailed flow of the wafer process. At step S11 (oxidation), the surface of the wafer is oxidized. At step S12 (CVD), an insulating film is formed on the surface of the wafer. At step S13 (electrode formation), electrodes are formed by vapor deposition on the wafer. At step S14 (ion implantation), ions are injected into the wafer. At step S15 (resist processing), the wafer is coated with photoresist. At step S16 (exposure), the above-described exposure apparatus exposure-transfers the circuit pattern of the mask onto the wafer. At step S17 (development), the exposed wafer is developed. At step S18 (etching), portions other than the resist image are etched. At step S19 (resist stripping), the resist unnecessary after the etching is removed. These steps are repeated, thereby multiple circuit patterns are formed on the wafer. As maintenance is performed on the fabrication apparatuses used in the respective steps by the above-described remote maintenance system, trouble is prevented, and even if it occurs, quick recovery can be made. In comparison with the conventional art, the productivity of the semiconductor device can be improved. [Other Embodiment] The present invention includes a case wherein the object of the present invention can be also achieved by providing a software program for performing the functions of the above-described embodiments of the magnification correction method to a system or an apparatus from a remote position, and reading and executing the program code with a computer of the system or apparatus. In such a case, the form of the software is not necessarily a program as long as it has a function of a program. Accordingly, to realize the functional processing of the present invention by the computer, the program code itself installed in the computer realizes the present invention. That is, the claims of the present invention include a computer program itself to realize the functional processing of the present invention. In such a case, the other form of a program may be such as a program executed by object code, an interpreter and the like, or script data to be supplied to an OS (Operating System), as long as it has the function of a program. As a storage medium for providing the program, a floppy disk, a hard disk, an optical disk, a magneto-optical disk, an MO, a CD-ROM, a CD-R, a CD-RW, a magnetic tape, a non-volatile type memory card, a ROM, a DVD (a DVD-ROM and a DVD-R) or the like can be used. Further, the program may be provided by accessing a home page on the Internet by using a browser of a client computer, and downloading the computer program itself of the present invention or a compressed file having an automatic installation function from the home page to a storage medium such as a hard disk. Further, the present invention can be realized by dividing program code constructing the program of the present invention into plural files, and downloading the respective files from different home pages. That is, the claims of the present invention also include a WWW server holding the program file to realize the functional processing of the present invention to be downloaded to plural users. Further, the functional processing of the present invention can be realized by encrypting the program of the present invention and storing the encrypted program into a storage medium such as a CD-ROM, delivering the storage medium to users, permitting a user who satisfied a predetermined condition to download key information for decryption from the home page via the Internet, and having the user execute the program by using the key information and install the program into the computer. Furthermore, the present invention also includes a case wherein, after the program code read from the storage medium is written in a function expansion board, which is inserted into the computer or in a memory, provided in a function expansion unit, which is connected to the computer, a CPU or the like contained in the function expansion board or unit performs a part or entire process in accordance with designations of the program code and realizes functions of the above embodiments. Furthermore, the present invention also includes a case where, after the program code read from the storage medium is written in a function expansion board which is inserted into the computer or in a memory provided in a function expansion unit which is connected to the computer, CPU or the like contained in the function expansion board or unit performs a part or entire process in accordance with designations of the program code and realizes functions of the above embodiments. The present invention is not limited to the above embodiments and various changes and modifications can be made within the spirit and scope of the present invention. Therefore, to apprise the public of the scope of the scope of the present invention, the following claims are made. For example, the present invention can also be applied to a plate-shaped object which requires deformation or distortion.
047088427
abstract
Apparatus comprising a seal connector is disclosed for sealingly connecting flow channels in the core support plate with flow channels in fuel assemblies for use in a spectral shift pressurized water nuclear reactor. Spectral shift is obtained by displacing a portion of the light water in the core with deuterium oxide or a combination of deuterium oxide and light water or various gases such as helium during the initial state of reactor operation to harden the nuclear spectrum and thereby reduce excess reactivity and increase the production of fissile materials. The seal connectors provide a sealed flow channel for introducing the deuterium oxide into the core during the early stages of reactor operation and for reintroducing the light water into the core during the later stages of reactor operation.
description
The invention relates to a laboratory device unit having a laboratory device for processing and/or analyzing substances, mixtures, or media and having a remote control for the parameters available or adjustable within the laboratory device and/or detectable measurements. Such laboratory device units are known, for example, from DE 199 11 397 A1. Within the scope of further developing available laboratory devices, they frequently comprise an extensive performance spectrum and are equipped with a multitude of various functions. This leads to the consequence that the remote controls provided for their operation are also designed increasingly more expensive in order to allow using the entire scope of functions of the laboratory device. On the other hand, frequently there is a need for cost-effective laboratory devices, which meet only simple requirements. In order to meet these demands, manufacturers have begun to offer series of laboratory devices, in which the different variants of the laboratory devices vary by different capacities or by a differently extensive performance spectrum, for example a differently sized adjustment and/or measurement range of a parameter when using the laboratory device. The invention is based on the objective of simplifying the production of a series of laboratory devices and to reduce the production costs. In order to attain this objective, the invention provides that in a laboratory device unit of the type mentioned at the outset the laboratory device is provided with high capacities and/or a wide performance range and/or a wide range of adjustments and/or measurements with regards to its adjustments and/or measurements and that at least two different remote controls are provided, and that one of the remote controls is designed to operate the laboratory device with high capacity and/or a wide performance spectrum and/or a wide range of adjustments and/or measurements and the other remote control is designed to operate the laboratory device to a lesser extent with regards to capacity and/or spectrum and/or range of adjustments and/or measurements. This advantageously achieves that by combining the laboratory device with one or the other remote control two different variants of a laboratory device unit are provided, in which a different scope of performance spectrum and/or capacity and/or range of adjustments and/or measurements of the laboratory device can be used. In particular, combined with the other remote control, which is the one designed to operate the laboratory device to a lesser extent with regards to capacity and/or spectrum and/or range of adjustments and/or measurements, the laboratory device acts like a laboratory device with an actually restricted scope as perceived by the user. Therefore, the invention utilizes the surprising insight that the additional expense for a laboratory device provided with functions that cannot be used by a remote control and/or ranges of adjustments and/or measurements not accessible is rather low compared to the expense resulting from a separate production of individual variants of a series of laboratory device units. Additionally, the warehousing costs for providing the individual components for the production of the variants of the series can be reduced and the variants of the series are easily adjustable to the particular customer requirements by the design of the remote controls, while the laboratory device, requiring the majority of development work, requires no adjustment at all. Accordingly, each user perceives his/her laboratory device unit to be individually designed. Additionally, the invention offers the advantage that a laboratory device unit with a restricted capacity and/or performance spectrum can subsequently be changed in a simple fashion into a laboratory device unit with an expanded performance spectrum by exchanging the remote controls. Thus, for outsiders it seems that different laboratory device units are provided for the user due to the fact that the accessible performance spectrums of the laboratory device, accessible by the different remote controls, are different. Here, an appropriate design of the remote controls may also achieve that the variants of the laboratory devices of a series can theoretically be sorted in a rising order with regards to an increasingly useable performance spectrum or that various laboratory device—variants with different performance spectrums and/or different capacities are provided, which show identical intersections, however in which the performance spectrum and/or the capacity of the device unit not entirely coinciding with the one of another device unit. However, preferably one remote control shall be provided, which is designed to operate the full capacity and/or the full performance spectrum and/or the full range of adjustments and/or measurements of the laboratory device, with the second remote control being designed to operate the capacity and/or the performance spectrum and/or the range of adjustments and/or measurements to a lesser extent only. This way, using one remote control the entire multitude of performances can be accessed provided for use by the laboratory device. In order to increase the multitude of variants of the series it may be provided that at least one additional remote control is provided, which is designed for interim values with regards to the capacity and/or the performance spectrum and/or the scope of adjustments and/or measurements of the laboratory device. Such a remote control can be designed and/or configured depending on the requirements for its application. A particularly simple variant, sufficient for reduced requirements, results if an additional remote control is provided, by which only a portion of the adjustment parameters and/or measurements of the laboratory device can be accessed or addressed or adjusted. For example, it may be provided that the laboratory device comprises a function for heating a substance and a function for mixing said substance, particularly at various rotations, by the appropriate technical means, such as heaters or drives for an agitating function, being embodied at the laboratory device. In this case, a particularly simple variant of the laboratory device unit can be formed such that using one remote control only the heating function and/or only the agitating function can be controlled, or that only a certain adjustment range of the rotation used for agitation can be addressed, while in another remote control the expanded adjustment range and/or both functions can be controlled. For example, in another embodiment it may be provided that the laboratory device comprises a weighing function, by which the mass located in a receiver of the laboratory device can be determined, and here one of the remote controls, designed for a simple laboratory device—variant, cannot access the data of this weighting device, while another remote control is capable to read and display the measurements of this weighing device. In order to design the remote controls, by which different performance spectrums and/or different capacities of the laboratory device may be controlled and/or accessed, it may be provided that the operating elements, for example rotary controls, knobs, touch screens, and the like, are embodied differently for the various remote controls according to the performance spectrum accessible. For this purpose, it may be provided that the remote controls differ with regards to the number of knobs, the allocation of the functions of these knobs, the adjustments possible by rotary controls, or the fields of a touch screen responding to contact, or that the operating signals received by operating elements are exchanged with the laboratory device by differently embodied processing and/or transceiver devices at the remote control. A particularly simple series of laboratory device units, produced with little expense, results however if all remote controls are designed for the full range of the parameters existing and/or adjustable at the laboratory device and/or measurements detectable and with the remote controls, designed to recall only a portion of the performances and/or a partial range of the adjustments and/or measurements, higher-valued functions are blocked electronically and/or by way of software. This way, it is achieved in an advantageous manner that for retrofitting the functionality of a laboratory device unit it is not even necessary to exchange the remote control but the upgrade of the performance spectrum is achieved by uploading software and/or by entering a release code, i.e. that in a remote control with an electronic or software-based restriction of the range of operation said restriction can be subsequently activated. In an advantageous embodiment of the invention it may be provided that in order to operate the laboratory device units with different performance capacities and/or different performance spectrums and/or different ranges of adjustments and/or measurements the remote controls are designed with different displays, with the remote control for the lower performance capacity and/or the smaller performance spectrum and/or the smaller range of adjustments and/or measurements comprises a simple display and the remote control for higher performances and ranges of measurements shows a more expensive display. This way, remote controls are provided sufficient for simpler applications, which can be combined with a laboratory device which per se shows the full scope of performance to form a laboratory device unit fulfilling these simple requirements. According to one embodiment it may be provided that these displays at the remote controls are interchangeable, thus allowing an easy expansion of the performance spectrum of the laboratory device accessible by the remote control. In order to store the remote control and prevent the loss thereof it may be provided that the remote control allocated to the laboratory device may be fixed at the laboratory device in a detachable fashion. This way, a preferred storage location is embodied for the remote control. According to one embodiment of the invention it may be provided that the fixation for the remote control at the laboratory device comprises contacts to charge the battery provided, serving as the power supply for the remote control. This way, the operating period of the remote control can be increased, because during the periods in which the remote control is not needed it is recharged for future use. According to one embodiment of the invention it may be provided that the fixation comprises contacts for the laboratory device at which the remote control is fixed, to address said remote control. Thus, by this addressing process advantageously an allocation is created between the remote control and the laboratory device, which avoids operating disruptions or mutual interference in case of the presence of several remote controls and/or several laboratory devices. By the common embodiment of the contacts for both addressing and recharging any allocation made can be checked and/or corrected during each recharging process. According to one embodiment of the invention it may be provided that in these fastening positions the remote control respectively fixed at the laboratory device in a detachable fashion is coupled mechanically and/or electrically with the components of the laboratory device, creating the adjustments parameters and/or measurements. This way, even in case of radio interference and/or dysfunction of the remote control an operation of the performance capacity and/or the performance spectrum of the laboratory device is possible, by the remote control being arranged at the location provided for storage. In order to avoid radio interferences, if a multitude of remote controls are present, it may be advantageously provided that the radio connection between the laboratory device and the remote control is deactivated in mechanically and/or electrically coupled remote controls and that the operating signals of the remote control can be transmitted via plug-in contacts. When this mechanical coupling via safety means is even fixed in a non-detachable fashion, it is achieved here that the range of functions of the laboratory device accessible by the coupled remote control cannot be subsequently expanded by using another remote control without opening this safety and thus disconnecting said coupling. This way, a laboratory device with a preferably simple scope of functions is embodied, which cannot be subsequently upgraded. According to one embodiment of the invention it may be provided that the laboratory device comprises a weighing function as a parameter, which preferably is implemented by the feet, preferably located at the bottom and connected and/or allocated to a weighing device. Here, it is advantageous that this weighing function can be accessed and/or operated by some of the remote controls, while other remote controls cannot communicate with the weighing function so that the user of the other remote controls may perhaps not even learn of the existence of the weighing function existing in his/her laboratory device. According to one advantageous embodiment of the invention it may be provided that the laboratory device is a magnetic agitator, which can be addressed by the remote control with a lesser scope of performance and/or smaller performance spectrum for lower rotations or for a range of lower rotations and/or lower heating temperatures than the values possible by the magnetic agitator and that at least a second remote control can address and/or access the maximum rotations and/or heating temperatures and/or a weighing function. According to one embodiment of the invention it may be provided that control processes determined for the remote control of higher performances can be performed with adjustable parameters and/or measurements. For this purpose, the remote control comprises appropriate storage means and means for executing control programs, which are transmitted to the laboratory device as respective operating commands, even depending on measurements detected by the laboratory device. The invention can further be used advantageously in an agitating device or a dispensing device, with one remote control being able to adjust the maximum rotation and/or temperature for a heating function and with at least one other remote control being able to adjust only reduced values in reference to the maximum values for the rotation and/or the temperatures. A laboratory device unit, in its entirety marked 1, for processing and/or analyzing substances, mixtures, or media has a remote control 2, which is embodied to influence existing or adjustable parameters and/or to detect measurements in the laboratory device unit 1. For this purpose, the laboratory device unit 1 comprises a laboratory device 3, in which apparatuses, such as drives, heaters, weighing devices, and the like, not shown in greater detail, are arranged and embodied. Using these devices, a performance capacity and/or a performance spectrum, particularly a range of adjustments and/or measurements is predetermined, which can be used by appropriate functional elements in or on the laboratory device 3 using the laboratory device unit 1. In order to operate these performance spectrums, a remote control 2 is provided comprising an appropriate display 4 and operating elements 5. The remote control 2 is coupled to an appropriate transceiver in the laboratory device 3 via radio signals. Using the operating elements 5 and the display 4, the functional elements provided in the laboratory device 3 can be adjusted and/or their signals and/or data can be detected in their full performance spectrum and/or within a range of adjustments and/or measurements defined by the remote control. For utilizing the functional spectrum of the laboratory device unit 1 to a lesser extent, another remote control 6 is provided, which also comprises operating elements 5 and a display 4, by which the laboratory device unit 1 can be used to a lesser extent with respect to performance and/or the spectrum and/or the range of adjustment and/or measurements compared to the remote control 2, although the laboratory device 3 still provides the full performance spectrum. The additional remote control 6 is also connected via radio signals to the transceiver in the laboratory device 3. The laboratory device 3 offers a seemingly uniform exterior surface to the user, which allows no conclusions about the functional elements actually present in the laboratory device 3. At the laboratory device, a receiving area 7 is embodied, at which optionally the remote control 2 or the remote control 6 can be fixed in a detachable fashion. When the remote control 2 is combined with the laboratory device 3, a first variant 8 of the laboratory device series develops with the performance spectrum and/or scope of functions being defined by the remote control 2. However, when the laboratory device 3 is combined with the other remote control 6, a second variant 9 of the laboratory device series develops, with its scope of functions and/or performance spectrum being defined by the other remote control 6. The respective combination already results from making radio contact between the remote control 2, 6 and the laboratory device 3. Plug-in contacts are embodied in the receiving area 7, not shown in greater detail, which create a plug-in connection when the remote control 2 or the other remote control 6 is fixed at the laboratory device 3 with opposite plug-in connections embodied at the remote controls 2, 6, also not shown. This plug-in connection charges a battery provided in the remote controls 2, 6, and the radio contact between the remote control 2, 6 and the laboratory device 3 is deactivated, with the control signals between the radio control 2, 6 and the laboratory device 3 being transmitted via the plug-in contacts after this fixation of the remote control 2, 6 in the receiving area 7. In the exemplary embodiment shown, the operating elements 5 of the remote controls 2, 6 are embodied identically, while the displays 4 are different with regards to the information that can be shown. The display 4 of the other remote control 6 comprises only two data fields 10, at which the numeric values to be adjusted by the operating elements 5 are displayed, while the display 4 of the remote control 2 has a more complex structure, which allows displaying additional functions or even the display of control processes. FIG. 1 only shows a schematic illustration of the laboratory device unit 1, which for reasons of clarity the illustration of the individual functional elements and the mountings and attachments being waived, which can be controlled and/or accessed by the remote controls 2, 6. In one exemplary embodiment the laboratory device unit 1 comprises a magnetic agitator, which is arranged in the laboratory device 3. In this exemplary embodiment, this magnetic agitator can be used by placing a vessel with an agitator element onto the work platform 11 embodied at the laboratory device 3, causing the agitator element to be magnetically coupled to the magnetic agitator in the laboratory device 3. In this exemplary embodiment, additionally a heater is provided in the laboratory device 3, by which a substance located in the vessel placed upon the work platform 11 can be heated. Depending on the scope of functions provided, the remote controls 2, 6 can control a range of rotational of the magnetic agitator and/or a range of temperatures of the heater. Additionally, in this exemplary embodiment, a weighing device is integrated in the feet of the laboratory device 3, which can be read by the remote control 2 in order to display the weight presently detected at the display 4 of the remote control 2. Using the remote control 6, this weighing device cannot be used, though, because the display 4 here shows no option for displaying the weight presently determined by the weighing device. Thus, without the remote control 2 the user can only learn of the existence of a weighing device in the laboratory device 3 by disassembling and/or destroying the laboratory device 3. In this exemplary embodiment, additionally another remote control is available, which is not shown in FIG. 1, and which allows only to control the rotation of the magnetic agitator but not the control of the heater. In the laboratory device unit 1 for processing or analyzing substances, mixtures, or media with functional elements to perform said processing and/or analysis, which are embodied in or at a laboratory device 3 forming the base device, at least two remote controls 2, 6 are provided, with in one remote control 6 the performance spectrum of the functional elements can be used only to a lesser extent compared to the other remote control 2.
description
This application claims benefit under 35 U.S.C. 119(e) of Provisional Application Ser. No. 62/013,459 filed Jun. 17, 2014, the entirety of which is hereby incorporated herein by reference. The present inventions relate to methods, apparatuses, devices, and systems for creating, controlling, conducting, and optimizing fusion activities of nuclei. In particular, the present inventions relate to, among other things, fusion activities that are conducted individually or collectively on a very small scale, preferably on the nano-scale or smaller such as pico to femto scales, for the utilization of energy produced from these activities in smaller devices and for aggregation into larger devices. Controlled fusion devices, methods and systems are taught and disclosed in US Patent Application Publication Nos. 2010/0294666, 2011/0188623, U.S. patent application Ser. Nos. 13/663,751, 14/205,337, 13/952,826, 61/925,114, 61/925,131, 61/925,122, 61/925,148, 61/925,142, 61/210,383, and 61/843,015 the entire disclosures of each of which are incorporated herein by reference. As used herein, unless expressly stated otherwise, the term fusion should be given its broadest possible meaning, and would include interactions between two or more nuclei whereby one or more new or different nuclei are formed, as well as subsequently induced or derivative reactions and energy generation associated therewith. As used herein, unless expressly stated otherwise, the terms formation, formation of material, and similar terms should be given their broadest possible meaning, and would include transmutation, and the modification or creation of a nucleus or nuclei, such as, for example, nuclides, and isotopes having value in medical, imaging, testing, and other useful applications. As used herein, unless expressly stated otherwise, the term light element means an element or ion with atomic mass of 62 or less. As used herein, unless expressly stated otherwise, the term physical confinement, physical containment, and similar such terms mean the use of a physical structure that passively confines the fusion reaction as opposed to the use of directed energy, including shockwaves, EM fields such as from lasers, or electromagnetic fields to confine the fusion interaction. As used herein, unless expressly stated otherwise, the term strongly ionized plasma means a plasma whereby the ratio of ions to neutrals is at least about 1:1. As used herein, unless expressly stated otherwise, the term weakly ionized plasma means a plasma whereby the ratio of ions to neutrals is less than about 1:100. The terms plasma, ionized material, and similar such terms includes all degrees and ratios of ionization. As used herein, unless expressly stated otherwise, the term neutrals means atoms, molecules or clusters with no net charge. As used herein, unless expressly stated otherwise, the terms fusion fuel, reactants, fusion reactions and similar terms are to be given their broadest possible means and would include hydrogen-1, boron-11, lithium-6, lithium-7, deuterium, tritium, helium-3, nitrogen-15, and any other elements, materials and compounds, including isotopes, that may be identified to be useful fusion fuels, and combinations and variations of the foregoing. For 60 years the science and technology communities have been striving to achieve controlled and economically viable fusion. The commonly held belief in the art is that another 25-50 years of research remain before fusion is a viable option for power generation—“As the old joke has it, fusion is the power of the future—and always will be” (“Next ITERation?”, Sep. 3, 2011, The Economist). Further, until the present inventions, it was believed that a paradigm existed in that achieving fusion of reactants was unobtainable without incredibly high temperatures for even the most likely reactants and even higher temperatures for other reactants. As a consequence, it was further believed that there was no reason to construct, or investigate the composition of, a nuclear fusion reactor with lower temperature reactant confinement. Prior to the present inventions it was believed that the art in controlled fusion reactions taught that temperatures in excess of 150,000,000 degrees Centigrade were required to achieve favorable gross energy balance in a controlled fusion reactor. Gross energy balance, Q, is defined as: a . ⁢ Q = E fusion E in , b. where Efusion is the total energy released by fusion reactions and Ein is the energy used to create the reactions. The Joint European Torus, JET, claims to have achieved Q≈0.7 and the US National Ignition Facility recently claims to have achieved a Q>1 (ignoring the very substantial energy losses of its lasers). The condition of Q=1, referred to as “breakeven,” indicates that the amount of energy released by fusion reactions is equal to the amount of energy input. In practice, a reactor used to produce electricity should exhibit a Q value significantly greater than 1 to be commercially viable, since only a portion of the fusion energy can be converted to a useful form. Conventional thinking holds that only strongly ionized plasmas, are necessary to achieve Q>1. These conditions limit the particle densities and energy confinement times that can be achieved in a fusion reactor. Thus, the art has looked to the Lawson criterion as the benchmark for controlled fusion reactions—a benchmark, it is believed, that no one has yet achieved when accounting for all energy inputs. The art's pursuit of the Lawson criterion, or substantially similar paradigms, has led to fusion devices and systems that are large, complex, difficult to manage, expensive, and economically unviable. A common formulation of the Lawson criterion is as follows: N τ E * > 3 ⁢ ( 1 - η in ⁢ η out ) ⁢ H η in ⁢ η out ⁢ 〈 σ ⁢ ⁢ v 〉 ab ⁢ ( H ) ⁢ Q ab 4 ⁢ ( 1 + δ ab ) - ( 1 - η in ⁢ η out ) ⁢ A br ⁢ H All of the parameters that go into the Lawson criterion will not be discussed here. But in essence, the criterion requires that the product of the particle density (N) and the energy confinement time (τE*) be greater than a number dependent on, among other parameters, reaction temperature (H) and the reactivity (σν)ab, which is the average of the product of the reaction cross section and relative velocity of the reactants. In practice, this industry-standard paradigm suggests that temperatures in excess of 150,000,000 degrees Centigrade are required to achieve positive energy balance using a D-T fusion reaction. For proton-boron fusion, as one example, the criterion suggests that the product of density and confinement time must be yet substantially higher. It should be noted that current fusion schemes using D-T fuels, which produce radioactive materials, should have shielding and take steps and precautions, such as the use of robotic operating systems to maintain safety. An aspect of the Lawson criterion is based on the premise that thermal energy must be continually added to the plasma to replace lost energy to maintain the plasma temperature and to keep it fully or highly ionized. In particular, a major source of energy loss in conventional fusion systems is radiation due to electron bremsstrahlung and cyclotron motion as mobile electrons interact with ions in the hot plasma. The Lawson criterion was not formulated for fusion methods that essentially eliminate electron radiation loss considerations by avoiding the use of hot, heavily ionized plasmas with highly mobile electrons. Because the conventional thinking holds that high temperatures and strongly ionized plasma are required, it was further believed in the art that inexpensive physical containment of the reaction was impossible. Accordingly, methods being pursued in the art are directed to complex and expensive schemes to contain the reaction, such as those used in magnetic confinement systems (e.g., the ITER tokamak) and in inertial confinement systems (e.g., NIF laser). In fact, at least one source in the prior art expressly acknowledges the believed impossibility of containing a fusion reaction with a physical structure: “The simplest and most obvious method with which to provide confinement of a plasma is by a direct-contact with material walls, but is impossible for two fundamental reasons: the wall would cool the plasma and most wall materials would melt. We recall that the fusion plasma here requires a temperature of ˜108 K while metals generally melt at a temperature below 5000 K.” (“Principles of Fusion Energy,” A. A. Harms et. al.) The present inventions break the prior art paradigms by, among other things, increasing the reactant density, essentially eliminating electron radiation losses, and combinations of these, by avoiding the use of a strongly ionized plasma, modifying the Coulomb barrier and thus increasing the reaction cross section, and essentially eliminating the need for confinement to contain the fusion reaction. Such approaches make Lawson's criterion inapposite. The importance and value of achieving economically viable controlled fusion has long been recognized and sought after in the art. Controlled fusion may have applications in energy production, propulsion, material creation, material formation, the production of useful isotopes, generation of directed energetic beams and particles, and many other key fields and applications. In the energy production area, controlled fusion has been envisioned to provide a solution to global energy and environmental challenges, including supply, distribution, cost, and adverse effects from using hydrocarbon or other alternative fuel sources. Accordingly, there has been a long-standing and unfulfilled need for a controlled fusion reaction, and the clean energy and other benefits and beneficial uses that are associated with such a reaction. This need, however, has primarily focused on using controlled fusion for larger, or macro applications, such as providing power to a city, factory or building. There has further been a long-standing need for reliable and dependable small power sources for use in small devices such as cell phones, robotics, hearing aids, pace makers, laptop computers, smart phones, hand held electronic devices and the like, as well as for newer, smaller, and emerging technologies, such as, nano-technologies, micro-circuits, nano-circuits and micro-robotics. While battery technologies and other power sources have been rapidly evolving and becoming smaller, and smaller, in many instances they have failed to keep up with the needs of smaller and smaller devices, and the need for having power supplies that do not readily become depleted. Unfortunately, in many cases, battery technology may be becoming the limiting factor to the further advancement of these small electronic technologies. The present methods, devices and systems for conducting fusion reactions solve these and other problems, deficiencies, and inadequacies associated with prior attempts to create a viable controlled fusion system, and short comings in conventional small, micro-, nano-, and sub-nano-electronic devices. Further, the present inventions avoid the risks associated with conventional fission power generation. Moreover, available aneutronic embodiments of controlled fusion avoid the potential issues associated with managing neutrons produced in other fusion reactions, and make devices utilizing these embodiments readily usable in devices that are closely associated with living entities, e.g., a pace maker. Thus, the present inventions, among other things, solve these needs by providing the articles of manufacture, devices and processes taught, disclosed and claimed herein. Thus, there are provided the methods, systems, articles and devices of the present specification, drawings and claims. In general, the present inventions relate to methods, apparatuses, devices, and systems for creating, measuring, controlling, conducting, and optimizing fusion activities of nuclei. In particular, the present inventions relate to, among other things, fusion activities that are conducted individually on a very small scale; and for the utilization of the energy, materials, and particles that are produced from these small-scale fusion activities. The present inventions further related to, among other things, small devices for causing and controlling these small fusion activities, and utilizing the products of these fusion activities, as well as, the aggregation of these smaller devices and the utilization of the aggregation. Generally, the present methods, apparatuses, and systems utilize the creation of submicron regions, and preferably nano regions (e.g., about one cubic nanometer, nm3, 10−27 m3), or smaller, of high charge densities to provide for controlled fusion reactions, and preferably with simple containment schemes (without the need for any complicated containment schemes), and more preferably without the need for any magnetic fields. Further, embodiments of the present inventions create or modify quantum and other effects to provide for, or enhance, the fusion reaction. In general, embodiments of the controlled fusion devices cause electrons to form an area of high charge density associated within a base structure containing the reactants. In an embodiment the base structure has, is, or forms a lattice, mesh, cage, pores, other substructures, and combinations and variations of these. The base structure, and preferably the substructure, holds, carries, encapsulates, encompasses, replenishes, exposes, contains, supports, maintains and combinations and variations of these reactants. The high charge density associated with the base structure can be provided by causing the electrons to move in a manner that results in their collection, agglomerating, coming together, increasing density and combinations and variation of these. Embodiments of the base structure material include, for example palladium, tungsten, boron hydride, titanium, tantalum, getter materials for hydrogen and low molecular weight gasses, and other materials that can support or carry the fusion fuel. The base structure may be a composite material, an alloy, a metal-ceramic, it may contain layers, the layers may be of the same or different materials, the layers may have the same or different substructures, initially underlying layers may over time become exposed to the reaction as the device operates, and combinations and variations of these and other configurations. Coatings may be used on the surface of the base structure, for example, gold, copper, silver or other conducting materials, and preferably materials having good conductivity, and having low resistance. Embodiments of the base structure have a discontinuity. This discontinuity can be an area of discontinuity, or more preferably one or more points of discontinuity (It being understood that the point(s) may still have some area, but as used herein the point(s) of discontinuity generally refers to a generally circular shape, generally square shape, generally rectangular shape, generally elliptical shape or other shape having an area of about 1 μm2 or less, about 500 nm2 or less, about 100 nm2 or less, 50 nm2 or less and preferably about 10 nm2 or less). Generally, this discontinuity is associated with, preferably adjacent, and more preferably central to the area of high electron charge density. The discontinuity may be a knife edge, it may be an annular knife edge, and preferably it may be the tip, e.g., point, of a tapered member, such as a solid or tubular nano-needle. The base structure may contain one, two or more discontinuities. When multiple discontinuities are present they may be the same or different, for example, in terms of shape configuration, intended reactants or other attributes. The fusion fuel may be any of the materials identified in this specification, as suitable for a fusion reaction or known to be useful in such a reaction, that can be loaded or otherwise incorporated into, or held by, the base structure, and more preferably the substructure of the base structure. Preferably, the fusion fuel is, for example hydrogen, deuterium, Boron-11, Helium-3, and mixtures of these. The fusion fuel is loaded or reloaded into the base structure. Preferably, the fusion fuel is loaded or reloaded into the discontinuity, and most preferably is loaded into the area where the high electron density will be present. Thus, in a preferred embodiment the fusion fuel is heavily loaded into the volume of the base structure that is in the area of the discontinuity and the area of high electron density, with the fusion fuel being held by the substructure. It addition to the fusion fuel being preloaded into the base structure, the fusion fuel can be added continuously, batch wise, generated in situ during the fusion reaction (e.g., generation of 3He), between operations and combinations and variations of these. Thus, for example, a palladium tubular nano-needle base structure, having a closed tip to a needle point, can have its inner space filled with excess hydrogen (or in fluid communication with a source of hydrogen) so that as the hydrogen is depleted during operation the excess hydrogen will migrate, e.g., getter, into the base structure and thus re-load the structure with fusion fuel. In an embodiment, the device can be operated without reloading or replenishing of the fusion fuel in the base structure. The device could be created with many base structures, only some of which operate at any given time, wherein the additional base structures would be activated when the fusion fuel is fully or partially depleted from the base structures that had previously been activated. Such an device would include a monitoring and control mechanism to successively turn off and on base structures in a desired manner. The volume of fusion fuel, e.g., hydrogen to the volume of base structure material, e.g., palladium, can be significantly larger, providing advantages to the fusion reaction. The volume of fusion fuel can be 2× larger or more than the volume of base structure material, it can be 3× larger or more, it can be 5× larger or more, and it can be 7× larger or more, depending upon, among other things, the particular fusion fuel(s) used, base material used, and substructure present. For hydrogen fuel, palladium base structure embodiments, the hydrogen can be loaded to 8× the volume of the palladium. Preferably, the fusion fuel can be loaded or reloaded into the base structure to particle densities of 1015/cc or more, 1018/cc or more, 1020/cc or more, 1022/cc or more, and more preferably about 1023/cc. It being noted that the fusion fuel densities of the present inventions are substantially greater than the densities obtained in the larger magnetic containment fusion devices, such as the Tokamak reactors (reported to be limited to particle densities of 1014/cc). The fusion fuel can be loaded or reloaded into the base structure by gettering, provided that the base structure—fusion fuel type exhibit this effect. The fusion fuel can be loaded or reloaded by any means or technique known to the art, or later developed, to incorporate or include smaller atomic scale particles into a larger matrix or supporting structure. The fusion fuel itself, may also be the base structure. The region of high electron density can be provided by using a microwave generator, radio frequency (RF) wave generator, or similar device, associated with the base structure. In operation, the high electron density generator causes the electrons to move in a first direction along generally the surface of the base structure toward the discontinuity (forward electron flow), and then quickly reverses the flow of the electrons away from the discontinuity (reverse electron flow). In this manner the forward and reverse electron flows along generally the surface of the substructure creates a high electron density at the discontinuity. The base structure can be coated with a material to enhance or facilitate this flow of electrons along its surface, such as a gold coating, copper coating, silver coating or a coating of other conducting materials, and preferably materials having good conductivity, and having low resistance. This area of high electron density is present, e.g., exists, at its peak periodically. Typically the periodicity of the high electron density peak is at about the same frequency as that of the high electron density generator; although there may be doubling, and other effects that result in a differences between the two. For example the generator may operate at a wavelength of from about 10 microns to about 0.1 micron. The generator can also operate at wavelengths of x ray and gamma ray to reach higher electron densities. The power for these generators is minimal, requiring about 1 mW to about 10 mW, and generally less than about 1 mW per discontinuity. A laser may also be directed on the discontinuity and establish a similar forward and reverse flow of electrons to establish an area of high electron density peaks. Laser wavelengths of from about_10 microns_to about_0.1 micron_, The laser power for the laser beam can be from about_1_nW to_1_mW, about_1_mW to about_10_mW and generally less than about_1_mW. The base structure can be coated with, or made from a material, that is selected to optimize the laser material interaction and more preferably to optimize both the laser material interaction and the flow of electrons. In addition to the laser, microwave generator and RF generator, other manners of, devices for, generating the region of high density electrons can be used, for example magnetrons with cavities which can bundle electrons together to high densities or nonlinear effects in an electron-beam plasma where the electron wave can collapse three dimensionally to very small locations with high electron densities. The region of high density electrons, in particular embodiments, can have particle densities of 1015/cc or more, 1018/cc or more, 1020/cc or more, 1022/cc or more, and about 1023/cc or more. The electric field for these regions can be greater than about 108 V/m (volts/meter), greater than about 109 V/m, greater than about 1010 V/m and greater than about 1011 V/m. The fusion fuel material may be, for example, hydrogen-1, boron-11, lithium-6, lithium-7, deuterium, helium-3, nitrogen-15, tritium. It may be advantageous to use molecular compounds that are good electron emitters, for example boron nitride or lanthanum hexaboride or cerium hexaboride and combinations and variations of these and other types of materials. It should be understood that the figures in this specification are generally representative of very small components (e.g., micron, nano, and sub-nano scale sizes). Thus, the figures are not to scale, and are illustrative of the relationships, structures and components of the various embodiments, and should be viewed as part of, and in the context of, the entirety of the teachings of this specification. Turning to FIG. 1 there is shown a perspective view of a section of an embodiment of a base structure 110 for use in an embodiment of a fusion device of the present invention. The base structure 110 is a tubular electrode 100, which has a tapering section 101, to form a tip 102, e.g., a point, which is a discontinuity. When a high-density electron generator (not shown) is applied to the electrode 100, electron movement as shown by double arrow 103 occurs. With the arrow 103a toward the tip 102 being the forward electron movement and the arrow 103b toward the tubular section being the reverse electron movement. The area of high electron density is shown as 102 and the high electric field region is 104. Although it is presently believed that this is primarily a surface effect, the scope of protection to the present inventions should not be so limited. The movement of the electrons is preferably collective, coherent, and both. Thus, it is theorized that this collective and coherent motion of electrons is similar to, and may be, the type of electron movement exhibited in superconductive materials. This collective and coherent electron motion, of the present inventions, takes place at room and elevated temperatures. Thus, the present invention provides for ambient temperature and above superconductivity, and superconductivity like behaviors in the movement of electrons. Turning to FIG. 1A there is shown a cross sectional schematic view of the tip 102 and tapering section 101 of electrode 100. The substructure 105 of the base structure 110, has fusion fuel materials, e.g., 106a, 106b, 106c, 106d. In operation it is believed that the creation of the area of high electron density enables, facilitates, or furthers the fusion reaction of the fusion fuel. It is theorized that among other things, the presence of the high electron density lowers the coulomb barrier, and preferably creates a negative well, that permits the fusion fuel, e.g., 106b, 106c, to fuse. Further, it is theorized that the highly localized electron density, creates a ponderomotive force that drives the fusion fuel together, and also drives the electrons into the substructure of the base material, enhancing the fusion reaction of the fusion fuel. In one of the embodiments of the present invention, as shown in FIG. 7, there is a three layer structure that will produce large electric field enhancement. The geometry of generalized discontinuity is shown in FIG. 7. By using a femtosecond laser, the local surface plasmon (LSP) is excited. By optimizing parameters, a large field enhancement at resonant frequency was observed. Calculation result shows that near the Pd layer, the field enhancement induced high electric potential which have the same magnitude of coulomb barrier between two deuterium nucleis, which means it can overcome the coulomb repulsion and extremely enhance the probability of fusion reaction. In another embodiment, the excitation source, e.g. laser, diode laser, or RF/microwave generator, is integrated in or near the base structure of the device to optimizing coupling of radiation and miniaturization of the device. The submicron controlled fusion device can be associated with a device for generating electricity. The devices would include, for example, sensor chips that have been adapted to generate a current, voltage or both in response to the heat generated by the fusion reaction, in response to the charged fusion product particles generated by the fusion reaction and both. Thus, as examples, (A) a radiation detection type diode can be adapted to produce electricity from the fusion products, (B) a thermoelectric device could convert a portion of the heat energy into electric current, (C) a fluid could be forced to flow, expand or incur a phase change so as create some electricity or other useful energy. The devices for generating electricity from the controlled fusion reaction could also include (D) mechanisms to slow the resulting charged particles by an electromagnetic field so as to effect a direct conversion to electricity and (E) mechanisms to put small electrodes adjacent to the discontinuity so as to have charged particles collide with one or the other of the electrodes and thereby induce a current or to recharge a connected battery or capacitor. The foregoing means could include combinations of the foregoing. For example, if the means of direct conversion to electricity was only partially efficient, it could be deployed in combination with a thermoelectric device to create electricity from the heat remaining after deployment of the direct conversion mechanism. Although not required, the electrodes of the device could also be located in, or have a source of fusion fuel around their exterior, such as being contained in a closed micro-vessel, filled with, or having hydrogen flowed through it. Generally, the term “about” is meant to encompass a variance or range of ±10%, the experimental or instrument error associated with obtaining the stated value, and preferably the larger of these. Embodiments of the present inventions may utilize quantum, electrostatic, mechanical, or other effects including, among other things, large E-fields, high electron densities, ponderomotive forces, modification or change of the Coulomb barrier, modification or change of the reaction cross section, space charge or electron shielding effects, the use of neutrals, ion-neutral coupling, nuclear magnetic moment interaction, spin polarization, magnetic dipole-dipole interaction, high particle density materials, compression forces associated with centrifugal forces or ponderomotive forces, phase transitions of hydrogen, positive feedback mechanisms, and modification and variations of these and other effects. All references in this specification to modifying, changing, lowering, reducing or eliminating the barrier include means by which the Coulomb barrier is offset by, or its effect is reduced by, the presence of one or more other features (e.g., high electron densities) even though the Coulomb barrier itself (independent of such features) remains unchanged. It is noted that there is no requirement to provide or address the theory underlying the novel and groundbreaking fusion methods, devices and systems that are the subject of the present inventions. Nevertheless, these theories are provided to further advance the art in this important area. The theories put forth in this specification, unless expressly stated otherwise, in no way limit, restrict or narrow the scope of protection to be afforded the claimed inventions. These theories many not be required or practiced to utilize the present inventions. It is further understood that the present inventions may lead to new, and heretofore unknown theories to explain the fusion methods, devices and system of the present inventions, and such later developed theories shall not serve to diminish or limit the scope of protection afforded the claimed inventions. Modification or Change of the Coulomb Barrier In order to fuse, two nuclei must come into contact; however, nuclei are very small (on the order of 10−15 m), and because they are positively charged, they are electrostatically repulsed by one another. The potential energy curve of a two particle system 501 in which a first nucleus 502 is approaching a second nucleus is illustrated in FIG. 5A. On the horizontal axis, x is the distance between the two nuclei. The system potential 501 is near zero when the first nucleus is located far away from the second nucleus, and increases as the first nucleus approaches the second nucleus. The system potential 501 is the sum of the repulsive (positive) Coulomb potential and the attractive (negative) strong nuclear force potential. Once the two nuclei are very close, at distance xn apart (where xn is approximately equal to the sum of the radii of the two fusing nuclei), the system potential 501 becomes negative due to the effect of the strong nuclear force. Thus, the term “Coulomb barrier” is used to describe the difficulty of bringing the two nuclei into contact, either by getting through or getting above the potential curve shown in FIG. 5A. FIG. 5A labels the kinetic energy of the two-nucleus system, “∈,” as expressed by: ϵ = 1 2 ⁢ m r ⁢ v 2 where ν=ν1−ν2, ν1 and ν2 are the velocities of the two nuclei, and mr is the reduced mass of the system, given by: m r = m 1 ⁢ m 2 m 1 + m 2 5b. where m1 and m2 are the masses of the two nuclei. Classical mechanics holds that, when the nuclei are approaching one another, ∈ must be greater than the height of the Coulomb barrier for the nuclei to come into contact. However, quantum mechanics allows for “tunneling” through a potential barrier, thus making fusion reactions possible when ∈ is below this threshold. However, the magnitude of the barrier still presents an impediment to tunneling, such that reactions with larger Coulomb barriers (e.g., higher, wider, or both) are generally less likely to occur than those with smaller barriers. Embodiments of the present invention may lower or reduce the Coulomb barrier, and may eliminate it to the extent of creating a well, by creating, modifying, or utilizing effects that have negative (attractive) potentials. Such a negative potential is illustrated in FIG. 5B. In this figure, a negative potential 505 is shown, and the additive effect of the negative potential 505 and the initial system potential 503 creates a new, resultant system potential 504, in which the Coulomb barrier is lower. Thus, for example, embodiments of the present invention may lower or reduce the Coulomb barrier through the use of effects such as: space charge or electron shielding effects; large E-fields, high electron densities, the use of neutrals; ion-neutral coupling; or nuclear magnetic moment interaction, spin polarization, or dipole-dipole interaction effects; and combinations and variations of these and other effects. FIG. 5C illustrates the resultant system potential 504 that arises from combining the initial system potential 503 with ponderomotive force 506, an electron shielding potential, e.g., the high density electrons and large E-field 507, and a nuclear magnetic moment interaction potential 508. Each of these alone and in combination reduces the Coulomb barrier, which makes it easier for the nuclei to tunnel through or overcome the potential barrier, thus increasing the probability that the fusion reaction will take place. Ponderomotive Force In general, a ponderomotive force is a force that is created from an oscillating electric field. The ponderomotive force affects both positive and negative charged particles the same, i.e., moving them in the same direction. Thus, the ponderomotive force is a rare case where the sign of the particle charge does not change the direction of the force, unlike the case with the Lorentz force. Thus, in embodiments of the present invention the ponderomotive force has the effect of crushing, or compacting the substructure containing the fusion fuel forcing the fusion fuel into contact and to fuse. The ponderomotive force Fp is expressed by F p = - e 2 4 ⁢ ⁢ m ⁢ ⁢ ω 2 ⁢ ∇ E 2 where e is the electrical charge of the particle, m is the mass, ω is the angular frequency of oscillation of the field, and E is the amplitude of the electric field. (Note, to clarify the above formula, that the gradient—E2—is in the numerator).From this equation it is readily seen that the high E results in a strong ponderomotive force. However if the E field is at a high frequency, such as above the ion plasma frequency, then only the light electrons will be influenced by these fields. The heavier ions will nonetheless be influenced by the electron motion through the ambipolar electric field, which is the DC field generated when electrons are separated from the ions.Electron Shielding An advantage of using weakly ionized plasma is that the reactants largely comprise neutral atoms. The electrons interposed between the nuclei shield the repulsive Coulomb force between the positively charged nuclei. This phenomenon affects the Coulomb repulsion and may reduce the Coulomb barrier. In addition, using reactants that are highly efficient electron emitters introduces a cloud of electrons, a negative space charge, between the positively charged reactants, which further enhances this shielding effect. In an embodiment of the present invention, the high density electrons are driven by ponderomotive forces into the substructure, amongst the fusion fuel. It is believed that these electrons in the substructure provide an electron shielding effect which reduces the Coulomb barrier and enhances the fusion reaction rate. In a further embodiment, there is present in the system a material with a geometry or surface profile that creates non-uniform electric fields. Thus, by way of example, a surface with a dendritic profile may be desirable to generate very high localized electric fields for fusion. Nuclear Magnetic Moment Interactions Many nuclei have an intrinsic “spin,” a form of angular momentum, which is associated with their own internal spinning motion and resultant current. The magnetic field lines form as though one end of the nucleus were a magnetic north pole, and the other end were a magnetic south pole, leading the nucleus to be referred to as a “magnetic dipole,” and the strength and orientation of the dipole described as the “nuclear magnetic moment.”, which is represented as a vector. Nuclear magnetic moments play a role in quantum tunneling. Specifically, when the magnetic moments of two nuclei are parallel, an attractive force between the two nuclei is created. As a result, the total potential barrier between two nuclei with parallel magnetic moments is lowered, and a tunneling event is more likely to occur. The reverse is true when two nuclei have antiparallel magnetic moments, the potential barrier is increased, and tunneling is less likely to occur. When the magnetic moment of a particular type of nucleus is positive, the nucleus tends to align its magnetic moment in the direction of an applied magnetic field. Conversely, when the moment is negative, the nucleus tends to align antiparallel to an applied field. Most nuclei, including most nuclei which are of interest as potential reactants, have positive magnetic moments (p, D, T, 6Li, 7Li, and 11B all have positive moments; 3He, and 15N have negative moments). In an embodiment of a controlled fusion device a magnetic field may be provided that aligns the magnetic moments in approximately the same direction at every point within the device where a magnetic field is present. This results in a reduction of the total potential energy barrier between nuclei when the first and second working materials have nuclear magnetic moments which are either both positive or both negative. It is believed that this leads to an increased rate of tunneling and a greater occurrence of fusion reactions. This effect may also be referred to as spin polarization or magnetic dipole-dipole interaction. In addition, the gyration of a nucleus about a magnetic field line also contributes to determining the total angular momentum of the nucleus. Hyperpolarization of Nuclei. Nuclei such as 3He can be polarized by collisions with alkali metal vapors or directly by RF fields in a weak magnetic field. This process can bring more than 90% of 3He atoms all aligned along the same direction, thereby increasing the attractiveness among them. The 3He 3He fusion reactions lead to the formation of 4 He atom plus two energetic protons, a very desirable fusion reaction, because there are no neutrons in the product and the energy yield is very high, above 10 MeV. Thus, although magnetic fields are not necessary with preferred embodiments of the present invention, e.g., “amagnetic”—a device free of additional, induced or provided magnetic fields, to obtain a controlled fusion reaction, they may be utilized to enhance, or optimize the fusion reaction and the performance of the device. Modification or Change of the Reaction Cross Section The probability of a fusion reaction between a pair of nuclei is expressed by the reaction cross section, “σ.” The cross section is typically measured in experiments as a function of ∈ (energy) by bombarding a stationary target of nuclei with a beam of nuclei. The cross section is normally defined such that: σ = B I where B is the number of reactions per unit time per target nucleus, and I is the number of incident particles per unit time per unit target area. When cross section is defined and measured in this way, each fusion reaction will have a certain, specific cross section at a particular ∈ for a given system. The fusion reaction rate per unit volume in a particular reactor is normally described by: R = n 1 ⁢ n 2 1 + δ 12 ⁢ 〈 σ ⁢ ⁢ v 〉 Here δ12=1 if the first nucleus and the second nucleus are the same type of nuclei (e.g., deuterium is being fused with deuterium) and δ12=0 otherwise, and (σν) is the “averaged reactivity” of the system, defined as: 〈 σ ⁢ ⁢ v 〉 ⁢ ∫ 0 ∞ ⁢ σ ⁡ ( v ) ⁢ vf ⁡ ( v ) ⁢ ⁢ dv where f(ν) is the distribution function of the relative velocities, normalized in such a way that ∫ 0 ∞ ⁢ f ⁡ ( v ) ⁢ ⁢ dv = 1.When the second nucleus is at rest, <σν>=σν; however, the preceding definition accounts for situations in which the second nucleus moves, and each pair of interacting nuclei may have a different relative velocity ν. The rate of fusion energy release is then given by: dW dt = REwhere W is the total fusion energy per unit volume released and E is the energy released by a single reaction (E=8.68 MeV in the case of p-11B fusion). The probability of the two nuclei coming into contact through a quantum tunneling event is described by the tunneling barrier transparency, “T,” such that a higher value of T corresponds to greater likelihood of tunneling. Since tunneling is the primary mechanism by which fusion occurs, cross section is proportional to T (δ∝T). T is approximated by: T ≈ e - ϵ G ϵ where e is Euler's number, and ∈G is the modified energy of the Coulomb barrier. When the two nuclei are a distance x≥xT apart, ∈G is described by: ϵ G ∝ ∫ x n x T ⁢ q 1 ⁢ φ ⁡ ( x ) ⁢ dx Here, q1 is the charge of the first nucleus, φ(x) is the potential expressed as a function of x, and xT is the classical turning point at which φ(xT)=∈. As a result of these relationships, a higher value of φ (e.g., larger Coulomb barrier) will tend to translate into higher ∈G, which in turn will tend to lead to lower T, lower σ, lower R, and, when E>0, lower dw/dt for any specific system. Thus, systems in which potential φ is high will tend to experience fewer fusion events and lower fusion energy release rates, and systems in which potential φ is low will tend to experience more fusion events and higher fusion energy release rates. As discussed above, reducing the Coulomb barrier is equivalent to reducing potential φ, and embodiments of the present invention may employ these techniques to generally increase the cross section, σ; this also increases the fusion reaction rate. High Particle Density An embodiment of the present invention, instead of creating a strongly ionized plasma to obtain a high particle density, loads the substructure with significantly more, e.g., high density of, particles than is believed to be obtainable by any plasma. As the particles are essentially held in a solid, or are a solid material, this approach does not give rise to plasma instabilities, and so particle density (n1 and n2) can be many orders of magnitude higher than with a strongly ionized plasma, and many orders of magnitude higher than obtainable with weakly ionized plasma where its neutral density is at least 1017/cm3. In an embodiment of the present invention, particle density is throughout the entire volume of the device. In addition, an embodiment of the present invention uses boron compounds in a solid form, which have a particle density on the order of 1023/cm3. Thus, in the region where fusion reactions are thought to be concentrated, the present invention achieves particle densities in a physical container many orders of magnitude greater than other methods known in the art (for example, it is believed that Tokamak reactors have not achieved sustained particle densities greater than about 1014/cm3). An advantage of the present inventions is that they effectively suppress radiation losses due to electron bremsstrahlung. Conventional fusion reactors such as Tokamaks employ hot, highly ionized plasma. Electron-ion interaction, resulting in bremsstrahlung and cyclotron radiation, is a significant source of energy loss and is one of the reasons such systems have not been able to satisfy the Lawson criterion. However, the high-density, lightly ionized, and colder plasma employed in embodiments of the present inventions suppresses electron mobility and greatly reduces radiative losses. Phase Transition of Hydrogen Under High Pressures Hydrogen atoms under high pressure compression can become liquid or solid metals, depending on the compressional forces and their states of rotation. In either the liquid or solid states, the density is many orders of magnitude higher than that in the gaseous state. The total reaction rate will be correspondingly higher according to the product of the particle densities of the two reactants. In addition, metallic hydrogen becomes highly conductive or even a superconductor with zero resistance. This increases the overall conductivity of the entire system, lowering the resistive loss and the input energy required. Thus, the overall efficiency of such a system is greater, making it easier to attain a large Q factor and the corresponding energy gain. Positive Feedback The present invention may generate particles during operation. In some cases these particles may provide benefit to the device's function. In embodiments utilizing ionized particles, the creation of ionizing radiation may further enhance additional fusion by increasing, modifying, maintaining, or improving the ionization or a working material or plasma. The key feature of this new fusion concept depends on the screening effect of electrons around the neutrals. It is expected that the fusion process will release more electrons through heating or collisions with fusion products. These processes could cause larger electron density fluctuations, including Langmuir collapses [1, 12]. This type of positive feedback generates stronger screening effects and could create sustainable fusion process for energy production. The following examples are provided to illustrate various embodiments of controlled submicron fusion methods, devices and systems of the present inventions. These examples are for illustrative purposes, and should not be viewed as, and do not otherwise limit, the scope of the present inventions. Turning to FIG. 2 there is shown a schematic of a submicron controlled fusion device 200. The device 200 has an electrode 201a, which is part of the base structure 201. The device 200 has a second electrode 201b, which is also part of the base structure 201. The electrodes 201a and 201b have substructures that contain the fusion fuel. The electrodes 201a, 201b, have tips 203a, 203b, which are discontinuities. Electrode 201a is connected to high density electron generator 204 by lead line 202a. Electrode 201b is connected to high density electron generator 204 by lead line 202b. The high density electron generator 204, in the embodiment of this example is an RF generator operating at 1.63 GHz. The dimensions for the device 200 are provided in the figure and are in inches. Turning to FIG. 3 there is shown a schematic representation of the electron fields that will be generated by the device 200. FIG. 3 is a plan view, looking down the y-axis, of the tip 203b and electrode 201b. It being understood that a similar electron field will be generated by electrode 201a. The E fields generated are represented by the various color areas, area 220 is 3000 α V/m, 221 is 2500 α V/m, 222 is 2350 α V/m, 223 is 1500 α V/m, and 224 is 650 α V/m where α is a proportional constant which depends on the generator voltage. The embodiment of example 1, has the electrodes made from palladium, and are coated with a thin layer of gold. The fusion fuel is a 50:50 mixture of hydrogen-1 and deuterium, and loaded to a particle density of 1022−/cc. The device of Example 2 has been operated in a cloud chamber to test the behavior of electrons. According to theory and past experiments the cloud chamber will show the emission of fusion product particles from the electrodes. The fusion products will include helium-3. A submicron controlled fusion device is associated with a detection chip that has been adapted to convert the fusion product particles into electricity. The electrical generation assembly of Example 4 powers a circuit in an electronic device. The electronic device can be a cell phone, a hearing aid, a pace maker, a glucose pump, an in situ diagnostic and metering system for the sensing of conditions, and delivery of medicaments. In embodiments, the device could be an independent unit with a primary function of providing electricity and/or heat to some other device (e.g., computers, cars, homes, etc.) including, by being connected to provide electricity and/or heat temporarily to one device (e.g., a car) and then disconnected from that device and connected to another device (e.g., a home). The development of such independent devices could also allow the rollout of electricity to lesser developed countries without the concurrent need to build transmission and distribution systems in the same way that lesser developed countries were able to build communications networks primarily by wireless means without having to build the wire infrastructure that the developed countries had built for communications prior to the development of wireless technologies. Turning to FIG. 4, there is shown a schematic diagram of a submicron fusion device of the present inventions in a vacuum chamber testing assembly. Turning to FIG. 6, there is shown a perspective schematic view of an array 600 of several hundred substrates e.g., 601a, 601b that have been arranged on a planer support structure 603. The substrates each have a discontinuity, e.g., 602, which in this embodiment is a micro-point or tip. Each substrate, which in this embodiment is an electrode loaded with a fusion fuel, is subjected to a high electron density generator, which when activated drives the fusion reaction. The collective energy from the array can then be converted into electrical energy, or other forms of energy as may be required. The substrate in this embodiment can be Silica, Silicon carbide, or other suitable substrate. We assume a model of a dipole antenna driven by an oscillating source of peak voltage of Vosc=300V at a frequency of 2 GHz. During each cycle electrons are driven to the tapered tip of a dipole which has a radius of a=10 nm and a lateral area of A=0.1 u×0.1 u (where u is one micron). The oscillating electric field, Eosc, is given approximately by Vosc/a. This high frequency field acts only on electrons and gives rise to a ponderomotive force Fe as a result of the gradient of the electric field intensity7 Fe=−wpe2/w2[grad ε0E0sc2/2] newtons/m3 Electrons undergoes a drift motion driven by this ponderomotive force Fe; the ambipolar electric field generated by the separation between electrons and ions transmit the same force to ions. The force experienced by an ion, fion, is obtained by dividing the ponderomotive force, Fe, by the density of ions:fion=−ne/ninf[grad εoEosc2/2] newtons, where nf=εow2me/e2 For ne/ni˜1, nf=1.6×1025/m3, taking gradient length ˜10 nmfion˜8.8×10−121030/3.2×102510−8˜27 N. The equivalent potential felt by the ion is then ϕ=fionx/q =2.7×106 volts, the distance x between two D atoms being taken to be 10−14 m where the repulsion barrier is greatest. The equivalent potential is 2.7×106 volts which is of the order of the Coulomb barrier, resulting in fusion through quantum tunneling. Consider nano-Au particles of 30 nm diameters. Lasers of wavelengths corresponding to 2-4 eV energy are used to excite surface plasmons. Laser was focused onto surface of nano-particles and excite Surface Plasmons. Enhancement of near electric field was observed to be 100 from plasma resonance. Consider a pulsed 1 ns and 1 J laser focused to 50 nm: from balance of energy flowCεoE2osc=Po/A watt/m2 E2osc˜1.5×1026 V2/m2 Taking the observed enhancement of E by 102 via SP resonance E2osc−1030 V2/m2 Laser-excited E2 is 109 larger than previous electric dipole excitation at microwave frequencies. However the number density of ions is larger. In an embodiment the fuel loaded into the base structure is a radioisotope. In this embodiment the decay of the radioisotope is regulated. In general, the Coulomb barrier acts as an impediment to the decay of radioisotopes. The mechanisms described in these embodiment could be deployed to reduce the Coulomb barrier so as to cause the decay of a radioisotope to occur at a faster rate than the natural “half-life” for such radioisotope. The ability to increase the rate of decay of a radioisotope could be useful for the treatment of fission nuclear waste. One particularly favorable application would be to isolate and treat the most dangerous materials (whether elements or isotopes) with long half-lives so as to reduce such materials to stable (or at least less dangerous) elements or isotopes and to avoid having to construct storage mechanisms that need to be effective for very long periods (often many generations). The ability to increase the rate of decay of a radioisotope could also be useful to create a device that would rely on the release of charged energetic particles for the production of electricity. By being able to increase the rate of decay of a radioisotope, the power output of the device could be materially increased without having to increase the amount of radioisotope that would need to be loaded into the device. In an embodiment a computer simulation program is used to simulate fusion reactions, determine the characteristic of such reactions, determine candidates for fusion reactions, simulate and model the events arising from utilization of the submicron and other fusion processes and devices disclosed herein and incorporated herein by reference. The computer simulation system has a computer, having a processor, a memory or storage and a human machine interface. The system has a program, data and both, associated with (e.g., the program, and the data, could be resident on the machine, on a server, in the cloud, etc.) it. Preferably the program has the following packages to provide calculations and present predictive data and information. These packages may be based upon actual data that is provided or stored in the system, from published data and from observed data. The program preferably has the following: a package for utilizing, modeling and both, high speed cylindrical rotation, and associated centrifugal forces; a package for utilizing, modeling and both, an array of electron emitters, which can be programmed to control the number of emitted electrons; a package for utilizing, modeling and both, fusion reactions and interactions, in the sub-atomic domain, including the collective behavior of electrons, ions and neutrals, the dynamics and interrelationship of the particles; a package for utilizing, modeling and both, diagnostics such as NMR, mass analyzers, chemical analyzers, and optical analyzers; a package for utilizing, modeling and both, electromagnetic radiations, basic interactions and controls for these radiations; a package for utilizing, modeling and both, energy production products to be (that are capable of, or their capability to be) transformed to electricity; a package for utilizing, modeling and both, heat energy and accounting for this energy and its management and utilization; and package for utilizing, modeling and both, the transformation between heat and electrical power, which would include thermoelectric effects. The various embodiments of devices, methods and systems set forth in this specification may be used for various operations, other energy production, including the formation of materials. Additionally, these embodiments, for example, may be used with systems and operations that may be developed in the future; and with existing systems and operations that may be modified, in-part, based on the teachings of this specification. Further, the various embodiments set forth in this specification may be used with each other, in whole or in part, and in different and various combinations. Thus, for example, the configurations provided in the various embodiments of this specification may be used with each other; and the scope of protection afforded the present inventions should not be limited to a particular embodiment, configuration or arrangement that is set forth in a particular embodiment, example, or in an embodiment in a particular Figure. The invention may be embodied in other forms than those specifically disclosed herein without departing from its spirit or essential characteristics. The described embodiments are to be considered in all respects only as illustrative and not restrictive.
047626720
abstract
In a fast breeder reactor provided with a core comprising a core region packed with a fissile material and a blanket region which surrounds the outside of said core region and most of which is formed of a fertile material, and a plurality of control rods which are put in and out of the above core region by a control rod driving device, the fast breeder reactor of the present invention is characterized in that each of said control rods is constructed of a neutron absorber region packed with a neutron absorber and a gas region disposed in the end portion on the side further separated from said control rod driving device than said neutron absorber region. With this invention, the spectral shift effect can be produced by utilizing said gas region, thereby increasing the core reactvity of the fast breeder reactor.
048291897
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Now, preferred embodiments of the present invention will be described in detail in the following based on the examples of the inventive apparatus as shown in the drawings. EXAMPLE 1 An example of the present inventive apparatus for low-temperature plasma treatment of a sheet material shown in FIGS. 1-3 is for the treatment in continuous type under reduced pressure. In FIG. 1, 1 is a reaction chamber under severely reduced pressure. 2 is a long sheet material to be treated such as a cloth, a non-woven fabric and a film. 3 are a pair of seal mechanisms provided at both sides of the reaction chamber 1 for passing said sheet material therethrough while maintaining the interior of the reaction chamber 1 under severely reduced pressure. 4 are a plurality of flat type reaction zones (four members in this example) through which the sheet material 2 can be transported continuously by means of a plurality of guide rolls 5. 6 is a vacuum pump attached to the reaction chamber 1 for evacuating the interior thereof. Said reaction zone 4 is provided as shown in FIGS. 2 and 3 respectively with a pair of openings 7 at the upper and the lower ends thereof for passing the sheet material therethrough, and these openings 7 are provided respectively with a seal mechanism (not shown in the figure) such as a lip seal or a labyrinth seal for maintaining the interior of the reaction zone 4 under reduced pressure while allowing the passage of the sheet material 2 therethrough. As shown in FIGS. 2 and 3, at the central part in each of the reaction zones 4, an electrode for generating low-temperature plasma 8 is provided. 9 is a gas supply pipe for supplying a gas for generating plasma into the interior of the reaction zone 4, and a plurality of gas jet nozzles 10, which are extending along the width direction of the sheet material passing through the reaction zone 4, are attached to the gas supply pipe 9. 11 is a lead wire comprises a shielded wire coming from a high frequency generating device 12 outside of the reaction chamber and connected to the electrode 8 at its coaxial cable part 11a which is covered with a wire netting 11b by putting an insulator such as made of polyethylene therebetween, and said wire netting 11b is connected to the reaction zones 4. The connection of the shielded wire 11 to the electrode 8 in this kind will be described in Example 3 in the following. The reaction chamber 1 and the reaction zones 4 are earthed. The construction of the apparatus for low-temperature plasma treatment of a sheet material in this example is as above described. Now, its function will be illustrated in the following. In the first place, the vacuum pump 6 is operated for evacuating the reaction chamber 1 including the reaction zones 4 to a reduced pressure of, for instance, about 5.times.10.sup.-2 Torr. Then, a gas for generating prescribed plasma is supplied from the gas supply pipes 9 into each of the reaction zones 4, and simultaneously high frequency with a frequency of, for instance, 1 KHz to 300 MHz is supplied to the electrodes 8 from the high frequency generating device 12. Thus, low-temperature plasma gas is generated in the reaction zones 4. In passing the sheet material 2 successively through each of the reaction zones 4 as shown in the figure under such a condition, the surface of the sheet material 2 is activated and the low-temperature plasma treatment in object can be done satisfactorily. According to the apparatus in this example, each of the reaction zones 4 maintained with low-temperature plasma gas is protected in the reaction chamber 1, the reaction chamber 1 lies constantly under reduced pressure in connection with the vacuum pump 6, and the gas for generating plasma is supplied continuously from the gas supply pipes 9 into the reaction zones 4, so that the inner pressure of the reaction zones 4 is always not lower than the inner pressure of the reaction chamber 1 itself. Therefore, there is no danger that each of the interior of the reaction zones 4 is contaminated with the exterior atmosphere (air or by-product gas), and thus the apparatus in this example has a characteristic that the interior of the reaction zones 4 can be kept always with an atmosphere of high quality low-temperature plasma gas constantly and the low-temperature plasma treatment of a sheet material can be done effectively. Further, since each of the reaction zones 4 is of a small size with a flat shape and is not connected directly to the vacuum pump 6, the contact effect between the sheet material 2 and the low-temperature plasma can be elevated and there is no chance that the plasma gas is abandoned in vain. Therefore, the utilization efficiency of the low-temperature plasma is elevated and the consumption of energy for generating said gas can fully be spared. Moreover, since the electrodes 8 are surrounded respectively with a conductive reaction zone 4 which is earthed in an adjacent state and further said reaction zones 4 are surrounded with the earthed reaction chamber 1, the leakage of high frequency and plasma can be prevented effectively. Consequently low-temperature plasma can surely be generated only in the interior of the reaction zones 4 to elevate the utilization efficiency of the low-temperature plasma generated, and simultaneously the harmful influence of high frequency from outside of the reaction chamber can perfectly be prevented. By the way, while the construction of the reaction chamber in this example is for the use of continuous treatment of a long sheet material, the reaction chamber may be of a batch-type structure provided with a pair of taking-up chambers of a long sheet material on both sides thereof. EXAMPLE 2 Another example of the present inventive apparatus as shown in FIGS. 4 and 5 is for the use of the low-temperature plasma treatment in batch type. The description will be given for the treatment of a cloth, but the apparatus can also be applicable of the treatment of such materials as other textile products and film materials, and the apparatus may be modified for the use of continuous treatment of a long sheet material. In FIG. 4, 21 is a batch-type reaction chamber, and 22 and 23 are taking-up chambers of a cloth. The interior of the reaction chamber 21 is communicating to the cloth taking-up chambers 22 and 23 via cloth passages 24 respectively provided at each side of the reaction chamber 21 so as to take up a cloth to be treated, 25, coiled on a reel in one of the taking-up chambers into the other taking-up chamber via the reaction chamber 21. 26 are a plurality of guide rolls provided up and down in two series in the reaction chamber 21 so as to transport the cloth 25 due to the effect of these guide rolls 26 through the reaction chamber 21 zigzag forming snaky undulations. 27 and 28 are a plurality of electrode plates respectively forming a pair provided in adjacent to both sides of the cloth 25 passing through the reaction chamber 21 by means of said guide rolls 26. To the electrode plate groups on one side, for instance the group 27, high frequency is supplied from a high frequency generating device 29, and the other electrode plate group, for instance the group 28, are earthed. 30 is a rotary pump for supplying a gas for generating plasma into the reaction chamber 21, and 31 is an exhaust pipe. The pressure in the interior of the reaction chamber 21 is reduced, for instance, to 0.6-0.7 Torr by driving a vacuum pump (not sown in the figure). Then, a gas for generating plasma such as air, oxygen and the like is supplied via the rotary pump 30 into the reaction chamber 21 in such an extent as to control the vacuum degree in the reaction chamber to about 1 Torr, and high frequency, for instance, with a frequency of 13.56 MHz is supplied from the high frequency generating device 29 to the electrode plates 27 and 28 for generating low-temperature plasma. By transporting the cloth 25 through the space between the electrode plates 27 and 28 under the gas atmosphere excited with the plasma thus generated; the plasma treatment of a cloth in object can be done effectively. It is desirable that plasma treatment is done uniformly all over the cloth 25 in passing the cloth to be treated 25 through the plasma gas atmosphere, and it is desirable particularly for this purpose, as already stated, that allover the cloth is under a constant temperature. In the present example, therefore, for the purpose to maintain the temperature of the cloth passing through the reaction chamber 21, it is designed so that the temperature of the guide rolls 26 for transporting the cloth and the electrode groups 27 and 28, which are provided on both sides of the cloth passing the cloth therethrough, can be controlled to a prescribed temperature constantly. Namely, said guide rolls 26 are made into a hollow structure and a liquid medium passage with a snaky undulation, 32, for instance as shown in FIG. 5, is attached in contact with the surface of the electrode plates 27 and 28 so as to flow a heating or cooling medium in a liquid medium tank 33 through the interior of the guide rolls 26 and along the electrode plates 27 and 28 by deriving a pump 34. In FIG. 5, 35 is a flow rate control valve for controlling the flow rate of the heating or cooling medium to the guide rolls 26 and the electrode plates 27 and 28, and this flow rate control valve 35 is operated due to the signal from the first temperature control box 36. 37 is a temperature sensor for measuring the temperature of the liquid medium coming from the guide rolls 26 and the electrode plates 27 and 28, 38 is a liquid temperature sensor for measuring the temperature of the liquid medium in a liquid medium tank 33, 39 is a heat exchanger in the liquid medium tank 33, 40 is a flow rate control valve for the liquid medium passing through the heat exchanger 39, and 41 is a second temperature control box for operating said flow rate control valve 40 based on the signal from the liquid temperature sensor 38. The liquid medium tank 33 is filled with either a cooling medium such as water or a heating medium such as a heated oil selectively according to the circumstances. 42 is an electromagnetic valve for supplying a cooling medium and 43 shows an electromagnetic valve for supplying a heating medium, and these electromagnetic valves 42 and 43 are operated automatically so as to maintain the amount of the heating or cooling medium in the liquid medium tank 33 always to a constant amount due to the signal from a level controller 44. 45 is a rotary joint for passing the liquid medium into the interior of the guide roller 26 with a hollow structure. The construction of the apparatus for low-temperature plasma treatment of a sheet material such as a cloth in this example is as above described. Now, its function will be illustrated in the following. In the case when it is intended to maintain the temperature of the cloth transported through the reaction chamber 21 constant at a relatively high temperature, for instance at 80.degree. C., the temperature of the first temperature control box 36 is established to 80.degree. C., the temperature of the second temperature control box 41 is established to a temperature higher than the temperature of the first temperature control box, for instance at 90.degree.-100.degree. C., and further the liquid medium tank 33 is filled with a heating medium at a temperature in the range of 90.degree.-100.degree. C. Then, the reaction chamber 21 is operated for generating low-temperature plasma, and simultaneously the guide rolls 26 and the electrode plates 27 and 28 are heated to a prescribed temperature by driving the pump 34 for passing a heating medium through the guide rolls 26 and the electrode plates 27 and 28. The temperature of the guide rolls 26 and the electrode plates 27 and 28 are controlled to a prescribed temperature by detecting the temperature of the heating medium coming out of the guide rolls and the electrode plates with the use of the temperature sensor 37 and opening or shutting the flow rate control valve 35 automatically based on the temperature of the heating medium thus detected so as to maintain the temperature of the cloth under treatment to a prescribed value. As a result, the cloth 25, which is guided by means of the guide rolls 26 and transported in adjacent to the electrode plates 27 and 28, is eminently treated with low-temperature plasma at a prescribed high temperature, and the characteristic properties of the product such as water absorption property and durability can remarkably be improved in general. While the cloth is heated in the above embodiment, it is possible inversely to maintain the temperature of the cloth passing through the reaction chamber 21 at low temperature. For instance, when the cloth to be treated comprises polyester fibers, its deep color dyeing can eminently be done in subjecting the cloth to low-temperature plasma treatment by cooling the cloth to the ordinary temperature or below. Further, when the cloth to be treated comprises low melting point fibers such as polypropylene fibers and vinylchloride fibers, there is a danger that the fibers are molten when the temperature of plasma treatment is elevated, deteriorating such properties as the feeling of the cloth produced. Thus, it is desirable in these instances to cool the cloth passing through the reaction chamber 21. In the case of cooling the cloth, too, similarly as in the preceding case, the temperature of the first temperature control box 36 is established to a temperature of, for instance, 20.degree. C., and the second temperature control box 41 to a temperature lower than the above temperature, for instance 15.degree. C., and further the liquid medium tank 33 is filled with a cooling medium at a temperature of about 15.degree. C. Then the reaction chamber 21 is operated for generating low-temperature plasma, and simultaneously a cooling medium is passed through the guide rolls 26 and the electrode plates 27 and 28 by driving the pump 34. Thus, the guide rolls 26 and the electrode plates 27 and 28 are cooled sufficiently to a prescribed temperature, and consequently, the plasma treatment of the cloth 25 can be done at a sufficiently low temperature satisfactorily. As above described, by applying the apparatus in this example, it is possible to control the temperature of the cloth to be treated to a desirable prescribed temperature in the course of low-temperature plasma treatment thereof, and therefore, the low-temperature treatment in object can uniformly and effectively be done. EXAMPLE 3 The example shown in FIGS. 6-9 relates to a feeding device of electric energy to the electrode for generating low-temperature plasma provided in an apparatus for low-temperature plasma treatment of a sheet material such as a cloth and a film as shown in Examples 1 and 2. In the figures, 51 is an example of the electrode for generating low-temperature plasma comprising two electrode plates 52 and 52' provided in parallel for instance as shown in Example 2. 53 is a shielded wire jointer fixed at one corner of the electrode 51 for connecting a shielded wire 57 to serve as a lead wire to the electrode 51. Said shielded wire jointer 53 is made of an electric conductor such as aluminium and, has a cold water chamber 54 in its interior which is provided with a cold water inlet opening 55 and a cold water outlet opening 56 communicating respectively thereto. The shielded wire jointer 53 is provided further with a connecting hole 59 for putting a lead wire 58 of the shielded wire 57 therein and an inserting hole 61 for putting an insulating layer 60 of the shielded wire 57, for instance, made of polypropylene therein. A screw hole 62 for binding the lead wire 58 therein and a screw hole 63 for binding the insulating layer 60 of the shielded wire 57 therein are provided respectively at the side of said connecting hole 59 and said inserting hole 61. Further, said electrode plates 52 and 52' are fixed to the shielded wire jointer 53 with the use of such a means as welding so as to allow the electrical contact with each other. By the way, 65 is a shielded net for the use of earthing coiled around the outer periphery of the insulating layer 60 of the shielded wire 57. In applying the feeding device in this example, the lead wire 58 of the shielded wire 57 is connected to the shielded wire jointer 53, and a water pipe 64 made of a highly insulating material such as Teflon is connected respectively to the cooling water inlet opening 55 and the cooling water outlet opening 56 of the cooling water chamber 54 for circulating cold water through the cold water chamber 54. Since the shielded wire jointer 53 is cooled continuously, even when the electrode plates 52 and 52' are heated (for instance up to nearly 100.degree. C.) due to the generation of low-temperature plasma, there is no danger that the shielded wire jointer 53 is heated up even to some extent. Moreover, there is also no danger that the insulating layer 60 of the shielded wire 57 is molten due to heating. Thus, the feeding device in this example has a distinguished effect for increasing the durability of the shielded wire. Similar feeding device may also be applied for another example of the present inventive apparatus for low-temperature plasma treatment of a sheet material such as shown in Example 1.
045270651
summary
FIELD OF THE INVENTION The invention relates to cast steel or cast iron containers for the long-term storage of irradiated nuclear reactor fuel elements or other radioactive materials. The containers have an external corrosion-resistant protective layer, preferably of a material such as ceramic, graphite or enamel. BACKGROUND OF THE INVENTION Containers for the long-term storage of radioactive materials must be mechanically stable, corrosion-resistant and sealed. The vessel of the container is therefore made from a material selected from the group including cast steel or cast iron to ensure that the container has the required mechanical stability. The resistance of cast steel or cast iron to corrosion is unsatisfactory for the purposes of long-term storage. It has therefore already been proposed that a corrosion-resistant protective layer be applied to the exterior of the cast steel or cast iron vessel of the container. Ceramic, graphite or enamel are suitable for forming the protective layer because of their good resistance to corrosion. It is also possible to use metallic corrosion-resistant layers which are applied galvanically or by thermal spraying. The operation of coating the large surface area of the vessel of the container is a technically expensive one. Due to the different properties of the material of the protective layer and the metal base body of the vessel of the container, there are differences in expansion, which result in stresses between the two contiguous surfaces. There is thus the danger of stress cracks being formed and the protective layer becoming detached. SUMMARY OF THE INVENTION In view of the foregoing, it is an object of the invention to provide a container of the kind described above which has a corrosion protective coating that is simpler to apply and that is less sensitive to differential expansion stresses of the two contiguous materials. A container of the invention for the long-term storage of radioactive materials such as irradiated nuclear fuel elements includes a vessel-shaped base body made of a material selected from the group including cast iron and cast steel. The base body has an outer surface and an opening through which the radioactive material to be stored therein is passed. According to a feature of the invention, rib means made of corrosion-resistant material is formed on the surface of the base body to partition the same into a plurality of surface segments. A protective corrosion-resistant layer covers each of the surface segments. A cover is adapted for sealing the opening of the vessel-shaped body. The rib means can be a plurality of ribs projecting upwardly from the surface of the base body; and the protective coating can be made of a material selected from the group including: ceramic, graphite and enamel. The ribs which project from the outside surface of the base body divide the outer surface of the base body of the container vessel into surface segments. Each surface segment is of a smaller area than the entire surface of the vessel and can therefore be more easily coated than the entire vessel. Differential expansion stresses between the corrosion protective layer and the base body can be absorbed by the ribs. The ribs also serve to enhance the adhesion strength of the corrosion protective layer because each surface segment of the corrosion protective layer is held fast between the ribs. In an advantageous embodiment of the invention, the ribs are metal ribs which are applied to the surface of the base body of the vessel by the process of surface-layer welding and are made of a cold-weldable corrosion-resistant material. This process is described, for example, in the text "Handbuch der Schweisstechnik" by J. Ruge, Volume I, Second Edition, page 170, published by Springer-Verlag (1980). It is noted that a cold-weldable material is a material which can be welded without the necessity of conducting a follow-up heat treatment operation. A cold-weldable corrosion-resistant material of this kind of which the ribs can be made is NiMo16Cr16Ti, which is known in Germany under the trade name "Hastelloy C-4." The surface segments which are thus formed between the ribs can now be coated with a corrosion-resistant material for example by enamelling or thermal spraying. If the metal ribs project beyond the surface of the corrosion-resistant protective layer, the latter is protected from mechanical loadings. It has been found particularly advantageous for the edges of the vessel to be provided with rib planting or cladding. The edges of a container are generally required to withstand a higher mechanical loading than the surfaces of the container. Applying corrosion-resistant protective layers at the edges of the container can lead to difficulty because it is possible that the protective layer can rupture and break away from the vessel body at these edges.
summary
description
The present invention relates to a method of tuning an ion beam in an ion implanter. A contemplated application of the present invention is in an ion implanter that may be used in the manufacture of semiconductor devices or other materials. In such an application, semiconductor wafers are modified by implanting atoms of desired species into the body of the wafer, for example to form regions of varying conductivity. Ion implanters are well known and generally conform to a common design as follows. An ion source generally comprises an arc chamber in which a plasma is generated. The plasma will contain ions of a desired species to be implanted. The conditions under which the ion source operates affects the plasma produced. For example, varying the operational parameters affecting the ion source may change the number of ions in the plasma, the composition of the ions in the plasma (e.g. by promoting cracking of molecules), and the energy of ions in the plasma. An extraction lens assembly produces an electric field that extracts ions from the ion source and forms a mixed beam of ions. Only ions of a particular species are usually required for implantation in a wafer or other substrate, for example a particular dopant for implantation in a semiconductor wafer. The required ions are selected from the mixed ion beam using a mass-analysing magnet in association with a mass-resolving slit. By setting appropriate operational parameters on the mass-analysing magnet and the ion optics associated therewith, an ion beam containing almost exclusively the required ion species emerges from the mass-resolving slit. This ion beam is transported to a process chamber where the ion beam is incident on a substrate held in place in the ion beam path by a substrate holder. The ions may be accelerated or decelerated and focused prior to implantation. Other ion optics may be included along the ion beam path to steer and shape the ion beam, and prevent loss of ion current from the ion beam. The various parts are operated under the management of a controller, typically a suitably-programmed personal computer or the like. A more detailed description of an ion implanter can be found in U.S. Pat. No. 4,754,200. Accordingly, there are many operational parameters associated with the ion implanter that influence the ion beam that reaches the wafer. In particular, control of these parameters is required to ensure a desired ion beam current, energy, size and shape. Moreover, any of these ion beam attributes may vary from implant recipe to implant recipe. Against this background, and from a first aspect, the present invention resides in a method of tuning an ion beam in an ion implanter, wherein the ion beam is dependent upon a plurality of operational parameters of the ion implanter, the method comprising: retrieving a set of operational parameters at least some of which are stored in a dynamic database; configuring the ion implanter according to the retrieved set of operational parameters thereby to provide an ion beam; optimising the ion beam by varying one or more of the operational parameters; and updating the operational parameters stored in the dynamic database that changed during optimisation. Thus, a dynamic database is provided that contains at least some of the operational parameters used to control the ion beam. Rather than the values of the operational parameters in the dynamic database being fixed, they are allowed to evolve after each optimisation. Advantageously, this means that the ion implanter adapts to changes in the ion implanter over time. In particular, the changes in the ion source caused by wear may be accommodated by allowing the operational parameters stored in the dynamic database to evolve to suit these changes. Updating the operational parameters stored in the dynamic database means that the values retrieved next time are likely to be closer to the optimum values and hence the time required for optimisation of the ion beam should be minimised. Many different methods of updating the operational parameters in the dynamic database are contemplated. For example, the method may comprise updating the operational parameters that changed by replacing the values stored in the dynamic database with the values obtained at the end of the optimisation. Alternatively, the method may comprise updating the operational parameters that changed with rolling averages calculated using the values obtained for those operational parameters at the end of the optimisation. The use of a rolling average is advantageous as it allows the value of the operational parameter to change quickly at first but then to settle to an established value with only small further changes. To safeguard against spurious results undesirably affecting the operational parameters stored in the dynamic database, the method may further comprise checking operational parameters that changed during optimisation to see whether they have changed beyond a predefined limit or by more than a predefined amount. If the optimised value or the change exceeds the respective limit, the optimised values may be discarded and the values of the operational parameters stored in the dynamic database left unchanged. If the optimised values remain within the predefined limit and/or have changed only within the predefined limit, the operational parameters may be updated as described above. The limit may be specified as an absolute limit or as a fractional limit. However, in some situations it may be advantageous to use constant values of some or all operational parameters as a staring point for ion beam optimisation rather than using the evolving values stored in the dynamic database. As a result, the method may optionally further comprise retrieving at least one of the set of operational parameters from those stored in a principal database. If an operational parameter is stored in both the principal database and the dynamic database, the operational parameter is retrieved from the principal database in preference to the dynamic database. The operational parameters retrieved from the principal database are preferably used as a starting point for the optimisation of the ion beam. Hence, the values of the operational parameters so retrieved is likely to be different at the end of optimisation. These optimised values are not used to update the values stored in the principal database: the values stored therein remain unchanged. However, the method may still comprise updating that operational parameter in the dynamic database using the optimised values. Values must be generated to populate the principal database initially. This may be done by an operator manually inputting predetermined values. Alternatively, the values may be populated by optimising the ion beam and storing one or more of the optimised operational parameters in the principal database. Generally, this updating should e performed under the instruction of an operator, i.e. the operator selects which operational parameters to be populated with the optimised values. The principal database and/or dynamic database may comprise a plurality of sets of operational parameters. Each set may be associated with a particular implant recipe. In this situation, the method may comprise retrieving a set of operational parameters associated with a particular implant recipe from that plurality of such sets. Hence, operational parameters may be stored and evolved that are tailored to a particular recipe. Both the dynamic database and the principal database may have a plurality of sets of operational parameters, each set corresponding to a particular recipe. Put another way, each recipe will have two sets of associated operational parameters, one stored in the dynamic database and the other stored in the principal database. When a recipe is first created, it will not have databases to provide operational parameters. Optionally, when an implant recipe does not have an associated set of operational parameters or where one or more of the operational parameters are missing, the missing operational parameters may be retrieved from corresponding operational parameters stored in an initialisation database. The initialisation database may thus act as the ultimate starting point, i.e. a set of operational parameters to be used as the starting point when initialising a new recipe or even a new ion implanter. As a result, the initialisation database may contain only a single set of operational parameters for that ion implanter. However, it is currently preferred that the initialisation database contains a plurality of sets of operational parameters, each set corresponding to an ion species to be implanted. The method may further comprise configuring the ion implanter according to the retrieved set of operational parameters thereby to provide an ion beam, optimising the ion beam by varying one or more of the operational parameters, and storing the missing operational parameters in the dynamic database as determined during optimisation. Typically, the ion implanter will comprise an ion source to generate the ion beam that will gradually deteriorate with use. As such, the optimum operational parameters will change with use of the ion source. To accommodate these changes, the dynamic and/or principal database may store operational parameters associated with different ages of the ion source as a plurality of sets. The method may then comprise retrieving a set of operational parameters from one such set. The ‘age’ of the ion source may be quantified in a number of ways. Absolute age is one such way, albeit crude. It has been found more useful to use the total time for which the ion source has been operated or the total current delivered by the ion source. Most preferably, the method comprises retrieving a set of operational parameters from a plurality of such sets associated with the product of the age of the ion source and the total ion beam current delivered by the ion source. Eventually, the ion source will need to be serviced or replaced. The method may comprise resetting the operational parameters stored in the dynamic database after the ion source has been changed or serviced. Preferably, the method may further comprise keeping the operational parameters stored in the dynamic database after the ion source has been serviced or replaced, and subsequently retrieving a set of operational parameters from the plurality of such sets associated with different ages of the ion source according to the age of the ion source since servicing or replacement. The present invention also extends to a computer program comprising computer code instructions that, when executed, cause an ion implanter to operate in accordance with any of the above methods, and to a computer readable medium having recorded thereon such a computer program. In addition, the present invention extends to a controller configured to implement any of the above methods, and to an ion implanter including such a controller. In order to provide a context for the present invention, an exemplary application is shown in FIG. 1, although it will be appreciated that this is merely an example of an application of the present invention and is in no way limiting. FIG. 1 shows a known ion implanter 10 for implanting ions in semiconductor wafers 12 that may be used in accordance with the present invention. Ions are generated by an ion source 14 to be extracted by an extraction lens assembly 26 to form an ion beam. In this embodiment, this ion beam is steered and shaped through the ion implanter 10 such that the ion beam passes through a mass analysis stage 30. Ions of a desired mass are selected to pass through a mass-resolving slit 32 and then conveyed onward through the ion implanter 10 to be slowed and focused by an acceleration or deceleration lens assembly (not shown) and then to strike a semiconductor wafer 12. The ion implanter 10 contains an ion source 14 for generating an ion beam of a desired species that is located within a vacuum chamber 15. The ion source 14 generally comprises an arc chamber 16 containing a cathode 20 located at one end thereof. The ion source 14 may be operated such that an anode is provided by the walls 18 of the arc chamber 16. The cathode 20 is heated sufficiently to generate thermal electrons. Thermal electrons emitted by the cathode 20 are attracted to the anode, the adjacent chamber walls 18 in this case. The thermal electrons ionise gas molecules as they traverse the arc chamber 16, thereby forming a plasma and generating the desired ions. The path followed by the thermal electrons may be controlled to prevent the electrons merely following the shortest path to the chamber walls 18. A magnet assembly 46 provides a magnetic field extending through the arc chamber 16 such that thermal electrons follow a spiral path along the length of the arc chamber 16 towards a counter-cathode 44 located at the opposite end of the arc chamber 16. A gas feed 22 fills the arc chamber 16 with the species to be implanted or with a precursor gas species. The arc chamber 16 is held at a reduced pressure within the vacuum chamber 15. The thermal electrons travelling through the arc chamber 16 ionise the gas molecules present in the arc chamber 16 and may also crack molecules. The ions created in the plasma will also contain trace amounts of contaminant ions (e.g. generated from the material of the chamber walls). Ions from within the arc chamber 16 are extracted through an exit aperture 28 provided in a front plate 27 of the arc chamber 16 using a negatively-biased (relative to ground) extraction electrode 26. A potential difference is applied between the ion source 14 and the following mass analysis stage 30 by a power supply 21 to accelerate extracted ions, the ion source 14 and mass analysis stage 30 being electrically isolated from each other by an insulator (not shown). The mixture of extracted ions are then passed through the mass analysis stage 30 so that they pass around a curved path under the influence of a magnetic field. The radius of curvature traveled by any ion is determined by its mass, charge state and energy and the magnetic field is controlled so that, for a set beam energy, only those ions with a desired mass to charge ratio and energy exit along a path coincident with the mass-resolving slit 32. The emergent ion beam is then transported to the target, i.e. the substrate wafer 12 to be implanted or a beam stop 38 when there is no wafer 12 in the target position. In other modes, the beam may also be accelerated or decelerated using a lens assembly positioned between the mass analysis stage 30 and the target position. The semiconductor wafer 12 will be mounted on a wafer holder 36, wafers 12 being successively transferred to and from the wafer holder 36 for serial implantation. Alternatively, parallel processing may be used where many wafers 12 are positioned on a carousel 36 that rotates to present the wafers 12 to the incident ion beam in turn. A controller is shown at 50 that comprises a computer. The controller 50 is provided with software for managing operation of the ion implanter 10. The above ion implanter 10 may be used to perform various implants under the control of the controller 50. Even within the field of semiconductor wafer doping, there are endless variations on implants. Each implant has an associated recipe that will include values for the operational parameters to be applied to the ion implanter 10 by the controller 50. Many operational parameters may be varied, for example the wafer to be doped; the species to be implanted; how the species is delivered (e.g. boron may be delivered as a beam of B, BF, BF2 ions); the depth and angle of implant; and the energy, shape and size of the ion beam. Hence, the controller 50 stores details of the operational parameters to be used for the different recipes in an associated memory. These operational parameters represent a good starting point for establishing a suitable ion beam to be used for the implant. However, implants are affected by changes in the ion implanter over time. Accordingly, while the operational parameters stored in the memory are used as a starting point, the ion beam is then optimised by varying the values of the operational parameters and monitoring the results. The operational parameters for each implant are stored in the memory as a series of files, organised into databases as follows. The memory holds three databases, the principal database 210, the dynamic database 220 and the initialisation database 230, as shown in FIG. 2. The principal database 210 and the dynamic database 220 are organised into files, each file corresponding to a particular recipe. The initialisation database 230 is organised at a higher level than recipes, and so comprises a series of files according to the species to be implanted. The reason for this will be explained below. In its broadest sense, the present invention requires only the dynamic database 220. In this case, the operational values are retrieved from the file stored for a particular recipe in the dynamic database 220, and the values are used as a starting point for optimisation. As the name suggests, the dynamic database 220 evolves with time. This is because the values of the operational parameters stored therein are updated each time a recipe is used to reflect changes between the previous values stored and the values found during optimisation of the ion beam. As these values are expected to drift over time, each file is divided into a series of bins to cover successive ranges corresponding to use of the ion source 14. In particular, the optimum operational parameters are expected to drift over time as the ion source 14 ages. In its simplest form, the bins may be assigned to the age of the ion source 14. More usefully, it is the use of the ion source 14 that should be reflected, and so the bins may be divided to cover ranges corresponding to the time the ion source 14 has been operated or the total beam current delivered by the ion source 14. However, it preferred to divide the bins to cover ranges corresponding to the product of the operational time and the beam current as mAhours. Each bin contains a full set of operational parameters. The bins are gradually populated with values for the operational parameters as the ion source 14 is used during its lifetime. Advantageously, when an ion source 14 wears out and is serviced or replaced, a set of operational parameters exist already for that recipe that may be retrieved from the appropriate bin as the new ion source ages. In certain situations, it may not be best to use the evolving values for one or more operational parameters stored in the dynamic database 220 as the starting point for optimisation. Instead, it may be better to force a preset value as the starting point for those operational parameters. This may be, for example, because it has been found that the best implants may result when an operational parameter is close to a particular value. However, as will be appreciated, the ion implanter effectively teaches itself how to perform the implant by evolving the values of the operational parameters in the dynamic database 220 as a result of an optimisation routine that effectively searches for the optimal values by varying them in turn. Often, the search space will comprise local optima as well as the optimum, and forcing the value of an operational parameter may be a way of stopping the dynamic database 220 from evolving to follow one of the local optima. As a result, the memory stores a file for each recipe in the principal database 210. The principal database 210 has values for operational parameters entered only at the request of an operator, i.e. the values are never updated automatically after optimising the ion beam. The operator has complete control over which, if any, operational parameters will have values entered in the principal database 210. Any particular file is likely to have only a few of the available operational parameters provided with values, and many operational parameters will be missing. Where a value is provided for an operational parameter in the principal database 210, this value is used in preference to a corresponding value stored in the dynamic database 220. The file for each recipe stored in the principal database 210 may also be divided into a series of bins according to the age of the ion source 14, akin to the file structure in the dynamic database 220. Alternatively, only a single set of operational parameters may be stored in the principal database 210 for each recipe. It will be realised that the above applies to ongoing use of the ion implanter 10, but that the dynamic database 220 must first be populated with values for the operational parameters. In addition, values may also be required for populating the principal database 210. To overcome this problem, ion implanters 10 are supplied with sets of operational parameters stored in the initialisation database 230. So, to initialise a new recipe, these operational parameters are used to establish an ion beam which is then optimised to achieve the desired implant. Once this optimisation has been completed for the first time, the resulting values of the operational parameters may be copied to a file created in the dynamic database 220 for that recipe. The values stored in the initialisation database 230 may be varied by an operator, but are not updated automatically following optimisation. They are similar to the values stored in the principal database 210 in this respect. The optimisation database 230 may contain only a single file containing a single set of operational parameters for that ion implanter 10. However, it is preferred for the initialisation database 230 to hold a series of files, each file corresponding to a particular ion species to be implanted. Hence, the appropriate file may be consulted according to the ion species specified when the new recipe is being initialised. FIG. 2 shows the hierarchy of databases consulted by the controller 50 when setting up the ion implanter 10 to implement a recipe. As a summary, the controller 50 turns first to the file stored in the principal database 210 for the selected recipe. The controller retrieves whatever operational parameters are stored therein. The controller 50 then turns to the file stored in the dynamic database 220 for that recipe. The controller 50 retrieves only those operational parameters that were missing from the principal database 210. In most situations, all operational parameters will now be retrieved. If any are missing, or if a new recipe is being initialised, the controller retrieves the missing operational parameters from the file stored in the initialisation database 230 for the species to be implanted according to the recipe. In terms of data entry into the databases 210, 220 and 230, the following holds. The initialisation database 230 is populated at the factory prior to delivery of the ion implanter 10. The principal database 210 is populated only under the guidance of an operator. The dynamic database 220 is first populated copying the values in the initialisation database 230 as a starting point, and is then updated after each optimisation. As such, the dynamic database 220 is the only database that is updated automatically. Ion implanters 10 such as the one described above are generally manufactured, tested before leaving the factory, shipped to the customer, and then commissioned at the customer's facility. FIG. 3 shows this initial commissioning and subsequent use of the ion implanter 10. At 310, the ion implanter 10 undergoes initial set-up to ensure that it is functioning correctly. Recipes may then be created at 320 and operational parameters for those recipes established and stored in the principal database 210 and the dynamic database 220. The ion implanter 10 is then ready for ongoing use at 330. At some point, the ion source 14 is likely to wear out and so need replacing at 340. After the ion source 14 has been serviced or replaced, the ion implanter 10 may resume ongoing use at 330. FIG. 4 shows the data flow during the initial machine set-up 310 of FIG. 3. During initial machine set-up 310, the operational parameters stored in the initialisation database 230 are retrieved and applied to the ion implanter 10. The ion implanter 10 is then tested to ensure correct operation based on these operational parameters. FIG. 5 shows the data flow during the initial recipe set-up 320 of FIG. 3. When a new recipe is created, the operational parameters from the initialisation database 230 are retrieved and applied to the ion implanter 10. The ion implanter 10 is then tested and optimised for implementing that recipe. The operational parameters so derived are stored in the dynamic database 220 and, if desired, values may be entered by an operator into the principal database 210. FIG. 6 shows the data flow during ongoing use of the ion implanter shown at 330 of FIG. 3. Here, a recipe is selected and the controller 50 retrieves operational parameters preferentially from the principal database 210 and then retrieves any missing operational parameters from the dynamic database 220. Should any operational parameters be missing from both the principal database 210 and the dynamic database 220 for any reason, the controller 50 retrieves corresponding values from the initialisation database 230. The ion beam is then optimised and any operational parameters that have changed are updated in the dynamic database 220. Values stored in the principal database 210 may be updated if desired. New recipes may need to be created from time to time during ongoing use of the ion implanter 10. In these situations, the procedure described above with reference to FIG. 5 is used. FIG. 7 shows a method followed during ongoing use 330 of the ion implanter 10 when a change in recipe is required. At 710, the next recipe to be used is selected. This is most conveniently performed by an operator using the controller 50 or through factory automation. For example, a factory may implement a schedule such that the controller 50 proceeds through a series of assigned implants, selecting recipes as required. With the next recipe selected, the controller 50 retrieves the operational parameters for that recipe at 720. At 730, the controller 50 configures the ion implanter 10 using the retrieved operational parameters to provide an ion beam. The ion beam is optimised at 740, and at 750 the operational parameters are updated in the dynamic database 220. Each of steps 720 to 740 will now be described in more detail with reference to FIGS. 8 to 10. FIG. 8 shows a method used when acquiring operational parameters 720. At 810, the method the controller 50 follows is dependent upon whether the recipe is new. If a new recipe is being initialised, the controller 50 creates a new file for that recipe in the principal database 210 and in the dynamic database 220 at 820. The controller 50 then retrieves operational parameters from the initialisation settings database 230 at 830. As well as retrieving these operational parameters, the controller 50 also saves these parameters into the dynamic database 220 at 840. If an existing recipe is selected, the controller 50 first turns to the file saved for that recipe in the principal database 210 and retrieves whatever operational parameters are stored therein at 850. The principal database 210 is likely to provide only a small fraction of the necessary operational parameters. Nonetheless, the controller 50 then determines whether more operational parameters need to be retrieved at 860. If not, the controller 50 may merely continue to the next stage of configuring the ion implanter 10 at 730. If more operational parameters are required, the controller 50 turns to the dynamic database 220. To retrieve the correct set of operational parameters, the controller 50 must determine the age of the ion source 14 at 870. In this embodiment, the product of the time the ion source 14 has been operating and the current delivered by the ion source 14 is used as a measure of the age of the ion source 14. With this product determined, the controller 50 retrieves the missing operational parameters from the appropriate bin of the recipe's file in the dynamic database 220. If the ion source 14 has aged such that an empty new bin is found, the values from a previous bin may be used and copied to the new bin. If a recipe is not used for a long period of time, one or bins may be left empty. In this case, the last bin having a value stored therein is used. After optimisation at 740, the values stored in the new bin will be updated and the values in the previous bin will remain unchanged. With further operational parameters retrieved from the dynamic database 220, the controller 50 checks to ensure all operational parameters have been retrieved at 890. If, as expected, all operational parameters have been retrieved the controller 50 may continue to the next stage of configuring the ion implanter at 730. Should one or more operational parameters be missing, the controller 50 retrieves these parameters from the initialisation database 230 at 892. Whichever method of retrieving the operational parameters is used, a set of operational parameters for that recipe is provided at 895 in FIG. 8. FIG. 9 shows a method for configuring the ion implanter 10 using the operational parameters retrieved at step 720. How the controller 50 proceeds depends upon whether or not an ion beam is already established, as indicated at 910. If not, the controller 50 at 920 merely applies all operational parameters to the ion implanter 10 and so establishes an ion beam. If an ion beam is already established, the controller 50 at 930 refers to a flag set or prompts the operator to determine whether a slow change that maintains the ion beam or a fast change that loses the ion beam is required. If the ion beam is to be maintained, the controller 50 at 940 slowly adjusts the ion implanter 10 to adopt the new operational parameters from those already in use. For a fast change, at 950 the ion beam is merely dumped by turning off the extraction electrode assembly 26, the new operational parameters are implemented and the ion beam established once more. However implemented, the method provides an ion beam at 960 conforming to that produced by the operational parameters stored for that recipe. The controller 50 then optimises the ion beam, as shown in FIG. 10. This process comprises a first, tuning stage at 1010 and a second, arc adjust stage at 1020. During the tuning stage 1010, the operational parameters relating to the ion beam extraction and steering are investigated by their systematic adjustment and through monitoring the consequent results; for example extraction element gaps, lateral positions, extraction voltages or mass analysis are varied in turn and the resulting change in ion beam current monitored. Each recipe will specify a desired ion beam current. Hence optimisation will first try to meet this ion beam current requirement and, if ion beam current is delivered, try to optimise the settings needed to deliver that current (e.g. by minimising arc voltage to preserve the arc chamber). During the arc adjust stage 1020, the ion beam is optimised by systematically varying the operational parameters relating to the plasma density. As described above, the optimisation routine effectively performs a search for the optimum conditions through systematic variation of the operational parameters. As with such methods, there is always a danger that the search will lead to a local optima being found rather than the ultimate optimum. Various strategies may be implemented to mitigate this risk, as is well known in the art. For example, the use of values in the principal database 210 and the use of thresholds when looking to update values in the dynamic database 220 may be used to constrain values stored in the databases 210 and 220. Once ion beam optimisation at 740 has been completed, the optimised values of the operational parameters are checked against the old values stored in the dynamic database 220 to see if they have changed. This is true even for operational parameters that were originally retrieved from the principal database 210: their corresponding values in the dynamic database are updated at the end of the optimisation at 740 if they have changed. Once the operational parameters that have changed have been identified, they are checked against thresholds stored by the controller 50. Although the thresholds may correspond to absolute values (to constrain the optimisation search as described immediately above), to absolute amounts of difference, to fractional differences or to combinations. The use of absolute limits to the new value and fractional limits to the difference are preferred. For the latter, the change in an operational parameter between the optimised value and the old value is checked to see if it is within the threshold amount, e.g. ±20%. If outside this range, the optimised value is discarded and the operational parameter remains unchanged. If within this threshold, the old value of the operational parameter is updated. Updating may simply comprise replacing the old value with the optimised value, but the use of a rolling average is preferred in this embodiment. The rolling average is calculated as: ( old_value × no_of ⁢ _samples ) + optimised_value no_of ⁢ _samples + 1 Hence, a count of the number of optimised values sampled is kept by the controller 50. Using this count ensures that the value of the operational parameter changes rapidly at first but then settles to a value with only small further changes. This stored count may be reset whenever the ion source 14 is replaced or serviced. The above describes a mode of operation of the ion implanter 10 where the operational parameters are updated, referred to as ‘on and learning’. In addition, two further modes are provided. The first extra additional mode corresponds to ‘off’ where the dynamic database 220 is not used. In this mode, the principal database 210 is used, with any missing operational parameters being provided by the initialisation database 230. Hence, in FIG. 8, the arrow from step 860 would extend direct to step 892. In the second additional mode, referred to as ‘on’, the operational parameters stored in the dynamic database 220 are used but are not updated after optimising the ion beam at 740. Hence, step 750 is omitted from FIG. 7. As will be appreciated by the person skilled in the art, variations may be made to the above embodiment without departing from the scope of the invention defined by the claims.
abstract
A method and apparatus for detecting faults in power plant equipment is discloses using sensor confidence and an improved method of identifying the normal operating range of the power generation equipment as measured by those sensors. A confidence is assigned to a sensor in proportion to the residue associated with that sensor. If the sensor has high residue, a small confidence is assigned to the sensor. If a sensor has a low residue, a high confidence is assigned to that sensor, and appropriate weighting of that sensor with other sensors is provided. A feature space trajectory (FST) method is used to model the normal operating range curve distribution of power generation equipment characteristics. Such an FST method is illustratively used in conjunction with a minimum spanning tree (MST) method to identify a plurality of nodes and to then connect those with line segments that approximate a curve.
summary
abstract
A method for manufacturing an anti-scatter grid including arranging a plurality of elongated metal ribbons of radio-opaque material so that each ribbon is substantially straight and lies in a plane that passes through a focal point of the grid, and placing the elongated ribbons under tension. A first sheet of radioluscent material is secured to top edges of the ribbons, and a second sheet of radioluscent material is secured to bottom edges of the ribbons. The ribbons are arranged such that the first and second radioluscent sheets are substantially parallel. Then the tension is removed from the ribbons.
summary
summary
047138335
abstract
An X-ray source apparatus contains an X-ray target which emits X-ray when bombarded with electrons from an electron source. A strong magnetic field, e.g. from a superconducting solenoid, having curved lines of magnetic flux interlinks the target and electron source. The magnetic field has sufficient strength over the entire electron path of travel to constrain the electrons emitted from the source with components at angles to the magnetic field to execute helical paths about the field lines to the target. An aperture means is positioned to block the straight line paths between the source and the target but permits the passage of substantially all electrons traveling along the lines of flux.
051280957
description
DETAILED DESCRIPTION FIG. 1 shows the upper part of the lifting and handling grab 1. The grab 1 mainly comprises an outer tubular body 2 and a slide 3 arranged coaxially within the tubular body 2 with some radial play, the outside diameter of the slide 3 being substantially smaller than the inside diameter of the tubular body 2. The upper part of the tubular body 2 is connected to a housing 4 fixed to the lower part of a lifting mast 5 of tubular shape which can be actuated in order to carry out the vertical raising or lowering of the grab as a whole by means of a device, such as a winch, (not shown in FIG. 1) connected to the upper part of the mast 5. Preferably, the lifting mast 5 is associated with the fuel-loading machine of the nuclear reactor in which the lifting grab is used, the mast 5 passing through a rotary plug 6 mounted rotatably on the horizontal closing slab of the reactor vessel. The winch is, in this instance, mounted on the frame of the fuel-loading machine. This device makes it possible to displace the mast 5 and the lifting grab 1 as a whole vertically upwards or downwards (in the direction indicated by arrow 7). The reactor vessel contains liquid sodium 8 surmounted by a gaseous atmosphere 9 consisting of an inert gas, such as argon. The housing 4 and the upper part of the tubular body 2 and of the slide 3 remain constantly plunged in the gaseous atmosphere 9. The lower part of the lifting grab 1 is introduced into the liquid sodium 8 in order to carry out the pick-up and handling of fuel assemblies located in the reactor core and submerged below the level of the sodium. The upper end part of the slide 3 located above the upper end of the tubular body 2 is engaged in the housing 4 and mounted slideably in the housing 4 by means of bearings 10. The upper part of the slide 3 is likewise connected in an articulated manner to two links 11 mounted pivotably on the housing 4 by means of an axle 12. The end of the links 11 opposite the slide 3 is connected in an articulated manner to an actuating rod 13 arranged along the axis of the lifting mast 5. Any other mechanical system causing relative axial movement between the slide 3 and the tube 2 can be used. The upper end part of the actuating rod 13, (not shown in FIG. 1) is accessible from the platform of the fuel-loading machine, to which the upper part of the mast 5 is connected, in order to make it possible to displace the rod 13 vertically upwards or downwards so as to displace the slide 3 in the vertical direction, as indicated by the arrow 14. FIGS. 2A and 2B illustrate the lower part of the lifting and handling grab 1 submerged in the liquid sodium 8 contained in the reactor vessel and in a position above the head 15 of a fuel assembly of the reactor core making it possible to pick up this assembly by the gripping means of the grab. The diametrically widened lower part of the tubular body 2 of the grab 1 forms a bell 16 open at its lower end 16a. An annular supporting piece 18 is fastened in the inner bore of the bell 16 by means of a key or ring 17. The annular supporting piece 18 has longitudinal slots, in each of which is arranged a pawl 20, mounted pivotably on a axle 21 transversely mounted in the slot of the support 18. Preferably, three pawls 20 arranged at 120.degree. relative to one another about the axis 19 of the tubular body 2 are mounted in slots of the support 18 arranged at 120.degree. relative to one another about the axis 19 of the tubular body 2 and of the bell 16. Each of the pivoting pawls 20 has a lower catching part 20a which, in the pick-up position of the grab, as shown in FIG. 2A, is engaged in a groove 23 machined in the inner bore of the assembly head 15. The slide 3 tubular and in its lower part has a zone, in which the wall of the tubular casing of the slide is substantially greater than the thickness of the wall of the slide in its running part. In this part of increased thickness are machined cavities, 24 having upper and lower parts delimited and by respective ramps 24a and 24b inclined relative to the axis 19 in one direction and the other. As can be seen in FIGS. 2A and 2B, when the slide 3 is engaged within the tubular body 2, the cavities 24 come level with pawls 20 in order to ensure their actuation. Each of the pawls 20 comprises an upper actuating ramp 25a and a lower ramp 25b forming the inner part of the catching end 20a of the finger 20. Furthermore, the ramps 24b of the cavities 24 terminate towards the outside of the slide 3 in a bearing rim 24c substantially perpendicular to the axis 19 common to the tubular body 2 and to the slide 3. When the pawls are in their pick-up position, as shown in FIG. 2A, the downward displacement of the slide 3 within the tubular body 2, which can be controlled by the actuating rod 13, causes the ramps 24a and 25a to come in contact with one another, thereby bringing about the tilting of the upper part of each of the pawls 20 towards the outside of the grab so as to reach the opening position of the pawls, shown in FIG. 2B. The lower part 20a is then located in the cavity 24 outside the groove 23. Conversely, upward displacement of the slide 3 causes inward displacement of the upper part of the pawls 20 as a result of the interaction of the ramps 24b and 25b. The pawls 20 engage with their catching part 20a into the groove 23 of the assembly head 15. The upward displacement of the slide stops when the bearing rim 24c of the slide comes into abutment with the lower edge of the catching part 20a of the fingers 20. The grab 1 can then be raised by means of the lifting mast 5 and the housing 4 which are shown in FIG. 1. The catching part 20a of the pawls 20 comes to bear on the upper rim of the groove 23, with the result that, during lifting, the weight of the assembly is supported by the slide 3, on which the assembly head 15 bears by means of the catching parts 20a of the pawls 20. According to the particular design of the control of the grab, during lifting the assembly can also be supported redundantly by the bell-shaped lower part 16 of the tubular body 2 by means of the pawls 20 and their pivot axles 21. The structure and operating characteristics of the control mechanism of the grab 1, as described above, resulting in radial displacement of the pawls by tilting under the effect of the axial displacement of a slide within the structure carrying the pawls, are wellknown in the art, and this type of grab can be used either to carry out handling operations on assemblies plunged in liquid sodium or to conduct handling operations on assemblies arranged in a gaseous atmosphere at a temperature substantially below the solidification temperature of the liquid metal. However, the devices according to the prior art do not make it possible to execute the displacement of an object, such as a fuel assembly, between a position submerged in a liquid metal and a position emerged in a gaseous atmosphere at a temperature below the solidification temperature of the liquid metal, the pawls and their control mechanism being submerged in the liquid metal during the operation of picking up the assembly. As a result, the pawls and control mechanism are liable to retain liquid metal while the fuel assembly is being raised above the level of the liquid metal, the pawls and the control mechanism then being in a gaseous atmosphere at a temperature below the solidification temperature of the liquid metal. The solidification of the liquid metal retained by the pawls and the control mechanism may thus prevent opening of the fingers. According to the lower part of the grab is arranged within the widened part 16 of the tubular casing 2 forming a bell; the bell 16 is closed sealingly by means of an axially deformable element making the junction between the slide and the inner surface of the bell 16. The deformable element 30 consists of a bellows comprising two corrugated coaxial envelopes 30a and 30b succeeding one another in the axial direction. The corrugated walls of the bellows may be made for example, of stainless steel. The upper part 16b of bell 16 projects radially inwards in relation to its inner surface, this projecting part 16b having the form of a collar, the triangular cross-section of which can be seen in FIGS. 2A and 2B. The outer surface of slide 3 has a part 3b projecting radially outwards and having the form of a collar, the triangular cross-section of which can be seen in FIGS. 2A and 2B. The ends of double-envelope bellows 30 are seatingly welded to the collars 3b and 16b. Thus, the upper portion of the annular space 31 contained between the inner surface of the bell 16 and the outer surface of the slide 3 is closed sealingly by means of bellows 30. The support 18 and the pawls 20 are fastened on the inside of the bell 16 in the annular space 31, in an intermediate axial position between bellows 30 and the lower open end 16a of the bell 16. Despite the presence of a sealing junction element between the slide 3 and the tubular body 2, the slide can be displaced axially in order to actuate of the pawls, as can be seen in FIGS. 2A and 2B. During the downward displacement of the slide in order to open the pawls, bellows 30 is compressed, as can be seen in FIG. 2B. The bellows expands as a result of elasticity during the upward displacement of the slide 3 carrying out the closing of the pawls, as shown in FIG. 2A. The tubular slide 3 can be used for blowing cooling gas into an assembly, on which the grab is engaged, during operations of handling the assembly above the level of the liquid metal. To carry out the pick-up of an assembly below the level of the liquid metal, the grab 1 is displaced by means of the lifting mast 5 from a position located above the level of the liquid metal to the position shown in FIG. 2B, in which the bell caps the head 15 of an assembly, the being in its low position and the bellows 30 being compressed. The positioning of the bell 16 on the assembly head 15 is made easier by the fact that the bell 16 has a lower part of widened diameter and that its lower end 16a is chamfered inwards. During the descent of the lifting grab in the gaseous atmosphere surmounting the liquid metal, inert gas, such as argon, fills the annular space 31 and the inner volume of the tubular slide 3. When the lower parts of the bell 16 and of the slide 3 penetrate below the level 8a of the liquid metal 8, the gas contained in the annular space 31 is trapped between the level of the liquid metal and the sealing bellows 30, this gas being incapable of escaping upwards. By contrast, the gas contained in the inner volume of the slide 3 can escape on the inside of the slide whose upper part is connected to a cooling-gas blowing device. During the descent of the grab 1 in the liquid metal, the gas contained in the annular space 31 is compressed, in such a way that the level 8b of the liquid metal in the annular space 31 remains below the lower part 20a of pawls 20 and the bearing rim 24c of the slide 3. Pawls 20 and their control means therefore constantly remain plunged in a gaseous atmosphere during positioning of the grab on the assembly head 15 and during the actuation of pawls 20. Pawls 20 and their control mechanism therefore incapable of coming in contact with liquid metal which could solidify on the movable parts of the pawls. It will be clear that, during the lifting of the assembly in the liquid metal, pawls 20 remain in a gaseous atmosphere, and the gas contained in the annular space 31, which undergoes some expansion, cannot escape via the lower part of the bell 16. The assembly can therefore be displaced by means of the lifting grab 1 between its submerged position and a position emerged in the gaseous atmosphere located above the level of the liquid metal, without this maneuver being accompanied by a solidification of liquid metal on the movable parts of the grab. The assembly can consequently be displaced and handled from its submerged position by the sole use of the lifting grab 1 which can function successively in the liquid metal and in the gaseous atmosphere surmounting the liquid metal. During the submersion of the grab 1 in the liquid metal, the level of the liquid metal within the slide remains identical to the upper level 8a of the liquid metal in the reactor vessel. The lifting grab according to the invention thus makes it possible to carry out simply and quickly handling operations involving displacing fuel assemblies from a position submerged in a liquid metal to an emerged position, in which the fuel assembly is plunged in a gaseous atmosphere surmounting the liquid metal. The deformable elastic member may be other than the double-envelope bellows described above. The pawls of the grab and their control means may also have a form different from that described. The slide may consist of a simple solid piece, to the periphery of which is fastened one of the ends of the deformable elastic member fastened at its other end to the inner surface of the bell. As described in FR-A-2,431,907, the slide may be associated with a latch of variable orientation which is articulated on this slide and the orientation of which is modified in a low position of the slide by a stationary finger fixed to the tubular body, such orientation bringing the latch either into a released position or into a locked position, depending on its previous orientation. The lifting cable of the grab is connected to the slide on which the tubular body rests. When the tubular body comes to bear on the object being handled, the slide can be displaced in the tubular body into its low position as a result of gravity. In this case, the grab as a whole has only a lifting cable ensuring actuation of the slide and the transport of the tubular body and of the load. The grab according to the invention can be used for conducting any operation of handling any object, such as a mechanical component, to ensure its displacement between a position submerged in a liquid metal or other hot liquid and an emerged position in which the object is plunged at least partially in a gaseous atmosphere. The grab according to the invention can be employed outside the sector of nuclear reactors cooled by liquid for example in uses involving a bath of molten salts. It is clear that the lifting grab can be associated with handling means making it possible to displace the object not only in the vertical direction, but also in one or more horizontal directions.
claims
1. An improved rubidium-82 generator comprising:a. a hollow column with a top portion, a middle portion and a bottom portion, said top portion including one or more notches, and a screen separating the middle portion and the bottom portion;b. a top basket with one or more protrusions, said one or more protrusions configured to cooperate with the one or more notches in the top portion of the hollow column so as to cause the proper seating of the top basket in the top portion of the hollow column, said top basket further comprising a screen at its base and a side opening;c. an inlet arm which intersects the hollow column at its top portion at a point where the inlet arm is aligned with the side opening in the top basket, and further wherein the inlet arm has a female Luer cap at its distal end, said female Luer cap containing one or more tabs on its outer surface;d. an outlet arm which intersects but does not protrude into the hollow column at its bottom portion, wherein a notch is provided at the point of intersection on the bottom portion's inner surface, and further wherein the outlet arm has a female Luer cap at its distal end, said female Luer cap containing one or more tabs on its outer surface;e. support means to support the inlet arm and the outlet arm to the hollow column;wherein said hollow column, top basket, inlet arm, outlet arm and support means are constructed of a radiation resistant polypropylene;f. a packing material comprising stannic oxide with strontium-82 adhered thereto, said packing material placed in the middle portion of the hollow column above the bottom screen and below the screen of the top basket;g. a top stopper comprising a radiation resistant material, said top stopper configured to form a tight seal with the top portion of the hollow column but which does not block the inlet arm;h. a bottom stopper comprising a radiation resistant material, said bottom stopper configured to form a tight seal with the bottom portion of the hollow column and minimizing a dead space in the bottom portion of the hollow column, without blocking the outlet arm;i. a first crimp seal to crimp the top stopper to the top portion of the hollow column and a second crimp seal to crimp the bottom stopper to the bottom portion of the hollow column, wherein each crimp seal comprises steel with a thickness of about 0.2 mm and a central hole about 5.0 mm in diameter, wherein each crimp seal is crimped to a pressure of about 117 psi;j. a first flexible tube comprising a flexible, radiation resistant polyvinyl chloride with a first male Luer cap comprising a rigid, radiation resistant polyvinyl chloride at one end of said first flexible tube, said first male Luer cap being configured to cooperate with the female Luer cap at the distal end of the inlet arm, so that the first male Luer cap and the female Luer cap at the distal end of the inlet arm can be screwed together to form a tight Luer lock, and wherein said first male Luer cap contains one or more tabs on its outer surface which will align with the one or more tabs on the outer surface of the female Luer cap at the distal end of the inlet arm, when the two Luer caps are screwed together, so as to achieve a desired configuration, with respect to each other, when the Luer caps are tightened together; andk. a second flexible tube comprising a flexible, radiation resistant polyvinyl chloride with a second male Luer cap comprising a rigid, radiation resistant polyvinyl chloride at one end of said second flexible tube, said second male Luer cap being configured to cooperate with the female Luer cap at the distal end of the outlet arm, so that the second male Luer cap and the female Luer cap at the distal end of the outlet arm can be screwed together to form a tight Luer lock, and wherein said second male Luer cap contains one or more tabs which will align with the one or more tabs on the outer surface of the female Luer cap at the distal end of the outlet arm, when the two Luer caps are screwed together, so as to achieve a desired configuration, with respect to each other, when the Luer caps are tightened together. 2. An improved rubidium-82 generator comprising:a. a hollow column with a top portion, a middle portion and a bottom portion, said top portion including one or more notches, and a screen separating the middle portion and the bottom portion;b. a top basket with one or more protrusions, said one or more protrusions configured to cooperate with the one or more notches in the top portion of the hollow column so as to cause the proper seating of the top basket in the top portion of the hollow column, said top basket further comprising a screen at its base and a side opening;c. an inlet arm which intersects the hollow column at its top portion at a point where the inlet arm is aligned with the side opening in the top basket, and further wherein the inlet arm has a female Luer cap at its distal end, said female Luer cap containing one or more tabs on its outer surface;d. an outlet arm which intersects but does not protrude into the hollow column at its bottom portion, wherein a notch is provided at the point of intersection on the bottom portion's inner surface, and further wherein the outlet arm has a female Luer cap at its distal end, said female Luer cap containing one or more tabs on its outer surface;e. support means to support the inlet arm and the outlet arm to the hollow column;wherein said hollow column, top basket, inlet arm, outlet arm and support means are constructed of a radiation resistant polypropylene;f. a packing material comprising stannic oxide with strontium-82 adhered thereto, said packing material placed in the middle portion of the hollow column above the bottom screen and below the screen of the top basket;g. a top stopper comprising a radiation resistant material, said top stopper configured to form a tight seal with the top portion of the hollow column but which does not block the inlet arm;h. a bottom stopper comprising a radiation resistant material, said bottom stopper configured to form a tight seal with the bottom portion of the hollow column and minimizing a dead space in the bottom portion of the hollow column, without blocking the outlet arm;i. a first crimp seal to crimp the top stopper to the top portion of the hollow column and a second crimp seal to crimp the bottom stopper to the bottom portion of the hollow column, wherein each crimp seal comprises steel with a thickness of about 0.2 mm and a central hole about 5.0 mm in diameter, wherein each crimp seal is crimped to a pressure of about 117 psi;j. a first flexible tube comprising a flexible, radiation resistant polyvinyl chloride with a first male Luer cap comprising a rigid, radiation resistant polyvinyl chloride at one end of said first flexible tube, said first male Luer cap being configured to cooperate with the female Luer cap at the distal end of the inlet arm so that the first male Luer cap and the female Luer cap at the distal end of the inlet arm can be screwed together to form a tight Luer lock, and wherein said first male Luer cap contains one or more tabs on its outer surface which will overlap with the one or more tabs on the outer surface of the female Luer cap at the distal end of the inlet arm, when the two Luer caps are screwed together, the tabs overlapping, having been pushed past each other, to form a tight Luer lock which is resistant to inadvertent loosening; andk. a second flexible tube comprising a flexible, radiation resistant polyvinyl chloride with a second male Luer cap comprising a rigid, radiation resistant polyvinyl chloride at one end of said second flexible tube, said second male Luer cap being configured to cooperate with the female Luer cap at the distal end of the outlet arm so that the second male Luer cap and the female Luer cap at the distal end of the outlet arm can be screwed together to form a tight Luer lock, and wherein said second male Luer cap contains one or more tabs which will overlap with the one or more tabs on the female Luer cap at the distal end of the outlet arm, when the two Luer caps are screwed together, the tabs overlapping, having been pushed past each other, to form a tight Luer lock which is resistant to inadvertent loosening. 3. An improved rubidium-82 generator system comprising:a. a rubidium-82 generator including an inlet arm and an outlet arm, and being surrounded by a generator shield;b. a saline supply connected to the inlet arm of the rubidium-82 generator by one or more saline supply tubes connected to each other in series, said saline supply tubes being connected to each other and to the inlet arm of the rubidium-82, generator by a first connecting means;c. one or more outflow tubes connected in series and connected to each other and to the outlet arm of the rubidium-82 generator via a second connecting means;d. a divergence means at a terminal end of the outflow tubes, the divergence means being connected to a patient tube and a waste tube such that a liquid flowing through the divergence means can be diverted to the patient tube or the waste tube; ande. a waste bottle surrounded by a waste bottle shield, wherein the waste bottle is connected to the waste tube via a third connecting means;wherein the improvements comprise:f. support means to support the inlet arm and the outlet arm of the rubidium-82 generator; andg. the outlet arm intersects but does not protrude into a hollow column of the rubidium-82 generator at a bottom portion thereof, and a notch is provided in the hollow column at a point of intersection of the outlet arm and the hollow column. 4. The improved rubidium-82 generator system of claim 3, further comprising one or more additional tubes connected to each other in series and connected between the divergence means and the patient tube by a fourth connecting means, to provide for a desired distance from the rubidium-82 generator to a patient. 5. The improved rubidium-82 generator of claim 3, wherein each of the connecting means is a Luer connector. 6. The improved rubidium-82 generator system of claim 5, wherein the Luer connector comprises a female Luer cap and a male Luer cap, wherein one of said Luer caps contains a flange and the other of said Luer caps contains threads, configured so that the flange and threads cooperate with each other in such a way that the female Luer cap and male Luer cap can be screwed together, and wherein one or more tabs are provided on each of the male and female Luer caps, the tabs on the male Luer cap and the tabs on the female Luer cap achieving a desired configuration, with respect to each other, when the two Luer caps are tightened together. 7. The improved rubidium-82 generator system of claim 6, wherein the one or more tabs of each of the male and female Luer caps each comprise two tabs. 8. The improved rubidium-82 generator system of claim 6, wherein the desired configuration comprises each of the one or more tabs on the male Luer cap lining up with a corresponding tab of the one or more tabs on the female Luer cap. 9. The improved rubidium-82 generator of system of claim 6, wherein the desired configuration comprises each of the one or more tabs on the male Luer cap overlapping with a corresponding tab of the one or more tabs on the female Luer cap, thereby preventing over tightening or inadvertent loosening of the Luer lock. 10. The improved rubidium-82 generator system of claim 3, wherein the rubidium-82 generator, tubes, connecting means, divergence means and waste bottle are all constructed of radiation resistant materials. 11. The improved rubidium-82 generator system of claim 3, wherein the patient tube terminates in a check valve to prevent air from entering the patient tube when the patient tube is disconnected from the patient. 12. The improved rubidium-82 generator system of claim 11, wherein the check valve is constructed of radiation resistant materials. 13. The improved rubidium-82 generator system of claim 11, wherein the patient tube includes a sterile filter and the check valve is attached before the sterile filter. 14. The improved rubidium-82 generator system of claim 11, wherein the patient tube includes a sterile filter and the check valve is attached after the sterile filter.
description
This application is a continuation of application Ser. No. 11/335,798, filed Jan. 19, 2006, status issued as U.S. Pat. No. 7,474,991. 1. Field of the Invention The present invention relates generally to an improved data processing system and in particular to a method and apparatus for processing data. Still more particularly, the present invention relates to a computer implemented method, apparatus, and computer usable program code for analyzing performance of a data processing system. 2. Description of the Related Art In writing code, runtime analysis of the code is often performed as part of an optimization process. Runtime analysis is used to understand the behavior of components or modules within the code using data collected during the execution of the code. The analysis of the data collected may provide insight to various potential misbehaviors in the code. For example, an understanding of execution paths, code coverage, memory utilization, memory errors and memory leaks in native applications, performance bottlenecks, and threading problems are examples of aspects that may be identified through analyzing the code during execution. The performance characteristics of code may be identified using a software performance analysis tool. The identification of the different characteristics may be based on a trace facility of a trace system. A trace tool may be used using various techniques to provide information, such as execution flows as well as other aspects of an executing program. A trace may contain data about the execution of code. For example, a trace may contain trace records about events generated during the execution of the code. A trace also may include information, such as, a process identifier, a thread identifier, and a program counter. Information in the trace may vary depending on the particular profile or analysis that is to be performed. A record is a unit of information relating to an event that is detected during the execution of the code. One part of analyzing the performance of a system involves identifying the reasons that a processor is busy or idle. In a symmetric multi-processor system, an inability to adjust a workload to keep all of the processors busy limits the scalability of the system. Complex applications, such as Web servers and other E-Commerce applications require an understanding as to why these applications are not fully utilizing the available processor cycles. Therefore, it would be advantageous to have a computer implemented method, apparatus, and computer usable program for generating data regarding processor utilization. The present inventions provide a computer implemented method, apparatus, and computer usable program code to collect system or processor information for a system or processor having a transition between an idle state and a non-idle state. The collected system or processor information is provided for analysis by an application. With reference now to the figures and in particular with reference to FIG. 1, a pictorial representation of a data processing system in which the aspects of the present invention may be implemented. A computer 100 is depicted which includes system unit 102, video display terminal 104, keyboard 106, storage devices 108, which may include floppy drives and other types of permanent and removable storage media, and mouse 110. Additional input devices may be included with personal computer 100, such as, for example, a joystick, touchpad, touch screen, trackball, microphone, and the like. Computer 100 can be implemented using any suitable computer, such as an IBM eServer computer or IntelliStation computer, which are products of International Business Machines Corporation, located in Armonk, N.Y. Although the depicted representation shows a computer, other embodiments of the present invention may be implemented in other types of data processing systems, such as a network computer. Computer 100 also preferably includes a graphical user interface (GUI) that may be implemented by means of systems software residing in computer readable media in operation within computer 100. With reference now to FIG. 2, a block diagram of a data processing system is shown in which aspects of the present invention may be implemented. Data processing system 200 is an example of a computer, such as computer 100 in FIG. 1, in which code or instructions implementing the processes of the present invention may be located. In the depicted example, data processing system 200 employs a hub architecture including a north bridge and memory controller hub (MCH) 202 and a south bridge and input/output (I/O) controller hub (ICH) 204. Processor 206, main memory 208, and graphics processor 210 are connected to north bridge and memory controller hub 202. Graphics processor 210 may be connected to the MCH through an accelerated graphics port (AGP), for example. In the depicted example, local area network (LAN) adapter 212 connects to south bridge and I/O controller hub 204 and audio adapter 216, keyboard and mouse adapter 220, modem 222, read only memory (ROM) 224, hard disk drive (HDD) 226, CD-ROM drive 230, universal serial bus (USB) ports and other communications ports 232, and PCI/PCIe devices 234 connect to south bridge and I/O controller hub 204 through bus 238 and bus 240. PCI/PCIe devices may include, for example, Ethernet adapters, add-in cards, and PC cards for notebook computers. PCI uses a card bus controller, while PCIe does not. ROM 224 may be, for example, a flash binary input/output system (BIOS). Hard disk drive 226 and CD-ROM drive 230 may use, for example, an integrated drive electronics (IDE) or serial advanced technology attachment (SATA) interface. A super I/O (SIO) device 236 may be connected to south bridge and I/O controller hub 204. An operating system runs on processor 206 and coordinates and provides control of various components within data processing system 200 in FIG. 2. The operating system may be a commercially available operating system such as Microsoft® Windows® XP (Microsoft and Windows are trademarks of Microsoft Corporation in the United States, other countries, or both). An object oriented programming system, such as the Java™ programming system, may run in conjunction with the operating system and provides calls to the operating system from Java programs or applications executing on data processing system 200 (Java is a trademark of Sun Microsystems, Inc. in the United States, other countries, or both). Program code/instructions for the operating system, the object-oriented programming system, and applications or programs are located on storage devices, such as hard disk drive 226, and may be loaded into main memory 208 for execution by processor 206. The processes of the present invention are performed by processor 206 using computer implemented instructions, which may be located in a memory such as, for example, main memory 208, read only memory 224, or in one or more peripheral devices. Those of ordinary skill in the art will appreciate that the hardware in FIGS. 1-2 may vary depending on the implementation. Other internal hardware or peripheral devices, such as flash memory, equivalent non-volatile memory, or optical disk drives and the like, may be used in addition to or in place of the hardware depicted in FIGS. 1-2. Also, the processes of the present invention may be applied to a multiprocessor data processing system. In some illustrative examples, data processing system 200 may be a personal digital assistant (PDA), which is configured with flash memory to provide non-volatile memory for storing operating system files and/or user-generated data. A bus system may be comprised of one or more buses, such as a system bus, an I/O bus and a PCI bus. Of course the bus system may be implemented using any type of communications fabric or architecture that provides for a transfer of data between different components or devices attached to the fabric or architecture. A communications unit may include one or more devices used to transmit and receive data, such as a modem or a network adapter. A memory may be, for example, main memory 208 or a cache such as found in north bridge and memory controller hub 202. A processing unit may include one or more processors or CPUs. The depicted examples in FIGS. 1-2 and above-described examples are not meant to imply architectural limitations. For example, data processing system 200 also may be a tablet computer, laptop computer, or telephone device in addition to taking the form of a PDA. The aspects of the present invention provide a computer implemented method, apparatus, and computer usable program product for collecting data on idle states occurring during execution of code in a data processing system. In these examples, the aspects of the present invention analyze the transition and process states and collect idle counts during the execution of the code to form collected idle counts. This collecting of idle counts includes collecting information for a system having a transition between an idle state and a non-idle state. This system information may be information about a thread. The aspects of the present invention identify on a per-processor and per-thread basis the number of idle states intervals occurring for individual processors and all processors. Additionally, the per-thread information identifies a number of dispatches from running to idle state and from idle state to running. Additionally, the total number of dispatches for the current processor and total number of idle dispatches for all processors are identified. The aspects of the present invention allow for the association of idle information with entry/exit trees used to track execution of threads. On any entry or exit event, idle information may be applied to a node in these examples. Additionally, when nodes in a tree are constructed, a unique node address is added for each node to allow correlation of the context with the trace records. Alternatively, any unique node identifier may be used to provide a correlation between nodes and trace records. This unique node address is also written to a shared thread work area as entries and exits are processed. This node address may also be written into a trace record by a device driver. In this manner, reports may be generated for various idle related events. Turning now to FIG. 3, a diagram illustrating components used to identify idle states during processing is depicted in accordance with an illustrative embodiment of the present invention. In this depicted example, the components are examples of hardware and software components found in a data processing system, such as data processing system 200 in FIG. 2. Processor 300 generates interrupt 302 and operating system 304 generates call 306. Call 306 is identified and processed by device driver 308. In these examples, the call is generated by a presently used operating system dispatcher located in operating system 304. This dispatcher is hooked or modified to generate a call or a branch to device driver 308 when an event of interest occurs. When call 306 is received from operating system 304, device driver 308 determines whether the dispatch is directed towards an idle processor thread or to a processor thread that is not idle in threads 312. Device driver 308 updates state information for processor 300, performs operations such as accumulating counts and writing trace records 320. Device driver 308 saves state information 310 in data area 314 and returns control back to the dispatch routine within operating system 304. Device driver 308 receives call 306 through hooks in these examples. A hook is a break point or callout that is used to call or transfer control to a routine or function for additional processing, such as determining idleness occurring during execution in these examples. In these illustrative examples, device driver 308 increments counters for processors in which idle states occur to indicate the number of times a processor is idle during execution in state information 310. Device driver 308 writes counts or state information 310, which is accessible by application 316. Device driver 308 writes or increments a counter each time the idle thread is dispatched (at either the entry from the dispatch to the idle thread or the exit from the idle thread to the dispatch) and copies or accumulates this information for a thread into an area 310 accessible by the thread. At each entry or exit, application 316 records the current count for the number of idle states and compares this to a last count for the number of idle states for a particular thread. The difference between the two counts is accumulated into the current node in tree 318. In these illustrative examples, the state information includes a count of the number of times that the operating system has dispatched to or from the idle thread. The counts are made on a per-processor basis. Additionally, an overall count for all the processors also may be maintained. These counts are maintained by device driver 308 in these examples. This information may be collected by application 316 to generate report 322 regarding idle states of processor 300. Application 316 may access data area 314 to process information and record information in tree 318. Application 316 is an application that is event based, for example, the application receives a call out on any entry or exit to a routine. For Java, it may use the Java Virtual Machine Profiling Interface (JVMPI) requesting entry/exit notification. For C programs, it may request hooking by the compiler at function entry and exits. In this application any reference to method may also be applicable to a function. Tree 318 is constructed as entries and exits are processed. The aspects of the present invention store the number of idle states that have occurred in nodes within tree 318. A count of the number of times that the thread for a processor has gone into an idle state is accumulated in a current node. The current node is the node for the method that has been entered into in executing the thread. When a method is entered, the current idle count is identified. When the method is exited or another method is entered, the new idle count is identified. The difference between the base of current idle counts and the new idle count is accumulated into the current node in tree 318. When the node is created, a unique identifier is also placed in the node. When entries or exits are processed, the node identifier for the current node being processed may be written by the application to a shared work area that is also accessible by a device driver. This unique identifier may be associated with, or used to identify this node for correlation with other trace information in these illustrative examples. In an alternative embodiment, each time a dispatch occurs in which a thread with a unique identifier is dispatched with a change from its idle count, device driver 308 also generates a trace record for placement into trace 320. This particular trace record contains an identification of the current node address at the time of the interrupt. This node address is the unique identifier in these examples. This current node address is placed into trace 320 along with idle counts. As a result, application 316 may access nodes within tree 318 and trace 320 to generate report 322. The combination of the information from trace 320 and tree 318 provide idle information needed to analyze and determine why processors become idle during execution of code. In these illustrative examples, report 322 contains information as to when processors are idle with respect to execution of threads 312. In this illustrative example, only a single processor is illustrated. The aspects of the present invention may be applied to multi-processor systems in which two or more processors are present. In these types of systems, a counter may be assigned to each processor as well as a counter for the overall number of times that idle states have occurred in all of the processors within the data processing system. Turning to FIG. 4, a diagram illustrating state information is depicted in accordance with an illustrative embodiment of the present invention. In this example, state information 400 is an example of state information 310 in FIG. 3. State information 400 contains processor area 402 and thread communication area 404. In this example, process area 402 contains the number of idle dispatches for each processor. As depicted, process area 402 contains idle dispatch information for processors 406, 408, and 410. Thread communication area 404 contains information for individual threads. The information in thread communication area 404 may be accessed by the application and by the device driver. This area could be, for example, shared memory or specific requests to read or write to the area. In this example, thread communication area 404 contains state information for threads 412, 414, 416, and 418. Each of these sections in thread communication area 404 contains information that may include any or all of the following: an identification of the processor last dispatched, the number of idle dispatches on that processor at the time that the thread was last dispatched, the total number of idle dispatches on all processors at the time the thread was dispatched, the total number of dispatches while on any specific processor and an identification of the node, pNode. This identification may be the address of the node or any other unique identifier with the application's context. pNode may be written by the application as it processes entries and exits. A call tree is constructed to identify all the functions or methods being called by any function or method. Each node in the call tree uniquely identifies a thread's call stack. For example in FIG. 5, the node C 506 identifies the call stack A->B->C The call tree is constructed by monitoring method/functions entries and exits. This can be done in several different ways, in “C” programs most modern compilers provide a “function begin” and “function end” label that can be utilized by an application program. This feature is usually provided by the compiler as a compiler option flag. In dynamic programs, such as Java, the architecture usually provides the ability to “hook” into code execution Java virtual machine profiler interface (JVMPI) or its replacement the Java virtual machine tools interface (JVMTI), sending an event on method entry and exit to monitor code. Using either of these methods, as well as others, it is possible to create the program's call tree as code execution is processed. For more information about constructing call trees and applying base time or metric such as idle counts, refer to IBM Systems Journal, Vol. 39, Nov. 1, 2000, pgs. 118-134, “A unifying approach to performance analysis in the Java environment.” This call tree can be stored in trace records 320 in FIG. 3, or as a separate file that can be merged in by application 316 in FIG. 3. Application 316 in FIG. 3 can use this call tree to provide the application's path as it goes into an idle state. Turning to FIG. 5, a diagram of a tree is depicted in accordance with an illustrative embodiment of the present invention. Tree 500 is an example of tree 318 in FIG. 3. Tree 500 is accessed and modified by an application, such as application 316 in FIG. 3. In this example, tree 500 contains nodes 502, 504, 506, and 508. Node 502 represents an entry into method A, node 504 represents an entry into method B, and nodes 506 and 508 represent entries into method C and D respectively. These nodes are created during entries and exits into various methods by threads. In the illustrative examples, each of these nodes is associated with a unique node identifier, which is then written into a trace, such as trace 320 in FIG. 3. The unique node identifier in these examples is the address of the node in memory. The information in these nodes also allow for retrieval of call stack information. The tree's node identifies the path to the node. For example, node 508 is labeled “D”. This node's call stack is A->B->D Turning now to FIG. 6, a diagram illustrating information in a node is depicted in accordance with an illustrative embodiment of the present invention. Entry 600 is an example of information in a node, such as node 502 in FIG. 5. In this example, entry 600 contains method/function identifier 602, tree level (LV) 604, calls 606, callees (CEE) 608, base 610, maximum amount of BASE time for any one event (M0MAX) 612, allocated objects (AO) 614, allocated bytes (AB) 616, Dispatches to idle (DIP) 618, Idle counts for all processors 624, and node identifier (pNode) 622. Entry 600 also contains (stores) idle counts for all processors 624 and idle counts on a processor 618. The information within entry 600 is information that may be generated for a node within a tree. For example, method/function identifier 602 contains the name of the method or function. Tree level (LV) 604 identifies the tree level of the particular node within the tree. For example, with reference back to FIG. 5, if entry 600 is for node 502 in FIG. 5, tree level 604 would indicate that this node is a root node. Calls 606 indicates the number of calls made to the particular method. Base 610 identifies the accumulated time on the method. The accumulated time is often stored in terms of numbers of instructions or cycles. Maximum time (M0MAX) for any one event 612 identifies the maximum time that occurs for a particular event. Allocated objects (AO) 614 identifies the number of objects allocated to the method and allocated bytes (AB) 616 identifies the number of bytes allocated by the method. A unique identifier for the node, in this case the address or pointer to the node pNode 622 may be written in the Node at the time the node is created. In addition, pNode 622 may be provided to the device driver in a preferred embodiment by writing the currently active pNode at entries and exits to a data area shared between the device driver and the application. In addition, the aspects of the present invention include other information used to determine why a particular processor is idle during certain periods of time when executing code. For example, node identifier 622 is employed such that trace records may be merged with information in the different nodes of a tree. This node identifier is an address of the node within memory in these examples. Idle count 624 identifies the total number of times that any processor was idle while the method was executing. Dispatches to idle (DIP) 618 consists of the number of dispatches to idle on the same processor as the method was last running. Other counts and approaches could be used, for example, count only dispatches from the thread to idle, or count only dispatches from idle to the thread. Turning to FIG. 7, a diagram illustrating a trace record is depicted in accordance with an illustrative embodiment of the present invention. In this example, trace record 700 is an example of a trace record within trace 320 in FIG. 3. Trace record 700 contains time stamp 702, current node address 704, and idle counts 706. Trace record 700 is generated when there is a dispatch to or a dispatch from a thread that contains a pNode and there is also a change of idle counts from those in the thread work area and those maintained by the device driver. This record may be correlated to a call tree, such as tree 500 in FIG. 5. The correlation of this information with information within a tree showing entries into and exits from methods provides an ability to recover both the thread and the complete call stacks with the address of the current tree node found in current tree node address 704. Time stamp 702 indicated when the particular event occurred. Additionally, idle counts 706 indicates changes or count relating to dispatches to or from idle for the processor on which the thread had last been dispatched or the total number of idle counts for all processors or the number of dispatches to idle from the thread or the number of dispatches from idle to the thread. The information may be compressed by providing indications of what has changed and including only the change information. Current tree node address 704 corresponds to the information stored in node identifier 622 in FIG. 6. Turning to FIG. 8, a flowchart of a processor for incrementing counters for threads in an idle state is depicted in accordance with an illustrative embodiment of the present invention. The process illustrated in FIG. 8 may be implemented in a device driver, such as device driver 308 in FIG. 3. The process begins by monitoring threads (step 800). A determination is made as to whether a thread is switching from an idle state (step 802). This determination may be made by a device driver in response to dispatches occurring during execution of code by a processor. Next, the processor associated with the thread is identified (step 804). The process then increments the counter for the identified processor (step 806). The process then proceeds to update the thread data area with idle counts (step 808). These idle counts may include the specific processor idle counts or idle counts for all processors or any other idle count as described in this application. Then, the process proceeds to step 800 as described above. Step 808 is described in more detail in the description of FIG. 11 below. With reference again to step 802, if the thread is not switching from an idle state the process proceeds to step 808 as described above. Turning now to FIG. 9, a flowchart of a process for monitoring an active thread is depicted in accordance with an illustrative embodiment of the present invention. The process illustrated in FIG. 9 may be implemented in an application, such as application 316 in FIG. 3. The application monitors a thread that is active using this process to update counts in a node when a thread enters or exits a method. The process begins by identifying last idle counts (step 900) which could be kept in its thread node. Thereafter, the process monitors the thread for entries and exits into methods (step 902). A determination is made as to whether an entry or exit has been detected (step 904). If an entry or exit into a method is not detected, the process returns to step 902 to continue to monitor the thread. Otherwise, the process identifies the current idle count (step 906). The current idle counts are identified from counters present in a work area, such as data area 314 in FIG. 3. These counts may be any of the counts being maintained in the thread work area by the device driver, for example it could be the dispatch to idle count for a particular processor on which the thread had been executing or it could be the counts of all dispatches to idle for all processors. A determination is made as to whether a difference is present between the base count and the current idle count (step 908). If a difference is present, the process updates the current node with the difference between the two counts (step 910) with the process then returning to step 900. With reference again to step 908, if a difference is not present, the process also returns to step 900 without updating any of the nodes or it could add the difference of zero. Turning now to FIG. 10, a flowchart of a process for combining trace records with nodes in a tree is depicted in accordance with an illustrative embodiment of the present invention. The process illustrated in FIG. 10 may be implemented in an application, such as application 316 in FIG. 3. This process is used to combine trace records with trees. The correlating or associating of information in a tree may involve, for example, writing the information into the node or creating a new node depending on the particular implementation. The combining of trace records as described in FIG. 10 may occur on different data processing systems. For example, the data may be captured from an embedded device or remote data processing system. This information may be collected and combined at another data processing system for analysis. The process begins by selecting a trace record for processing (step 1000). A determination is made as to whether the trace record has an address to a node (step 1002). If the trace record has an address to a node, the node is located in the tree using the node address (step 1004). The process then associates the trace record with the tree node (step 1006). Step 1006 may be implemented by placing the information from the trace record into the tree node. Alternatively, a new node may be created. Thereafter, a determination is made as to whether additional unprocessed trace records are present (step 1008). If additional unprocessed trace records are present, the process returns to step 1000. Otherwise, the process terminates. With reference again to step 1002, if the trace record does not have an address to a node, the process proceeds to step 1008 as described above. In this manner, information may be combined from trace records identifying idle counts with a tree constructed by processing entries and exits. By correlating the idle count or event information with this tree, an analysis may be made as to why a processor is idle during certain points of execution. With reference now to FIG. 11, a flowchart of a process for handling dispatching from an idle thread is depicted in accordance with an illustrative embodiment of the present invention. The process illustrated in FIG. 11 may be implemented in a device driver, such as device driver 308 in FIG. 3. In particular, FIG. 11 is a more detailed description of step 808 in FIG. 8. This figure describes a single dispatch in these examples. The process begins when a thread is dispatched and a determination is made if the dispatch is from idle (step 1100) If the dispatch is from idle then the process continues by incrementing the number of idle dispatches for the processor (step 1101). In any case the process continues by making a determination as to whether the dispatch is to a thread of interest (step 1102). The thread of interest may be any thread or a particular thread that has been identified for monitoring. If the dispatch is not to a thread of interest, the process terminates by returning to monitoring threads (step 800). Otherwise, a determination is made as to whether the thread of interest was last dispatched to the current processor (step 1104). If the thread of interest was last dispatched to the current processor, the dispatch processor's idle delta is set equal to the new number of idle dispatches on that processor at the time the thread was dispatched minus the last number of idle dispatches for the processor (step 1106). The last processor dispatched and the last number of idle dispatches for the processor are available in the thread work area. The new number of idle dispatches on that processor are in the per processor work area. The change of values are placed or accumulated in the thread work area. If the last processor dispatched is not the current processor as specified in the thread work area, then in a preferred embodiment, the difference between the current value of the number of idle dispatches on the previous processor available in the per processor work area and the previous number of idle dispatches on the thread available in the thread work area may be added to the total number of dispatches on processor in the thread work area. In this embodiment, the total number of dispatches on the last dispatched processor is also kept in the thread work area. Then or later, the thread work area is updated with the new processor and the new number of dispatches for that processor in the thread work area (step 1112). Thereafter, the total dispatch delta is set equal to the sum of the number of idle dispatches for all processors minus the total number of idle dispatches on all processors at the time thread was dispatched (step 1108). In alternative embodiment, the process proceeds directly to this step from step 1104, if the processor last dispatched is not the current processor. Next, the process updates the processor last dispatched in the thread work area with the current processor (step 1110). Then, the number of idle dispatches on the processor at the time the thread was dispatched is updated with the number of idle dispatches for the processor (step 1112). Next, the process updates the total number of idle dispatches on all processors at the time the thread was dispatched with the sum of the number of idle dispatches for all processors (step 1114). A determination is made as to whether the pNode is null (step 1116). The pNode is the address for a node on a tree used to trace entries into and exits from methods. This determination is made to see whether a node is present. If the pNode is null, the process terminates. Otherwise, a determination is made as to whether the dispatched idle delta or the total dispatched delta is not equal to zero (step 1118). If both are equal to zero, the process terminates. Otherwise, a trace record is written with the pNode and either the dispatch processor delta, total dispatch delta, or both values (step 1120) with the process terminating thereafter. Thus, the aspects of the present invention provide a computer implemented method, apparatus, and computer usable program product for generating data for use in determining why a processor may be idle during execution of code. The aspects of the present invention allow for the occurrence of idle states in a processor to be correlated to other execution information, such as methods being entered or exited and call stack information. With this combined information, reports may be generated to analyze why a processor enters an idle state during execution and whether those idle states may be reduced by code optimization or changes. The invention can take the form of an entirely hardware embodiment, an entirely software embodiment or an embodiment containing both hardware and software elements. In a preferred embodiment, the invention is implemented in software, which includes but is not limited to firmware, resident software, microcode, etc. Furthermore, the invention can take the form of a computer program product accessible from a computer-usable or computer-readable medium providing program code for use by or in connection with a computer or any instruction execution system. For the purposes of this description, a computer-usable or computer readable medium can be any tangible apparatus that can contain, store, communicate, propagate, or transport the program for use by or in connection with the instruction execution system, apparatus, or device. The medium can be an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system (or apparatus or device) or a propagation medium. Examples of a computer-readable medium include a semiconductor or solid state memory, magnetic tape, a removable computer diskette, a random access memory (RAM), a read-only memory (ROM), a rigid magnetic disk and an optical disk. Current examples of optical disks include compact disk-read only memory (CD-ROM), compact disk-read/write (CD-R/W) and DVD. A data processing system suitable for storing and/or executing program code will include at least one processor coupled directly or indirectly to memory elements through a system bus. The memory elements can include local memory employed during actual execution of the program code, bulk storage, and cache memories which provide temporary storage of at least some program code in order to reduce the number of times code must be retrieved from bulk storage during execution. Input/output or I/O devices (including but not limited to keyboards, displays, pointing devices, etc.) can be coupled to the system either directly or through intervening I/O controllers. Network adapters may also be coupled to the system to enable the data processing system to become coupled to other data processing systems or remote printers or storage devices through intervening private or public networks. Modems, cable modem and Ethernet cards are just a few of the currently available types of network adapters. The description of the present invention has been presented for purposes of illustration and description, and is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art. The embodiment was chosen and described in order to best explain the principles of the invention, the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated.
description
This application claims the benefit of U.S. Provisional Application No. 61/922,019, filed on Dec. 30, 2013 and titled EXTREME ULTRAVIOLET LIGHT SOURCE, which is incorporated herein by reference in its entirety. The disclosed subject matter relates to a target for a laser produced plasma extreme ultraviolet light source. Extreme ultraviolet (EUV) light, for example, electromagnetic radiation having wavelengths of around 50 nm or less (also sometimes referred to as soft x-rays), and including light at a wavelength of about 13 nm, can be used in photolithography processes to produce extremely small features in substrates, for example, silicon wafers. Methods to produce EUV light include, but are not necessarily limited to, converting a material that has an element, for example, xenon, lithium, or tin, with an emission line in the EUV range in a plasma state. In one such method, often termed laser produced plasma (LPP), the required plasma can be produced by irradiating a target material, for example, in the form of a droplet, plate, tape, stream, or cluster of material, with an amplified light beam that can be referred to as a drive laser. For this process, the plasma is typically produced in a sealed vessel, for example, a vacuum chamber, and monitored using various types of metrology equipment. In one general aspect, a method of forming a shaped target for an extreme ultraviolet light source includes forming a first remaining plasma that at least partially coincides with a target region; providing a target including target material in a first spatial distribution to the target region, the target material including material that emits EUV light when converted to plasma; allowing the first remaining plasma and the initial target to interact, the interaction rearranging the target material from the first spatial distribution to a shaped target distribution to form a shaped target in the target region, the shaped target including the target material arranged in the shaped spatial distribution; directing an amplified light beam toward the target region to convert at least some of the target material in the shaped target to a plasma that emits EUV light, the amplified light beam having an energy sufficient to convert the target material in the shaped target to plasma that emits EUV light; and allowing a second remaining plasma to form in the target region. Implementations can include one or more of the following features. The shaped target distribution can include sides that extend from a vertex, the sides defining a recess that is open to the amplified light beam. The shaped target distribution can include a concave region that is open to the amplified light beam. The amplified light beam can be a pulsed amplified light beam. Providing a target material in a first spatial distribution to the target region can include providing a disk-shaped target to the target region. Providing a disk-shape target can include releasing a target material droplet including target material from a target material supply apparatus toward the target region; directing a pulse of radiation toward the target material droplet to interact the pulse of radiation with the target material droplet while the target material droplet is between the target material supply apparatus and the target region, the first pulse of radiation having an energy sufficient to initiate a modification of a spatial distribution of the target material of the target material droplet; and allowing the target material droplet to expand in two dimensions after the interaction between the pulse of radiation and the target material droplet to form the disk-shaped target. The target material droplet can expand in two dimensions by expanding in a plane that is perpendicular to a direction of propagation of the amplified light beam. The target material droplet can narrow in a direction that is parallel to the direction of propagation to form the disk-shaped spatial distribution of target material. The first pulse of radiation can be a pulse of laser light having a wavelength of 1.06 microns (μm) and the amplified light beam can be a pulsed laser beam having a wavelength of 10.6 μm. The first pulse of radiation and the amplified light beam can have the same wavelength. In some implementations, a second target that includes target material in the first spatial distribution to the target region can be provided. The second remaining plasma and the second target can interact, the interaction arranging the target material in the first spatial distribution to the shaped target distribution to form a second shaped target in the target region, the amplified light beam can be directed toward the target region to convert at least some of the second shaped target to a plasma that emits EUV light, and a third remaining plasma can form in the target region. In some implementations, the amplified light beam is directed toward the target region and the second shaped target no more than 25 microseconds (μs) after the amplified light beam is directed toward the first shaped target. A first burst of EUV light can be produced after directing the amplified light bean toward the target region and the shaped target, and a second burst of EUV light can produced after directing the amplified light bean toward the target region and the second shaped target, the first and second EUV bursts occurring no more than 25 μs apart. In another general aspect, a method includes forming a first remaining plasma that at least partially coincides with a target region, the remaining plasma being a plasma formed from a previous EUV-light producing interaction between target material and an amplified light beam; providing a target including target material in a first spatial distribution to the target region, the target material including material that emits EUV light when converted to plasma; initiating a modification of the first spatial distribution of target material in two dimensions by interacting the target with a first pulse of radiation; allowing the first spatial distribution of target material to change in the two dimensions after interacting the target with the first pulse of radiation to form a modified target; shaping the modified target in three dimensions by allowing the modified target to enter into the target region and interact with the first remaining plasma to form a shaped target; and directing an amplified light beam toward the target region and the shaped target to form a plasma that emits extreme ultraviolet (EUV) light. Implementations can include one or more of the following features. The two dimensions can be two dimensions that extend in a plane that is perpendicular to the direction of propagation of the amplified light beam. Initiating a modification of the first spatial distribution in two dimensions can include directing a pulsed laser beam toward the target such that a pulse of the laser beam interacts with the target. The two dimensions can include two dimensions that extend in a plane that is perpendicular to the direction of propagation of the pulsed laser beam. The modified target can have a larger cross-sectional area in the plane that is perpendicular to the direction of propagation of the pulsed laser beam than the target. The shaped target distribution can include a concave region that is open to the amplified light beam. The target region can be located in an interior of a vacuum chamber of an EUV light source. Implementations of any of the techniques described above may include a target for a laser produced plasma EUV light source, an EUV light source, a method of producing EUV light, a system for retrofitting an EUV light source, a method, a process, a device, executable instructions stored on a computer readable medium, or an apparatus. The details of one or more implementations are set forth in the accompanying drawings and the description below. Other features will be apparent from the description and drawings, and from the claims. Techniques for producing a shaped target are disclosed. The target can be used in an extreme ultraviolet (EUV) light source. The shaped target includes target material that emits EUV light when converted to plasma. The target material can be converted to plasma that emits EUV light by, for example, irradiating the target material with an amplified light beam. The shaped target is formed in real-time by exposing an initial target, which includes target material, to a “remaining plasma.” The remaining plasma is matter that remains in a region after the target material is converted to the plasma that emits EUV light in the region. The remaining plasma can be any matter that is present in the region due to an earlier interaction between target material and light that resulted in generation of a plasma that emits EUV light. The remaining plasma is the remains or remnants of the plasma that emits EUV light and can include debris generated from the interaction between the amplified light beam and the target material. The remaining plasma can include, for example, hot gas, atoms, ions, microparticles (which can be, for example, particles having a diameter of 1-1000 μm, such as dust), particles, and/or rarified gas. The remaining plasma is not necessarily a plasma, but can include plasma. The density and temperature of the remaining plasma can be spatially and/or temporally varying. Thus, the region that includes the remaining plasma can be considered a region of nonhomogeneous density and temperature. It is possible that when target material enters this nonhomogeneous region, asymmetric forces act on the target material to change the spatial distribution (shape) of the target material. In some instances, the spatial distribution of the target material can be changed from a disk-like shape into a V-like shape that has sides that meet at an apex and a recess that is open to an oncoming amplified light beam. The material that makes up the shaped target has a spatial distribution (or shape), and the shape can result from an interaction between the initial target and the remaining plasma. The shaped target can provide greater confinement of plasma and a larger EUV emitting volume, leading to increased EUV light production. Additionally, the shaped target is formed in the EUV light source (for example, inside of a vacuum chamber of the EUV light source) while the EUV light source is operating. Consequently, the shaped target can be used in a high repetition rate, for example, 40 kilohertz (kHz), 100 kHz, or greater, EUV light source. In some implementations, the shaped target is a concave target with a recessed portion or cavity that is open to an oncoming amplified light beam that has energy sufficient to convert at least part of the shaped target to plasma. The cavity is open to the oncoming amplified light beam by being oriented in a manner that allows at least a portion of the cavity to receive and interact with the amplified light beam. For example, the shaped target can be a “V” shaped target, with a recessed or valley portion of the “V” open to the oncoming amplified light beam. The sides of the “V” envelopes the plasma and confines the plasma that is generated through the interaction of the target with the amplified light beam in the recessed portion. In this way, the plasma that is formed has a longer scale length than would be achieved by forming a plasma from an interaction between the amplified light beam and a flat target that lacks a recess. The scale length of a plasma defines the light absorption region and is given by the local density divided by the density gradient. A longer scale length indicates that the plasma more readily absorbs light, and, therefore, emits more EUV light. Additionally, the shape of the target provides a larger EUV emitting volume, which also increases the amount of EUV light emitted from the target. Referring to FIG. 1, an optical amplifier system 106 forms at least part of an optical source 105 (also referred to as a drive source or a drive laser) that is used to drive a laser produced plasma (LPP) extreme ultraviolet (EUV) light source 100. The optical amplifier system 106 includes at least one optical amplifier such that the optical source 105 produces an amplified light beam 110 that is provided to a target region 130. The target region 130 receives a target material 120, such as tin, from a target material delivery system 115, and an interaction between the amplified light beam 110 and the target material 120 (or a shaped target produced through an interaction between remaining plasma in the target region 130 and target material) produces plasma 125 that emits EUV light or radiation 150 (only some of the EUV radiation 150 is shown in FIG. 1 but it is possible for the EUV radiation 150 to be emitted in all directions from the plasma 125). A light collector 155 collects at least some of the EUV radiation 150, and directs the collected EUV light 160 toward an optical apparatus 165 such as a lithography tool. The amplified light beam 110 is directed toward the target region 130 by a beam delivery system 140. The beam delivery system 140 can include optical components 135 and a focus assembly 142, which focuses the amplified light beam 110 in the focal region 145. The components 135 can include optical elements, such as lenses and/or mirrors, which direct the amplified light beam 110 by refraction and/or reflection. The components 135 also can include elements that control and/or move the components 135. For example, the components 135 can include actuators that are controllable to cause optical elements of the beam delivery system 140 to move. The focus assembly 142 focuses the amplified light beam 110 so that the diameter of the beam 110 is at a minimum in the focal region 145. In other words, the focus assembly 142 causes the radiation in the amplified light beam 110 to converge as it propagates toward the focal region 145 in a direction 112. In the absence of a target, the radiation in the amplified light beam 110 diverges as the beam 110 propagates away from the focal region 145 in the direction 112. FIGS. 2A-2D show target material interacting with a light beam 210 and a remaining plasma in a target region 230. The target region 230 can be a target region in an EUV light source, such as the target region 130 of the light source 100 (FIG. 1). The interaction between the target material and the remaining plasma changes the spatial distribution of the target material, shaping the target material into a shaped target. In the example of FIGS. 2A-2D, the amplified light beam 210 is pulsed. The pulsed amplified light beam includes pulses of light or radiation that occur at regular intervals, with each pulse having a temporal duration. The temporal duration of a single pulse of light or radiation can be defined as the amount of time during which the pulse has an intensity that is greater than or equal to a percentage (for example 50%) of the maximum intensity of the pulse. For a percentage of 50%, this duration can also be referred to as the full width at half maximum (FWHM). The interaction between a pulse of the amplified light beam 210 and the target material converts at least part of the target material into plasma, generating a remaining plasma that lingers or remains in the target region 230 after the interaction between the pulse and the target material ends. As discussed below, the remaining plasma is used to shaped target material that subsequently enters the target region 230. Referring to FIG. 2A, a side view of an exemplary target material 220a interacting with a pulse 211a (FIG. 2C) of the amplified light beam 210 at a target region 230 is shown. Irradiation by the pulse 211a converts at least a portion of the target material 220a to plasma 225 that emits EUV light 250a. Referring also to FIG. 2B, the target region 230 after the pulse 211a of the amplified light beam 210 has irradiated and consumed the target material 220a is shown. After the pulse 211a converts the target material 220a to plasma, a region of remaining plasma 226a is formed in the target region 230. FIG. 2B shows a cross-section of the region of remaining plasma 226a and the remaining plasma 227a, both of which occupy a three-dimensional region. The remaining plasma 227a in the region of remaining plasma 226a can include all, a portion, or none of the plasma 225, and also can include hot gases, debris, such as portions of the target material 220a and/or pieces or particles of target material that were not converted to the plasma 225. The remaining plasma 227a can have a density that varies in the region 226a. For example, the density can have a gradient that increases inward from the outer portion of the region 226a, with the highest density being at or near the center of the region 226a. FIG. 2C shows a plot of the intensity of the amplified light beam 210 that arrives at the target region 230 over a time period 201. Three cycles of the amplified light beam 210, each including a respective pulse of radiation 211a-211c, are shown. The lower part of FIG. 2C shows a cross section of the target region 230 over the time period 201. The pulse 211a-211c of the amplified light beam 210, respectively, is applied to each of targets 220a-220c to produce respective EUV light emissions 250a-250c. The target materials 220a-220c are in the target region 230 at three different times. The target material 220a is in the target region 230 when the first pulse 211a arrives in the target region 230. The pulse 211a is the first pulse in the amplified light beam 210, and, thus, there is no remaining plasma in the target region 230 when the target material 220a arrives in the target region 230. The target material 220b arrives at the target region 230 at a time 266 that occurs after the region of plasma 226a has been formed. At the time 266, the target material 220b and the remaining plasma 227a are both in the target region 230 and begin to interact with each other. The interaction between the remaining plasma 227a and the target material 220b shapes the target material 220b into a shaped target 221b, which more readily absorbs the amplified light beam 210 than the target material 220b. For example, the conversion efficiency associated with converting the shaped target 221b to plasma can be 30% more than the conversion efficiency associated with converting the target material 220a to plasma. After the target material 220b is shaped, or while the target material 220b is being shaped, by the remaining plasma 227a, the pulse 211b of the amplified light beam 210 interacts with the shaped target 221b. Due to this interaction, at least a portion of the target material in the shaped target 221b is converted to a plasma that emits EUV light. Additionally, a region of remaining plasma 226b with remaining plasma 227b is generated. In this manner, a new instance of the remaining plasma is generated after each interaction between a pulse and the target material. This new instance of the remaining plasma also lingers in the target region 230 and is available to shape subsequent target material that enters the target region 230. At a time after the time 266 and while the remaining plasma 227b is in the target region 230, a target material 220c arrives in the target region 230. An interaction between the remaining plasma 227b and the target material 220c produces a shaped target 221c, and an interaction between the pulse 211c and the shaped target 221c produces an EUV emission 250c. The density gradient of and/or space occupied by the regions of plasma and remaining plasma can vary over time. For example, the remaining plasma 227a and 227b in the regions 226a and 226b, respectively, can dissipate to occupy a larger volume of space and the density gradient of the remaining plasma 227a and 277b can become less steep as the time since the most recent interaction between the amplified light beam 210 and a target increases. The EUV light emissions 250a and 250b are separated by a time duration 264 that is the inverse of the repetition rate of the EUV light source. The EUV light source's system repetition rate can be, for example, 40 kHz-100 kHz. Thus, the time duration 264 can be twenty-five (25) microseconds (μm) or less. The time between the EUV light emissions 250a and 250b depends on the temporal separation of the pulses in the amplified light beam 210, thus, the repetition rate of the source that generates the amplified light beam 210 at least partially determines the repetition rate of the overall EUV light source. The speed at which the shaped targets 221b and 221c are generated depends on the repetition rate of the source that produces the amplified light beam 210 and the rate at which initial target material is provided. For example, a shaped target can be generated after every interaction between a pulse of the amplified light beam 210 and a target material that results in the production plasma. Thus, the shaped targets can be generated at, for example, 40 kHz-100 kHz. In this manner, shaped targets can be generated in real-time and while the EUV light source is operating. Further, the relatively high repetition rate (for example, 40 kHz-100 kHz) allows the initial target material to enter the target region 230 while the remaining plasma is present. Moreover, because the formation of the shaped target takes advantage of the remaining plasma that is present from the previous laser-target material interaction that resulted in the production of a plasma that emits EUV light, the repetition rate of an EUV source that uses the shaped target is not limited by the time to form the shaped target and the EUV source can have a repetition rate that is the same as the rate of production of the shaped targets. Referring to FIG. 3, a flow chart of an exemplary process 300 for forming a shaped target is shown. The process 300 can be performed in an EUV light source, such as the light source 100 of FIGS. 1 and 8 or the light source 602 of FIG. 6. The process 300 is discussed with respect to FIGS. 2A-2D. The remaining plasma 227a is generated (310). For example, the remaining plasma 227a can be generated by interacting the amplified light beam 210 with the target material 220a. The interaction of the amplified light beam 210 and the target material 220a produces a plasma, which can emit EUV light. Remnants of the plasma that emits EUV light and associated debris lingers in the target region 230 after the EUV light emission, and this remaining plasma persists or otherwise occupies all or part of the target region 230 for a period of time after the target material 220a is converted into plasma. The remaining plasma 227a extends in three dimensions and occupies a volume. The remaining plasma 227a is in the target region 230 when the next target (the target material 220b in this example) arrives in the target region 230. The target material 220b can be any material that includes target material that emits EUV light when converted to plasma. For example, the target material 220b can be tin. Additionally, the target material 220b can have any spatial form that produces an EUV-light emitting plasma when interacted with the amplified light beam 210. For example, the target material 220b can be a droplet of molten metal, a portion of a wire, a disk-shaped or cylinder-shaped segment of molten metal that has its widest extent oriented perpendicular to a direction of propagation of the amplified light beam 210. The example of the target material 220b having a disk or cylindrical shape is discussed with respect to FIGS. 5 and 6A-6C. In some implementations, the target material 220b can be a mist or a collection of particles or pieces of material separated by voids. The target material 220b can be provided to the target region 230 by passing molten target material through a nozzle of a target material supply apparatus, such as the target material delivery system 115 of FIG. 1, and allowing the target material 220b to drift into the target region 230. In some implementations, the target material 220b can be directed to the target region 230 by force. The shape of the target material 220b can be modified before reaching the target region 230 by, for example, irradiating the target material 220b with a pre-pulse (a pulse of radiation that interacts with the target material before an interaction with a pulse of the amplified light beam 210) as the target material 220b drifts toward the target region 230. An example of such an implementation is discussed with respect to FIGS. 4 and 5A-5C. Additionally or alternatively, in some implementations, the shape of the target material 220b changes as it drifts toward the target region 230 due to aerodynamic forces. The remaining plasma 227a interacts with the target material 220b to form the shaped target 221b (320). When the target material 220b meets the remaining plasma 227a, the density of the remaining plasma 227a bends or otherwise spatially deforms the target material 220b to form the shaped target 221b. For example, the density of the remaining plasma 227 can be higher than the surrounding region, and the physical impact of encountering the plasma 227a can bend a portion of the target material 220b into a “V” shape or a concave target with a recess open to the amplified light beam 210. The recess is an open region between sides that include target material. The sides intersect at an apex, with the apex being farther from the amplified light beam than the recess. The sides can be generally curved and/or angled relative to each other to form and define the recess. As the target material 220b drifts further into the remaining plasma 227a, the remaining plasma 227a continues to bend or deform the target material 220b into a shaped target. The remaining plasma 227a can have a density gradient (or spatially varying density) within the plasma region 226a. For example, the density can have a gradient that increases inward from the outer portion (circumference) of the region 226a, with the highest density being at or near the center of the region 226a. The amplified light beam 210 and the shaped target 221b interact (330). The interaction between the amplified light beam 210 and the shaped target 221b can be caused or initiated by, for example, directing the pulse 211b of the amplified light beam 210 toward the target region 230 so that the light in the pulse 211b irradiates the shaped target 221b. The interaction between the pulse 211b and the shaped target 221b generates the EUV light 250b and the remaining plasma 227b. FIGS. 4 and 5A-5C show examples of forming a shaped target with a pre-pulse and remaining plasma. The process 300 can be performed in an EUV light source, such as the light source 100 of FIGS. 1 and 8 or the light source 602 of FIG. 6. Referring to FIG. 4, a flow chart of an exemplary process 400 for generating a shaped target is shown. Referring also to FIGS. 5A-5C, an example of the process 400 is shown. An exemplary waveform 502 (FIG. 5B) and a remaining plasma 527 (FIG. 5C) transform an initial target material 518 into a shaped target 521. The remaining plasma 527 is present in a target region 530 and includes matter that was generated by a prior interaction between an amplified light beam and target material. The initial target material 518 and the target 521 include target material that emits EUV light 550 when converted to plasma through irradiation with an amplified light beam 510. In greater detail and referring to FIG. 4, the initial target material 518 is provided at an initial target region 531 (410). In this example, the initial target material 518 is a droplet of molten metal, such as tin. The droplet can have a diameter of, for example, 30-60 μm or 33 μm. The initial target material 518 can be provided to the initial target region 531 by releasing target material from a target material supply apparatus (such as the target material delivery system 115 of FIG. 1) and directing the initial target material 518 to or allowing the initial target material 518 to drift into the initial target region 531. The target material can be a target mixture that includes a target substance and impurities such as non-target particles. The target substance is the substance that is converted to a plasma state that has an emission line in the EUV range. The target substance can be, for example, a droplet of liquid or molten metal, a portion of a liquid stream, solid particles or clusters, solid particles contained within liquid droplets, a foam of target material, or solid particles contained within a portion of a liquid stream. The target substance can be, for example, water, tin, lithium, xenon, or any material that, when converted to a plasma state, has an emission line in the EUV range. For example, the target substance can be the element tin, which can be used as pure tin (Sn); as a tin compound, for example, SnBr4, SnBr2, SnH4; as a tin alloy, for example, tin-gallium alloys, tin-indium alloys, tin-indium-gallium alloys, or any combination of these alloys. Moreover, in the situation in which there are no impurities, the target material includes only the target substance. The discussion below provides an example in which the initial target material 518 is a droplet made of molten metal. However, the initial target material 518 can take other forms. A first pulse of radiation 506 is directed toward the initial target region 531 (420). The interaction between the first pulse of radiation 506 and the initial target material 518 forms a modified target material 552. As compared to the initial target material 518, the modified target material 552 has a side cross section with an extent that is greater in the y direction, and is less in the z direction. FIGS. 5A and 5C show a time period 501 during which the initial target material 518 physically transforms into the modified target material 552, to the shaped target 521, and then emits EUV light 550. FIG. 5B is a plot of the energy in the waveform 502 of the amplified light beam 510 as a function of time over the time period 501. The waveform 502 includes a representation of a pulse of radiation 506 (a pre-pulse 506) and a pulse of an amplified light beam 510. The pre-pulse 506 can also be referred to as a conditioning pulse. The pre-pulse 506 can be any type of pulsed radiation that has sufficient energy to act on the initial target material 518, for example, to change the shape of the initial target material 518 or initiate a change in the shape of the initial target material 518. The pre-pulse 506 is incident on a surface of the initial target material 518 and the interaction between the pre-pulse 506 and the initial target material 518 can produce a cloud of debris, gasses, and/or plasma (that does not necessarily emit EUV light) at the surface of the target material. Although EUV light can be emitted from a plasma generated by the interaction of the pre-pulse 506 and the initial target material 518, any EUV light emitted would be much less than, for example, an interaction between target material and the amplified light beam 510. The force of the impact of the first pre-pulse 506 deforms the initial target material 518 into a modified target material 552 that has a shape that is different than the shape of the initial target material 518. For example, the initial target material 518 can have a shape that is similar to a droplet, while the shape of the modified target material 552 can be closer to a disk. The modified target material 552 can be a material that is not ionized (a material that is not a plasma). The modified target material 552 can be, for example, a disk of liquid or molten metal, a continuous segment of target material that does not have voids or substantial gaps, a mist of micro- or nano-particles, or a cloud of atomic vapor. In the example of FIG. 5C, the modified target material 552 expands, for example, after about 1-3 microseconds (μs), into a disk shaped piece of molten metal 553. The pre-pulse has a duration 515. The pulse duration 515 of the pre-pulse 506 and the pulse duration of the main beam 510 can be represented by the full width at half maximum, that is, the amount of time that the pulse has an intensity that is at least half of the maximum intensity of the pulse. However, other metrics can be used to determine the pulse duration. The pulse duration 515 can be, for example, 30 nanoseconds (ns), 60 ns, 130 ns, 50-250 ns, 10-200 picoseconds (ps), or less than 1 ns. The energy of the pre-pulse 506 can be, for example, 1-70 milliJoules (mJ). The wavelength of the pre-pulse 506 can be, for example, 1.06 μm, 1-10.6 μm, 10.59 μm, or 10.26 μm. In some implementations, the pre-pulse 506 can be focused to a focal plane by a focusing optic (such as the focus assembly 142 of FIG. 1). The focal plane includes the focus of the pre-pulse 506. The focus is the minimum spot size that the pre-pulse 506 forms in a plane that is perpendicular to the direction of propagation of the pre-pulse 506. The focus of a light beam occurs at the location, along the direction in which the beam propagates, where the beam has the smallest diameter in a plane that is perpendicular to the direction of propagation. The focus of the pre-pulse 506 can occur within the initial target region 531 or outside of the initial target region 531. The pre-pulse 506 can be focused onto the initial target material 518, and doing so may allow a delay time 511 between the pre-pulse 506 and the amplified light beam 510 to be reduced while still allowing the modified target 552 to expand spatially into the disk shape 553. In some implementations, the focus of the pre-pulse 506 can be 0.5 millimeters (mm)-1 mm away (on either side) from the initial target material 518, measured along the direction of propagation of the pre-pulse 506. The amplified light beam 510 can be referred to as the main beam or the main pulse. The amplified light beam 510 has sufficient energy to convert target material in the target 521 to plasma that emits EUV light. The pre-pulse 506 and the amplified light beam 510 are separated in time by the delay time 511, with the amplified light beam 510 occurring at time t2, which is after a time t=t1 when the pre-pulse 506 occurs. The modified target material 552 expands during the delay time 511. The delay time 511 can be, for example, 1-3 microseconds (μs), 1.3 μs, 1-2.7 μs, or any amount of time that allows expansion of the modified target 552 into the disk shape 553. Thus, in (420) of the process 500, the modified target 552 can undergo a two-dimensional expansion as the modified target 552 expands and elongates in the x-y plane. In (430) of the process 500, the target that has been allowed to undergo two-dimensional expansion (for example, the disk shape 553) can be shaped in three dimensions into a shaped target 521 through interaction with the remaining plasma 527. Referring again to FIG. 4, the modified target 552 (or, if formed, the disk shape 553) is allowed to interact with the remaining plasma 527 to form the shaped target 521 at the target region 530 (430). The remaining plasma 527 is in the target region 530 when the modified target 552 reaches the target region 530. When the disk shape 553 encounters the remaining plasma 527, the density of the remaining plasma 527 bends or otherwise spatially deforms the modified target (or the disk shape 553) to form the shaped target 521. The remaining plasma 527 can have a density gradient. For example, the density of the remaining plasma 527 can be higher than the surrounding region. In the example shown in FIG. 5C, the impact of encountering the plasma 527 bends a portion of the modified target material 552 (or the disk shape 553) into, for example, a “V” shape, a bowl-like shape, or a concave disk-like shape with a recess 528 that is open to the amplified light beam 510. As the modified target material 552 (or disk shape 553) drifts further into the remaining plasma 227a, the remaining plasma 227a can continue to bend or deform the modified target material 552 (or disk shape 553) into the shaped target 521. The shaped target 521 is a three-dimensional shape with the recess 528 being an open region between wings or sides 558. The sides 558 are formed from the target material 552 (or the disk shape 553) folding about an apex 559, which is father from the amplified light beam 510 than the recess 528. Because the apex 559 is farther from the amplified light beam 510, the recess 528 is open to the amplified light beam 510. The sides 558 intersect at the apex 559, and the sides 558 extend outward from the apex 559. The shaped target 521 can have an approximately “V” shaped cross-section in a y-z plane that includes the apex 559. The cross-section can be approximately a “V” shape by, for example, having a curved apex 559 and/or one or more curved sides 558 and/or having the sides 558 extend from the apex 559 at different angles relative to the direction of propagation 512. The shaped target 521 can have other spatial forms. For example, the shaped target 521 can be shaped as a bowl (and thus has a semi-circular or semi-ellipsoidal shaped cross-section) in a y-z plane that includes the apex 559. The amplified light beam 510 is directed toward the target region 530 (440). Directing the amplified light beam 510 toward the target region 530 can deliver a pulse of radiation to the target region 230 while the shaped target 521 is in the target region 230. Thus, directing the amplified light beam 510 toward the target region 230 can cause an interaction between the amplified light beam 510 and the shaped target 521. The interaction between the amplified light beam 510 and the target material in the target 521 produces plasma 529 that emits the EUV light 550. The plasma 529 is confined to the recess 528 by the density of the sides 558 of the shaped target 521. The confinement allows further heating of the target 521 by the plasma 529 and/or the amplified light beam 510, leading to additional plasma and EUV light generation. As compared to the modified target material 552 or the disk shape 553, the shaped target 521 exposes a larger volume of target material to the amplified light beam 510. This increase in the volume of target material results in the shaped target 521 being able to absorb a higher portion of the energy in a pulse of radiation as compared to the portion that the modified target 552 or disk shape 553 can absorb. Thus, the shaped target 521 may lead to an increase in conversion efficiency (CE) and an increase in the amount of EUV light produced. Additionally, although the shaped target 521 exposes a larger volume of target material to the amplified light beam 510, the shaped target 521 is still dense enough to absorb the light in the amplified light beam 510 rather than simply breaking apart or otherwise allow the amplified light beam 510 to pass through without being substantially absorbed. The shaped target 521 also can have a larger EUV emitting volume that the modified target material 552. The amplified light beam 510 can be a pulsed amplified light beam with a pulse duration of, for example, 130 ns, 200 ns, or 50-200 ns. Additionally, the amplified light beam 510 can be focused by a focusing optic (such as the focus assembly 142 of FIG. 1). The focus of the amplified light beam 510 can occur, for example, at the target 521, or 0.5 mm-2 mm on either side of the target 521 (measured in the direction 512, which is the direction of propagation of the amplified light beam 510). Referring to FIG. 6, a block diagram of an exemplary optical imaging system 600 is shown. The system 600 can be used to perform the process 400 (FIG. 4). The optical imaging system 600 includes an LPP EUV light source 602 that provides EUV light to a lithography tool 665. The light source 602 can be similar to, and/or include some or all of the components of, the light source 100 of FIG. 1. The system 600 includes an optical source such as a drive laser system 605, an optical element 622, a pre-pulse source 643, a focusing assembly 642, and a vacuum chamber 640. The drive laser system 605 produces an amplified light beam 610. The amplified light beam 610 has energy sufficient to convert target material in a target 620 into plasma that emits EUV light. Any of the targets discussed above can be used as the target 620. The pre-pulse source 643 emits pulses of radiation 617 (in FIG. 6, the pulses of radiation 617 are shown with a dashed line to visually distinguish from the amplified light beam 610). The pulses of radiation can be used as the pre-pulse 506 (FIG. 5A-5C). The pre-pulse source 643 can be, for example, a Q-switched Nd:YAG laser that operates at a 50 kHz repetition rate, and the pulses of radiation 617 can be pulses from the Nd:YAG laser that have a wavelength of 1.06 μm. The repetition rate of the pre-pulse source 643 indicates how often the pre-pulse source 643 produces a pulse of radiation. For the example where the pre-pulse source 643 has a 50 kHz or higher repetition rate, a pulse of radiation 617 is emitted every 20 microseconds (μs). Other sources can be used as the pre-pulse source 643. For example, the pre-pulse source 324 can be any rare-earth-doped solid state laser other that an Nd:YAG, such as an erbium-doped fiber (Er:glass) laser. In another example, the pre-pulse source can be a carbon dioxide laser that produces pulses having a wavelength of 10.6 μm. The pre-pulse source 643 can be any other radiation or light source that produces light pulses that have an energy and wavelength used for the pre-pulses discussed above. The optical element 622 directs the amplified light beam 610 and the pulses of radiation 617 from the pre-pulse source 643 to the chamber 640. The optical element 622 is any element that can direct the amplified light beam 610 and the pulses of radiation 617 along similar or the same paths. In the example shown in FIG. 6, the optical element 622 is a dichroic beamsplitter that receives the amplified light beam 610 and reflects it toward the chamber 640. The optical element 622 receives the pulses of radiation 617 and transmits the pulses toward the chamber 640. The dichroic beamsplitter has a coating that reflects the wavelength(s)s of the amplified light beam 610 and transmits the wavelength(s) of the pulses of radiation 617. The dichroic beamsplitter can be made of, for example, diamond. In other implementations, the optical element 622 is a mirror that defines an aperture (not shown). In this implementation, the amplified light beam 610 is reflected from the mirror surface and directed toward the chamber 640, and the pulses of radiation pass through the aperture and propagate toward the chamber 640. In still other implementations, a wedge-shaped optic (for example, a prism) can be used to separate the main pulse 610 and the pre-pulse 617 into different angles, according to their wavelengths. The wedge-shaped optic can be used in addition to the optical element 622, or it can be used as the optical element 622. The wedge-shaped optic can be positioned just upstream (in the −z direction) of the focusing assembly 642. Additionally, the pulses 617 can be delivered to the chamber 640 in other ways. For example, the pulses 617 can travel through optical fibers that deliver the pulses 617 to the chamber 640 and/or the focusing assembly 642 without the use of the optical element 622 or other directing elements. In these implementations, the fibers bring the pulses of radiation 617 directly to an interior of the chamber 640 through an opening formed in a wall of the chamber 640. The amplified light beam 610 is reflected from the optical element 622 and propagates through the focusing assembly 642. The focusing assembly 642 focuses the amplified light beam 610 at a focal plane 646, which may or may not coincide with the target region 630. The pulses of radiation 617 pass through the optical element 622 and are directed through the focusing assembly 642 to the chamber 340. The amplified light beam 610 and the pulses of radiation 617, are directed to different locations along the “x” direction in the chamber 640 and arrive in the chamber 640 at different times. In the example shown in FIG. 6, a single block represents the pre-pulse source 643. However, the pre-pulse source 643 can be a single light source or a plurality of light sources. For example, two separate sources can be used to generate a plurality of pre-pulses. The two separate sources can be different types of sources that produce pulses of radiation having different wavelengths and energies. For example, one of the pre-pulses can have a wavelength of 10.6 μm and be generated by a CO2 laser, and the other pre-pulse can have a wavelength of 1.06 μm and be generated by a rare-earth-doped solid state laser. In some implementations, the pre-pulses 617 and the amplified light beam 610 can be generated by the same source. For example, the pre-pulse of radiation 617 can be generated by the drive laser system 605. In this example, the drive laser system can include two CO2 seed laser subsystems and one amplifier. One of the seed laser subsystems can produce an amplified light beam having a wavelength of 10.26 μm, and the other seed laser subsystem can produce an amplified light beam having a wavelength of 10.59 μm. These two wavelengths can come from different lines of the CO2 laser. In other examples, other lines of the CO2 laser can be used to generate the two amplified light beams. Both amplified light beams from the two seed laser subsystems are amplified in the same power amplifier chain and then angularly dispersed to reach different locations within the chamber 640. The amplified light beam with the wavelength of 10.26 μm can be used as the pre-pulse 617, and the amplified light beam with the wavelength of 10.59 μm can be used as the amplified light beam 610. Some implementations can employ a plurality of pre-pulses before the main pulse. In these implementations, three or more seed lasers can be used. For example, in an implementation that employs two pre-pulses, one seed laser can be used to generate each of the amplified light beam 610, a first pre-pulse, and a second, separate pre-pulse. In other examples, the main pulse and one or more of the plurality of pre-pulses can be generated by the same source. The amplified light beam 610 and the pre-pulse of radiation 617 can all be amplified in the same optical amplifier. For example, the three or more power amplifiers can be used to amplify the amplified light beam 610 and the pre-pulse 617. Referring to FIG. 7, a shadowgraph of an exemplary shaped target 720 is shown. A shadowgraph is created by illuminating an object with light. Dense portions of the object reflect the light, casting a shadow on a camera (such as a charge coupled device (CCD)) that images the scene. The target 720 was formed using remaining plasma 727 that was generated from a prior laser-target material interaction. In the example shown, laser-target material interactions occurred with a frequency of 60 kHz (a repetition rate of 60 kHz). Thus, additional shaped targets similar to the target 720 were generated every 16.67 μs. The target 720 is converted to plasma that emits EUV light by irradiating the target 720 with an amplified light beam (such as the amplified light beams 110, 210, or 510) that propagates in a direction 712. The target 720 includes a recess 728 in which plasma generated during an interaction between the amplified light beam and the target 720 is confined, thereby increasing the amount of EUV light produced from the interaction. The recess 728 is open to the oncoming amplified light beam. Referring to FIG. 8, in some implementations, the extreme ultraviolet light system 100 is a part of a system that includes other components, such as a vacuum chamber 800, one or more controllers 880, one or more actuation systems 881, and a guide laser 882. The vacuum chamber 800 can be a single unitary structure or it can be set up with separate sub-chambers that house specific components. The vacuum chamber 800 is at least a partly rigid enclosure from which air and other gases are removed by a vacuum pump, resulting in a low-pressure environment within the chamber 800. The walls of the chamber 800 can be made of any suitable metals or alloys that are suitable for vacuum use (can withstand the lower pressures). The target material delivery system 115 delivers the target material 120 to the target region 130. The target material 120 at the target region can be in the form of liquid droplets, a liquid stream, solid particles or clusters, solid particles contained within liquid droplets or solid particles contained within a liquid stream. The target material 120 can include, for example, water, tin, lithium, xenon, or any material that, when converted to a plasma state, has an emission line in the EUV range. For example, the element tin can be used as pure tin (Sn), as a tin compound, for example, SnBr4, SnBr2, SnH4, as a tin alloy, for example, tin-gallium alloys, tin-indium alloys, tin-indium-gallium alloys, or any combination of these alloys. The target material 120 can include a wire coated with one of the above elements, such as tin. If the target material 120 is in a solid state, it can have any suitable shape, such as a ring, a sphere, or a cube. The target material 120 can be delivered by the target material delivery system 115 into the interior of the chamber 800 and to the target region 130. The target region 130 is also referred to as an irradiation site, the place where the target material 120 optically interacts with the amplified light beam 110 to produce the plasma. As discussed above, the remaining plasma is formed at or near the irradiation site. Thus, the remaining plasma and the shaped targets 221b, 221c, and 521 can be generated in the vacuum chamber 800. In this manner, the shaped targets 221b, 221c, and 521 are generated in the EUV light system 100. The drive laser system 105 can include one or more optical amplifiers, lasers, and/or lamps for providing one or more main pulses and, in some cases, one or more pre-pulses. Each optical amplifier includes a gain medium capable of optically amplifying the desired wavelength at a high gain, an excitation source, and internal optics. The optical amplifier may or may not have laser mirrors or other feedback devices that form a laser cavity. Thus, the drive laser system 105 produces the amplified light beam 110 due to the population inversion in the gain media of the laser amplifiers even if there is no laser cavity. Moreover, the drive laser system 105 can produce an amplified light beam 110 that is a coherent laser beam if there is a laser cavity to provide enough feedback to the drive laser system 105. The term “amplified light beam” encompasses one or more of: light from the drive laser system 105 that is merely amplified but not necessarily a coherent laser oscillation and light from the drive laser system 105 that is amplified and is also a coherent laser oscillation. The optical amplifiers in the drive laser system 105 can include as a gain medium a filling gas that includes CO2 and can amplify light at a wavelength of between about 9100 and about 11000 nm, and in particular, at about 10600 nm, at a gain greater than or equal to 1000. Suitable amplifiers and lasers for use in the drive laser system 105 can include a pulsed laser device, for example, a pulsed, gas-discharge CO2 laser device producing radiation at about 9300 nm or about 10600 nm, for example, with DC or RF excitation, operating at relatively high power, for example, 10 kW or higher and high pulse repetition rate, for example, 50 kHz or more. The optical amplifiers in the drive laser system 105 can also include a cooling system such as water that can be used when operating the drive laser system 105 at higher powers. The light collector 155 can be a collector mirror 855 having an aperture 840 to allow the amplified light beam 110 to pass through and reach the focal region 145. The collector mirror 855 can be, for example, an ellipsoidal mirror that has a first focus at the target region 130 or the focal region 145, and a second focus at an intermediate location 861 (also called an intermediate focus) where the EUV light 160 can be output from the extreme ultraviolet light system and can be input to the optical apparatus 165. The one or more controllers 880 are connected to the one or more actuation systems or diagnostic systems, such as, for example, a droplet position detection feedback system, a laser control system, and a beam control system, and one or more target or droplet imagers. The target imagers provide an output indicative of the position of a droplet, for example, relative to the target region 130 and provide this output to the droplet position detection feedback system, which can, for example, compute a droplet position and trajectory from which a droplet position error can be computed either on a droplet by droplet basis or on average. The droplet position detection feedback system thus provides the droplet position error as an input to the controller 880. The controller 880 can therefore provide a laser position, direction, and timing correction signal, for example, to the laser control system that can be used, for example, to control the laser timing circuit and/or to the beam control system to control an amplified light beam position and shaping of the beam transport system to change the location and/or focal power of the beam focal spot within the chamber 800. The target material delivery system 115 includes a target material delivery control system that is operable in response to a signal from the controller 880, for example, to modify the release point of the droplets as released by an internal delivery mechanism to correct for errors in the droplets arriving at the desired target region 130. Additionally, extreme ultraviolet light system can include a light source detector that measures one or more EUV light parameters, including but not limited to, pulse energy, energy distribution as a function of wavelength, energy within a particular band of wavelengths, energy outside of a particular band of wavelengths, and angular distribution of EUV intensity and/or average power. The light source detector generates a feedback signal for use by the controller 880. The feedback signal can be, for example, indicative of the errors in parameters such as the timing and focus of the laser pulses to properly intercept the droplets in the right place and time for effective and efficient EUV light production. In some implementations, the drive laser system 105 has a master oscillator/power amplifier (MOPA) configuration with multiple stages of amplification and having a seed pulse that is initiated by a Q-switched master oscillator (MO) with low energy and high repetition rate, for example, capable of 100 kHz operation. From the MO, the laser pulse can be amplified, for example, using RF pumped, fast axial flow, CO2 amplifiers to produce the amplified light beam 110 traveling along a beam path. Although three optical amplifiers can be used, it is possible that as few as one amplifier and more than three amplifiers could be used in this implementation. In some implementations, each of the CO2 amplifiers can be an RF pumped axial flow CO2 laser cube having a 10 meter amplifier length that is folded by internal mirrors. Alternatively, the drive laser system 105 can be configured as a so-called “self-targeting” laser system in which the target material 120 serves as one mirror of the optical cavity. In some “self-targeting” arrangements, a master oscillator may not be required. The drive laser system 105 includes a chain of amplifier chambers, arranged in series along a beam path, each chamber having its own gain medium and excitation source, for example, pumping electrodes. Each amplifier chamber can be an RF pumped, fast axial flow, CO2 amplifier chamber having a combined one pass gain of, for example, 1,000-10,000 for amplifying light of a wavelength λ of, for example, 10600 nm. Each of the amplifier chambers can be designed without laser cavity (resonator) mirrors so that when set up alone they do not include the optical components needed to pass the amplified light beam through the gain medium more than once. Nevertheless, as mentioned above, a laser cavity can be formed as follows. In this implementation, a laser cavity can be formed by adding a rear partially reflecting optic to the drive laser system 105 and placing the target material 120 at the target region 130. The optic can be, for example, a flat mirror, a curved mirror, a phase-conjugate mirror, a grating, or a corner reflector having a reflectivity of about 95% for wavelengths of about 10600 nm (the wavelength of the amplified light beam 110 if CO2 amplifier chambers are used). The target material 120 and the rear partially reflecting optic act to reflect some of the amplified light beam 110 back into the drive laser system 105 to form the laser cavity. Thus, the presence of the target material 120 at the target region 130 provides enough feedback to cause the drive laser system 105 to produce coherent laser oscillation and in this case, the amplified light beam 110 can be considered a laser beam. When the target material 120 isn't present at the target region 130, the drive laser system 105 may still be pumped to produce the amplified light beam 110 but it would not produce a coherent laser oscillation unless some other component provides enough feedback. This arrangement can be a so-called “self-targeting” laser system in which the target material 120 serves as one mirror (a so-called plasma mirror or mechanical q-switch) of the optical cavity. Depending on the application, other types of amplifiers or lasers can also be suitable, for example, an excimer or molecular fluorine laser operating at high power and high pulse repetition rate. Examples include a solid state laser, for example, having a fiber or disk shaped gain medium, a MOPA configured excimer laser system, as shown, for example, in U.S. Pat. Nos. 6,625,191; 6,549,551; and 6,567,450; an excimer laser having one or more chambers, for example, an oscillator chamber and one or more amplifying chambers (with the amplifying chambers in parallel or in series); a master oscillator/power oscillator (MOPO) arrangement, a power oscillator/power amplifier (POPA) arrangement; or a solid state laser that seeds one or more excimer or molecular fluorine amplifier or oscillator chambers, may be suitable. Other designs are possible. At the irradiation site, the amplified light beam 110, suitably focused by the focus assembly 142, is used to create plasma having certain characteristics that depend on the composition of the target material 120. These characteristics can include the wavelength of EUV light 160 produced by the plasma and the type and amount of debris released from the plasma. The amplified light beam 110 evaporates the target material 120, and heats the vaporized target material to a critical temperature at which electrons are shed (a plasma state), leaving behind ions, which are further heated until they start emitting photons having a wavelength in the extreme ultraviolet range. Other implementations are within the scope of the following claims. For example, although the region 226a and the remaining plasma 227a are shown as being within the target region 230, this is not necessarily the case. In other examples, the region 226a and/or the remaining plasma 227a can extend beyond the target region 230. Additionally, the remaining plasma 227a and/or the region 226a can have any spatial form. In the example of FIGS. 2C and 2D, the regions 226a and 226b and the corresponding remaining plasma 227a and 227b are in the target region 230 at different times, with no temporal overlap. However, in other implementations, the remaining plasma 227a and 227b can be in the target region 230 at the same time. For example, a remaining plasma generated from an interaction between a target material and a pulse of the amplified light beam 210 can persist and be present in the target region 230 through more than one cycle of the amplified light beam 210. In some implementations, a remaining plasma can be continuously present in the target region 230. The example of FIGS. 2C and 2D shows continuous emission of EUV light, where EUV light is emitted at periodic intervals determined by the system repetition rate and the intervals between EUV light emission are such that the emission of EUV light is essentially continuous. However, the EUV light source can be operated in other modes depending on the needs of a lithography tool that receives the generated EUV light. For example, the EUV light source also can be operated or set to emit EUV light in bursts that are separated in time by an amount greater than the system repetition rate or at an irregular interval.
description
This application claims priority to Chinese Application No. 201410597463.0 filed on Oct. 29, 2014, which is incorporated by reference in its entirety. The present invention relates to a socket, in particular to an intelligent air conditioner socket with abnormality alarm. Generally, an air conditioner provides only external motor temperature protection prompts, room temperature display and the like, but no correct feedback information about substantive performances of the air conditioner is provided to users for communication. The users have no way of learning a series of information like whether the air conditioner is in a normal refrigeration state, and whether the use environment is proper, etc. The users just start power-on settings and use the air conditioner; while the air conditioner just operates until a compressor stops operating after a set temperature is reached. After a long time use, the users do not know whether the performance of the air conditioner degrades. This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key factors or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter. As the types of the air conditioner are limited, various parameters of a single air conditioner are unique. How to monitor the air conditioner and implement intelligent abnormality alarm with regard to the air conditioner having known parameters without changing hardware and software settings is a problem to be solved by the present invention. When the air conditioner is in use, a socket is needed to provide access to a power supply, and the current and voltage accessed through the socket is the actual input of the air conditioner. This is where the concept of the invention comes in. To overcome the above technical problem, an object of the invention is to provide an intelligent air conditioner socket having abnormality alarm. A technical scheme adopted by the present invention may be described as follows. An intelligent air conditioner socket with abnormality alarm may comprise a housing, jacks in the surface of the housing and a conductive component arranged within the housing. Arranged within the housing may be a single-chip processor as well as a current detection module, a voltage detection module, an outdoor temperature detection module, an indoor temperature detection module, a display module and a power supply module, which may be electrically connected with the single-chip processor respectively, wherein the power supply module may be connected with the display module to power it. The current detection module and the voltage detection module may be used for detecting a sampled current and a sampled voltage output to the air conditioner after the power network may be connected to the socket respectively, wherein the sampled current and the sampled voltage may be fed back to the single-chip processor respectively through an operational amplifier circuit. The outdoor temperature detection module and the indoor temperature detection module may be used for detecting outdoor and indoor temperature signals and feeding the signals back to the single-chip processor. As a control and processing core, the single-chip processor may conduct voltage abnormality detection, current abnormality detection and temperature abnormality detection respectively using the feedback signals. The voltage abnormality detection may comprise comparing a difference between the sampled voltage and a rated voltage with a preset normal voltage difference, if the difference exceeds the preset normal voltage difference, an input voltage of the air conditioner may be determined to be overvoltage or undervoltage, and a voltage abnormality alarm signal may be output. The current abnormality detection may comprise comparing a difference between the sampled current and a rated current with a preset normal current difference, if the current difference exceeds the preset normal current difference, an input current of the air conditioner may be determined to be overcurrent or undercurrent, and a current abnormality alarm signal may be output. The temperature abnormality detection may comprise determining whether a predetermined temperature reduction magnitude is within the range of an actual temperature reduction magnitude*(−120%, +120%) within a time period of TεT0˜Tm, and if not, an abnormality alarm signal may be output; wherein the actual temperature reduction magnitude=F(Tm)−F(T0), F(T0) is an initial indoor temperature, and F(Tm) is the indoor temperature at Tm; and the predetermined temperature reduction magnitude=εT0˜Tm [voltage T(v)*current T(a)]*nominal energy efficiency ratio (B)/nominal space area (A)*coefficient of performance R(T)*nominal power factor C, wherein the voltage T(v) and the current T(a) are the sampled voltage and sampled current detected at time T respectively, and the coefficient of performance R(T) is the corresponding coefficient of performance of the outdoor temperature and the indoor temperature at time T. The display module may be used for displaying the current temperature, power and abnormality alarm prompts output by the single-chip processor. Further, the single-chip processor may prestore a table of values of coefficient of performance at different outdoor temperatures and indoor temperatures directly or indirectly, and may read the value of the coefficient of performance R(T) corresponding to the outdoor temperature and the indoor temperature at time T from the table. Further, the power supply module may be a switching power supply which may comprise a rectifier IC, a transformer and an isolation optocoupler. Further, the current detection module may use constantan wire as a current detection device. Further, the display module may include a display IC and a digital display screen. Further, the outdoor temperature detection module and the indoor temperature detection module may use an external thermistor as a temperature sensor to detect the outdoor and indoor temperatures, respectively. By way of nonlimiting example, beneficial effects of the present invention may be described as follows. In the present invention, a socket for the air conditioner may be used to carry out a real-time monitoring without making modification to the software and hardware, and the cost of socket modification is much lower than the cost of modifying the air conditioner itself. After applying the air conditioner socket of the present invention, the user may replace the socket of the air conditioner of a corresponding model him or her, that is, the monitoring of an installed air conditioner may be accomplished with a minimum cost. The socket of the present invention has a wide monitoring range, for it may have a voltage detection module for detecting whether the input is overvoltage or undervoltage, a current detection module for detecting whether the current is excessive, and a display module providing a timely prompt function, thereby effectively ensuring that the air conditioner will not be in an abnormal operating state for a long time, allowing the air conditioner to reach a nominal life. In addition to the current and voltage detection, the temperature detection may be added, which is not just a simple indication of room temperature, but may comprehensively introduce the sampled current, the sampled voltage, the indoor temperature and the outdoor temperature into factors influencing the predetermined temperature reduction magnitude. Besides, a software algorithm may be combined with a formula for calculating the predetermined temperature reduction magnitude to obtain a true and valid predetermined temperature reduction magnitude which may then be compared to the actual temperature reduction magnitude to determine whether the air conditioner operates normally. With such a detection mode, the absolute, true and valid indoor and outdoor temperature factors may be involved in the calculation when the current detection and voltage detection are unable to monitor the operation of the air conditioner genuinely, thereby allowing possible unconventional detection faults such as refrigerating system dust, too little refrigerant, whether there being a mismatch between the use space and a nominal space, unreasonable installation of pipes to be identified. To the accomplishment of the foregoing and related ends, the following description and annexed drawings set forth certain illustrative aspects and implementations. These are indicative of but a few of the various ways in which one or more aspects may be employed. Other aspects, advantages and novel features of the disclosure will become apparent from the following detailed description when considered in conjunction with the annexed drawings. The claimed subject matter is now described with reference to the drawings, wherein like reference numerals are generally used to refer to like elements throughout. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the claimed subject matter. It may be evident, however, that the claimed subject matter may be practiced without these specific details. In other instances, structures and devices may be shown in block diagram form in order to facilitate describing the claimed subject matter. With reference to FIG. 1, an intelligent air conditioner socket with abnormality alarm may comprise a housing 1, jacks 2 in a surface of the housing 1 and a conductive component (not shown), and the surface of the housing 1 may be further provided with a digital display screen 3. As shown in FIG. 2, the air conditioner socket of the present invention may be internally provided with a single-chip processor 10 as well as a current detection module 20, a voltage detection module 30, an outdoor temperature detection module 40, an indoor temperature detection module 50, a display module 60 and a power supply module 70 which may be electrically connected with the single-chip processor 10, wherein the power supply module 70 is connected with the display module 60 to power it. The current detection module 20 and the voltage detection module 30 may be used for detecting a sampled current and a sampled voltage output to the air conditioner after the power network is connected to the socket respectively, wherein the sampled current and the sampled voltage may be fed back to the single-chip processor 10 respectively through an operational amplifier circuit. The outdoor temperature detection module 40 and the indoor temperature detection module 50 may be used for detecting outdoor and indoor temperature signals and feeding the signals back to the single-chip processor 10. As a control and processing core, the single-chip processor 10 may conduct voltage abnormality detection, current abnormality detection and temperature abnormality detection respectively use the feedback signals. The display module 60 may be used for displaying the current temperature, power and abnormality alarm prompts output by the single-chip processor 10. FIG. 3 is a main process of the present invention which sequentially may comprise starting of a main program, data initialization, power supply detection (current and voltage detection), temperature detection, data display and then returning to power supply detection. FIG. 4 shows a specific flow chart of the power supply detection. The voltage detection may be performed first to detect whether there is an overvoltage or undervoltage by comparing a difference between the sampled voltage feedback and a rated voltage with a preset normal voltage difference. If the difference exceeds the preset normal voltage difference, an abnormality alarm may be given by the display module 60, otherwise the process may proceed to the current detection. The current detection may be mainly used for detecting whether the current is excessive by comparing a difference between the sampled current feedback and a rated current with a preset normal current difference. If the difference exceeds the preset normal current difference, the current is excessive and an abnormality alarm may be given by the display module 60, otherwise the process may proceed to the main program. By using the voltage detection module 30 to detect whether the input is overvoltage or undervoltage, using the current detection module 20 to detect whether the current is excessive, and using the display module 60 to provide a timely prompt, that the air conditioner may not be in an abnormal operating state for a long time may be effectively guaranteed, thereby allowing the air conditioner to reach a nominal life. The current and voltage detection may be applicable to monitoring of the air conditioner operation when power network fluctuation or a fault occurs, but may not truly monitor the operation of the air conditioner for possible unconventional detection faults such as refrigerating system dust, too little refrigerant, whether there being a mismatch between the use space and a nominal space, unreasonable installation of pipes, etc. FIG. 5 shows a flow chart of the temperature detection of the present invention, which may comprise: 1) starting from initiating a temperature monitoring program; 2) detecting whether the air conditioner is turned on; 3) if yes, going into a timing period, i.e., detecting the sampled current, the sampled voltage, the indoor temperature and the outdoor temperature within a time period of TεT0˜Tm, and if no, returning to the main program; 4) calculating a predetermined temperature reduction magnitude and an actual temperature reduction magnitude; and 5) determining whether the predetermined temperature reduction magnitude is within the range of the actual temperature reduction magnitude*(−120%, +120%), if no, outputting an abnormality alarm signal, and if yes, returning to the main program. Wherein the actual temperature reduction magnitude=F(Tm)−F(T0), F(T0) is an initial indoor temperature, and F(Tm) is the indoor temperature at Tm; and the predetermined temperature reduction magnitude=ΣT0˜Tm [voltage T(v)*current T(a)]*nominal energy efficiency ratio (B)/nominal space area (A)*coefficient of performance R(T)*nominal power factor C, wherein the voltage T(v) and the current T(a) are the sampled voltage and sampled current detected at time T respectively, and the coefficient of performance R(T) is the corresponding coefficient of performance of the outdoor temperature and the indoor temperature at time T. With regard to the acquisition of refrigerating parameters, the single-chip processor 10 may prestore a table of values of coefficient of performance at different outdoor temperatures and indoor temperatures directly or indirectly, and may read the value of the coefficient of performance R(T) corresponding to the outdoor temperature and the indoor temperature at time T from the table. The purpose of introducing the outdoor temperature into calculation is that the outdoor temperature may also exert a great influence on the refrigerating capacity, as shown in the following table 1 derived experimentally and FIG. 6. Indoor temperature (° C.)Fit equation and related parameters21Y = 3038.04762 − 29.00571X, R = −0.9971824Y = 3126.82571 − 26.07429X, R = −0.9988227Y = 3507.90476 − 31.90857X, R = −0.9863532Y = 3128.38095 − 14.36571X, R = −0.91432average valueY = 3200.52381 − 25.34286X, R = −0.99205Note:X is the outdoor temperature, Y is the refrigerating capacity, and R is the refrigerating parameter. The coefficient of performance R may decrease correspondingly with the increase of the outdoor temperature and the decrease of the indoor temperature, thus the introduction of the indoor temperature and the outdoor temperature into calculation advantageously may improve the accuracy. As described above, in addition to the current and voltage detection, the temperature detection may be added, which is not just a simple indication of the room temperature, but comprehensively may introduce the sampled current, the sampled voltage, the indoor temperature and the outdoor temperature into factors influencing the predetermined temperature reduction magnitude. Besides, a software algorithm may be combined with a formula for calculating the predetermined temperature reduction magnitude to obtain a true and valid predetermined temperature reduction magnitude which may then be compared to the actual temperature reduction magnitude to determine whether the air conditioner operates normally. FIGS. 7-13 illustrate the circuit diagrams of the single-chip processor 10, the current detection module 20, the voltage detection module 30, the outdoor temperature detection module 40, the indoor temperature detection module 50, the power supply module 70 and the display module 60, respectively and sequentially. The power supply module 70 may be a switching power supply which comprises a rectifier IC (LP2704), a transformer T1 and an isolation optocoupler U2. The current detection module 20 may use constantan wire R18 as a current detection device and has an operational amplifier for amplification. Since the housing 1 of the air conditioner socket may be limited in size, the constantan wire may be used to detect current instead of a current mutual inductor. Of course, the constantan wire is only one way for performing current detection, and other conventional detection structures are also within the protection scope of the technical scheme. The display module 60 may comprise a display IC (TM1634) and a digital display screen 3. The outdoor temperature detection module 40 and the indoor temperature detection module 50 may use an external thermistor as a temperature sensor to detect the outdoor and indoor temperatures, respectively. To sum up, the socket may be used to carry out a real-time monitoring without making modification to the software and hardware, and the cost of socket modification is much lower than the cost of modifying the air conditioner itself. After applying the air conditioner socket of the present invention, the user may replace the socket of the air conditioner of a corresponding model him or her, i.e., the monitoring of an installed air conditioner may be accomplished with a minimum cost. The word “exemplary” is used herein to mean serving as an example, instance or illustration. Any aspect or design described herein as “exemplary” is not necessarily to be construed as advantageous over other aspects or designs. Rather, use of the word exemplary is intended to present concepts in a concrete fashion. As used in this application, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” That is, unless specified otherwise, or clear from context, “X employs A or B” is intended to mean any of the natural inclusive permutations. That is, if X employs A; X employs B; or X employs both A and B, then “X employs A or B” is satisfied under any of the foregoing instances. Further, at least one of A and B and/or the like generally means A or B or both A and B. In addition, the articles “a” and “an” as used in this application and the appended claims may generally be construed to mean “one or more” unless specified otherwise or clear from context to be directed to a singular form. Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims. Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. Of course, those skilled in the art will recognize many modifications may be made to this configuration without departing from the scope or spirit of the claimed subject matter. Also, although the disclosure has been shown and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art based upon a reading and understanding of this specification and the annexed drawings. The disclosure includes all such modifications and alterations and is limited only by the scope of the following claims. In particular regard to the various functions performed by the above described components (e.g., elements, resources, etc.), the terms used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (e.g., that is functionally equivalent), even though not structurally equivalent to the disclosed structure which performs the function in the herein illustrated exemplary implementations of the disclosure. In addition, while a particular feature of the disclosure may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application. Furthermore, to the extent that the terms “includes,” “having,” “has,” “with,” or variants thereof are used in either the detailed description or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”
description
This application is a Continuation of co-pending U.S. application Ser. No. 14/420,999, which itself is national stage entry of PCT/CA2013/050447 filed on Jun. 13, 2013 and which claims priority to U.S. Provisional Application Nos. 61/659,219 and 61/659,229, both filed on Jun. 13, 2012, and U.S. Provisional Application No. 61/731,853 filed on Nov. 30, 2012; the entire contents of each are hereby incorporated herein by reference. The present disclosure relates generally to nuclear reactor technology. The following is not an admission that anything discussed therein is prior art or part of the knowledge of persons skilled in the art. The Canadian supercritical water cooled reactor (SCWR) is a pressure tube-based reactor concept with heavy water moderator and supercritical light water coolant. Some features of the Canadian SCWR common to conventional heavy water moderated reactors (HWR) are the separation of coolant and moderator by pressure tubes, and the arrangement of fuel pins in annular fuel rings. The Canadian SCWR features common to light water (LWR) or boiling water reactors (BWR) are the vertical arrangement of the core, large axial variation in the coolant density and temperature, and use of long fuel assemblies rather than stacks of short fuel bundles. The supercritical water based steam cycle in the Canadian SCWR may be similar to that used in existing supercritical-fossil-fired plants. Safety enhancements in the Canadian SCWR may be achieved through passive safety features such as a negative power coefficient, negative reactivity coefficients, and/or passive decay heat removal through the moderator. The Canadian SCWR may achieve improvements in both economics and sustainability through enhanced thermal efficiency, as high as 48%, compared to about 33% for conventional reactors. Improvements in economic performance may also be achieved through the simplification of balance of plant realized through a direct steam cycle. The use of a plutonium thorium-based fuel cycle in the Canadian SCWR, instead of enriched uranium, may aid in improved sustainability by reducing the overall need for mined uranium, thereby extending world uranium reserves. Enhanced security in the Canadian SCWR may be achieved through the use of fuel cycles with increased intrinsic proliferation resistance and appropriate safeguards. The following is intended to introduce the reader to the detailed description that follows and not to define or limit the claimed subject matter. In an aspect of the present disclosure, a fuel assembly for a pressure-tube nuclear reactor may include: a fuel channel assembly including an outer conduit, an inner conduit received within the outer conduit and defining an annular fuel bundle chamber therebetween for receiving a flow of a coolant in one direction, the inner conduit including a central flow passage for receiving a flow of the coolant in an opposite direction; and a fuel bundle positioned within the fuel bundle chamber, the fuel bundle comprising a plurality of fuel elements, and consisting of an inner ring of the fuel elements surrounding the inner conduit, and an outer ring of the fuel elements surrounding the inner ring. A first ratio of a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage to a cross sectional area of the fuel elements may be between approximately 2.6 and 7.5. A second ratio of a cross sectional area of the coolant in the central flow passage to a cross sectional area of the coolant in the fuel bundle chamber may be between approximately 0.8 and 1.3. The inner and outer conduits may have generally circular axial cross sectional shapes. The central flow passage may be laterally surrounded by the fuel bundle. A central axis of the central flow passage may be laterally centered relative to the fuel bundle. The fuel bundle may be rotationally symmetrical about the central axis. The fuel elements of the inner ring may be positioned along a first common circumference about the central axis, and the fuel elements of the outer ring may be positioned along a second common circumference about the central axis that is concentric with and laterally outboard of the first common circumference. A number of the fuel elements in the inner ring may be equal to a number of the fuel elements in the outer ring. A subchannel distance between each of the fuel elements in the inner ring and the corresponding adjacent one of the fuel elements of the outer ring may be approximately equal to a subchannel distance between each of the fuel elements in the inner ring. The fuel elements may have generally circular axial cross sections. Axial cross sectional areas of each of the fuel elements in the inner ring may be different than axial cross sectional areas of each of the fuel elements in the outer ring. The fuel elements of the inner ring may have a smaller cross sectional area than the fuel elements of the outer ring. The fuel channel assembly may include an insulator that is positioned radially intermediate of the fuel bundle chamber and the outer conduit. The insulator may be encapsulated between inner and outer liner tubes, and the outer liner tube may be arranged along an interior surface of the outer conduit. The insulator may be formed of a solid material. The inner and outer liner tubes may be formed of different materials. A nuclear reactor may include a plurality of the fuel assemblies arranged in a lattice, wherein a moderator region laterally surrounds the outer conduit of each of the fuel assemblies, the moderator region retaining a moderator therein. A third ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the fuel elements may be between approximately 10 and 20. A forth ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage may be between approximately 2.7 and 3.7. For each fuel assembly, the coolant may flow downwardly in the central flow passage, and upwardly in the fuel bundle chamber. For each fuel assembly, the inner and outer conduits may be received within a pressure tube. Each pressure tube may include a closed lower end that receives the flow of the coolant from the central flow passage, and directs the flow of the coolant into the fuel bundle chamber. The nuclear reactor may further include a first plenum chamber in fluid communication with each pressure tube to supply the coolant to the central flow passage, and a second plenum chamber in fluid communication with each pressure tube to collect the coolant from the fuel bundle chamber. The coolant may be light water, and the moderator may be heavy water. In an aspect of the present disclosure, a fuel assembly for a nuclear reactor may include: a fuel channel assembly including an outer conduit, an inner conduit received within the outer conduit and defining an annular fuel bundle chamber therebetween for receiving a flow of coolant in one direction, the inner conduit including a central flow passage for receiving a flow of the coolant in an opposite direction; and a fuel bundle positioned within the fuel bundle chamber, the fuel bundle including a plurality of fuel elements, wherein at least one of the following conditions is satisfied: (i) a first ratio of a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage to a cross sectional area of the fuel elements is between approximately 2.6 and 7.5; and (ii) a second ratio of a cross sectional area of the coolant in the central flow passage to a cross sectional area of the coolant in the fuel bundle chamber is between approximately 0.8 and 1.3. In an aspect of the present disclosure, a nuclear reactor may include: a plurality of fuel assemblies arranged in a lattice, each of the fuel assemblies including a fuel channel assembly including an outer conduit, an inner conduit received within the outer conduit and defining an annular fuel bundle chamber therebetween receiving a flow of a coolant in one direction, the inner conduit including a central flow passage receiving a flow of the coolant in an opposite direction, and a fuel bundle positioned within the fuel bundle chamber, the fuel bundle including a plurality of fuel elements; and a moderator region laterally surrounding the outer conduit of each of the fuel assemblies, the moderator region retaining a moderator therein, wherein at least one of the following conditions is satisfied: (i) a first ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the fuel elements is between approximately 10 and 20; and (ii) a second ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage is between approximately 2.7 and 3.7. Both of the conditions (i) and (ii) may be satisfied. A third ratio of a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage to a cross sectional area of the fuel elements may be between approximately 2.6 and 7.5. A fourth ratio of a cross sectional area of the coolant in the central flow passage to a cross sectional area of the coolant in the fuel bundle chamber may be between approximately 0.8 and 1.3. For each fuel assembly, the fuel elements may consist of an inner ring surrounding the inner conduit, and an outer ring surrounding the inner ring. For each fuel assembly, the coolant may flow downwardly in the central flow passage, and upwardly in the fuel bundle chamber. For each fuel assembly, the inner and outer conduits may be received within a pressure tube. Each pressure tube may include a closed lower end that receives the flow of the coolant from the central flow passage, and directs the flow of the coolant into the fuel bundle chamber. The nuclear reactor may further include a first plenum chamber in fluid communication with each pressure tube to supply the coolant to the central flow passage, and a second plenum chamber in fluid communication with each pressure tube to collect the coolant from the fuel bundle chamber. The coolant may be light water, and the moderator may be heavy water. Other aspects and features of the teachings disclosed herein will become apparent, to those ordinarily skilled in the art, upon review of the following description of the specific examples of the present disclosure. Various apparatuses or methods will be described below to provide an example of an embodiment of each claimed invention. No embodiment described below limits any claimed invention and any claimed invention may cover apparatuses and methods that differ from those described below. The claimed inventions are not limited to apparatuses and methods having all of the features of any one apparatus or method described below, or to features common to multiple or all of the apparatuses or methods described below. It is possible that an apparatus or method described below is not an embodiment of any claimed invention. Any invention disclosed in an apparatus or method described below that is not claimed in this document may be the subject matter of another protective instrument, for example, a continuing patent application, and the applicant(s), inventor(s) and/or owner(s) do not intend to abandon, disclaim or dedicate to the public any such invention by its disclosure in this document. Recent developments of the Canadian SCWR design include the introduction of a hybrid re-entrant/high efficiency channel. Feeder tubes for coolant flowing out of the fuel channels have been eliminated, and, instead, the fuel channel has a re-entrant or double flow pass configuration. Light water coolant flows from an inlet plenum into flow tubes located in the center of each fuel channel. The bottom ends of the channels are sealed, and when the coolant reaches the bottom of the central flow tubes, it reaches a space at the bottom of each channel where it is redirected upward and flows through the region containing the fuel pins or elements. Various fuel assembly and nuclear reactor arrangements are disclosed in U.S. Provisional Application Nos. 61/659,219 and 61/659,229, both filed on Jun. 13, 2012 and entitled A PRESSURE-TUBE NUCLEAR REACTOR WITH A LOW PRESSURE MODERATOR AND FUEL CHANNEL ASSEMBLY. According to a first aspect of the present disclosure, neutronics analysis is used in the design process of the Canadian SCWR is to assess values for core physics parameters (e.g., lattice pitch, fissile enrichment of fuel, fuel reload scheme, distribution of burnable neutron absorbers) that may generally optimize various design targets (e.g., reactivity coefficients, exit burnup, power peaking factors). As described in further detail below, the introduction of a central coolant tube, encapsulation of an insulator, and/or reduction in insulator thickness may impact lattice and core physics performance. In particular, these changes may result in a positive increase in coolant void reactivity (CVR) and decrease in exit burnup. Additional changes to the fuel bundle and fuel channel configurations may therefore be introduced in order to lower the CVR and increase the exit burnup. Relative to previous arrangements, the inner ring of fuel may be removed, the fuel pin sizes and number of pins may be adjusted to achieve a better power balance between the two remaining rings, and/or the central flow tube may be expanded. These changes may result in a significant decrease in CVR and large increase in exit burnup, thus recovering the target CVR and exit burnup, and may allow a large margin for additional changes that may be incorporated in the Canadian SCWR design. According to a second aspect of the present disclosure, thermalhydraulics analysis is used to investigate optimization of fuel bundle geometry based on cladding temperatures obtained for axial and radial power profiles corresponding to various design options and conditions. The thermalhydraulic assessment focuses specifically on the maximum wall temperature, which may be an important parameter in the design of the fuel bundle. The maximum wall temperature limit may be set based on the requirement to preserve fuel element integrity, and may be required to be below 850° C., for example. Referring to FIGS. 1A and 1B, a fuel bundle 10 is housed in a fuel channel assembly 12. The fuel channel assembly 12 may be referred to herein as a high-efficiency re-entrant channel (HERC). In the example illustrated, the fuel channel assembly 12 includes a flow tube or inner conduit 14, which is received within a pressure tube or outer conduit 16. In some examples, the conduits 14, 16 may be received within one or more additional conduits or pressure tubes (not shown). The inner and outer conduits 14, 16 are illustrated to have generally circular axial cross sectional shapes. Other cross sectional shapes, for example but not limited to, square and hexagonal, may be possible. A fuel bundle chamber 18 is defined by an annular space between an outer surface of the inner conduit 14 and an opposed inner surface of the outer conduit 16. The fuel bundle 10 is received in the fuel bundle chamber 18, and laterally surrounds a central flow passage 20. Moderation region 22 laterally surrounds the outer conduit 16. In some examples, the inner conduit 14 includes the central flow passage 20 that accommodates downflow of light water coolant, whereas the fuel bundle chamber 18 receives the corresponding upflow of the coolant. However, in some examples, it may be possible to reverse the orientation of the reactor, so that the plenum is arranged on the bottom of the fuel assembly, and the light water coolant would flow up through the central flow passage 20 and then down through the fuel bundle chamber 18. Furthermore, arrangements of the fuel assembly other than vertical may be possible, including, for example, a horizontal arrangement. The fuel channel assembly 12 may further include an insulator 24. The insulator 24 may be sized to be received within the inner surface of the outer conduit 16, so that it is positioned radially intermediate of the fuel bundle chamber 18 and the outer conduit 16. Liner tubes 26a, 26b may encapsulate the insulator 24 to provide a physical barrier between the outer conduit 16 and the insulator 24, and the insulator 24 and the fuel bundle chamber 18, respectively. In some examples, the fuel bundle chamber 18 may include an additional liner tube (not shown) arranged between it and the liner tube 26b. In the example illustrated, the fuel bundle 10 includes a plurality of fuel elements or pins 28a, 28b, which are arranged about the inner conduit 14 into two, generally concentric rings. However, in some examples, other arrangements of the fuel elements 28a, 28b may be possible, including arrangements having three rings or more, or grid arrangements. In the example illustrated, the fuel elements 28a of the inner ring are positioned along a first common circumference about a central axis 32 of the fuel channel assembly 12. The fuel elements 28b of the outer ring are positioned along a second common circumference about the central axis 32, which is concentric with and laterally outboard of the first common circumference. The fuel bundle 10 is rotationally symmetrical around the central axis 32 of the fuel channel assembly 12, and the number of the fuel elements 28a in the inner ring is equal to the number of the fuel elements 28b in the outer ring (in this case thirty one each). In the example illustrated, a subchannel distance between each of the fuel elements 28a in the inner ring and the corresponding adjacent one of the fuel elements 28b of the outer ring may be approximately equal to subchannel distances between each of the fuel elements 28a in the inner ring. As used herein, “subchannel distance” may refer to the closest distance between two adjacent fuel elements 28a, 28b, whether it is two adjacent fuel elements 28a in the inner ring, two adjacent fuel elements 28b in the outer ring, and/or a fuel element 28a in the inner ring and the corresponding adjacent one of the fuel elements 28b of the outer ring. Consistent subchannel geometry may enable a more balanced heat transfer and coolant mass flow within the fuel bundle chamber 18. Axial cross sectional areas of the fuel elements 28a of the inner ring may be varied relative to axial cross sectional areas of the fuel elements 28a of the outer ring to facilitate generally uniform subchannel geometry. In the example illustrated, the fuel elements 28a, 28b have generally circular axial cross sectional shapes, and the fuel elements 28a of the inner ring are smaller than the fuel elements 28b of the outer ring. Geometry of the fuel bundle 10 and the fuel channel assembly 12 arranged in a nuclear reactor may be limited in their performance by uneven radial power distributions. In previous designs for the Canadian SCWR (see, for example, a 78-element fuel assembly having three concentric rows described in J. PENCER, M. EDWARDS, AND N. ONDER, “Axial and Radial Graded Enrichment Options for the Canadian SCWR”, Proc. of the 3rd China-Canada Joint Workshop on Supercritical Water-Cooled Reactors, CCSC-2012, Xi'an, China, 2012 Apr. 18-20; the entire contents of which are hereby incorporated herein by reference), the fission power may be significantly higher in the outer ring than inner rings of fuel elements. The uneven power distribution may result in an underutilization of the inner rings of fuel elements, and may adversely affect the fuel performance of the outer ring. In contrast, fuel bundle 10 may achieve a nearly even power distribution among the inner and outer fuel rings, thus maximizing fuel utilization while minimizing performance issues. Secondary benefits may include a reduction (shift in the negative direction) of CVR, and/or significant increase in lattice reactivity and resultant exit burnup. With implementations of the fuel bundle 10 and the fuel channel assembly 12 in a reactor, advantages of balanced radial power, lower CVR and higher reactivity may be achieved through a balance of neutron moderation by the heavy water in the moderation region 22, absorption and fission in the fuel elements 28a, 28b, moderation and absorption in the light water coolant in the central flow passage 20, and moderation and absorption in the light water coolant surrounding the fuel elements 28a, 28b within the fuel bundle chamber 18. Moderation in the heavy water in the moderation region 22 may drive fission in the fuel elements 28b of the outer ring, while moderation in the light water coolant in the central flow passage 20 may drive fission in the fuel elements 28a of the inner ring. Balance between these two contributions to the lattice physics behavior may be characterized by the following lattice parameters: (i) ratio of the total cross sectional area of the coolant (in the fuel bundle chamber 18 and the central flow passage 20) to the cross sectional area of the fuel elements 28a, 28b; (ii) ratio of the cross sectional area of the coolant in the central flow passage 20 to the cross sectional area of the coolant surrounding the fuel bundle 10 in the fuel bundle chamber 18; (iii) ratio of the moderator cross sectional area to the cross sectional area of the fuel elements 28a, 28b (in one lattice cell); and (iv) ratio of the cross sectional area of the moderator to the total cross sectional area of the coolant (in one lattice cell). Lattice level scoping studies were performed to examine the impact of variation of the parameters above on the ratio of power densities of the outer to inner fuel rings, lattice CVR, and infinite lattice neutron multiplication factor, k-infinity (ratio of neutrons produced to neutrons absorbed). The target for the ratio of outer to inner fuel power densities is 1, the lattice CVR target is to be negative, and the k-infinity target is to be maximized. Based on the lattice scoping studies, the following ranges of parameters (applied simultaneously) were found to yield values for power density ratio, CVR and k-infinity that satisfied the targets for lattice physics performance: a coolant-to-fuel ratio of between approximately 2.6 and 7.5; an inner-to-outer coolant ratio of between approximately 0.8 and 1.3; a moderator-to-fuel ratio ranging of between approximately 10 and 20; and a moderator-to-coolant ratio of between approximately 2.7 and 3.7. Based on the relatively wide ranges in the moderator-to-fuel and coolant-to-fuel ratios, the total fuel mass in the fuel assembly may be varied significantly without adverse impacts to power density ratio, CVR or k-infinity. However, other design constraints may restrict the variation in fuel mass in the assembly, such as the maximum allowable power density. Referring now to FIGS. 2A and 2B, an example of a pressure-tube nuclear reactor 100 includes an outer shell vessel 102, also referred to as a calandria, which is configured to contain a moderator fluid, e.g., heavy water. The calandria 102 includes a bottom wall 104 and a side wall 106 extending upward from the bottom wall 104 about a calandria axis 108, and terminating at an upper rim 110. In the example illustrated, the calandria 102 is shown as being generally circular in axial cross-sectional shape. Referring to FIG. 2A, a pressurized coolant plenum vessel 112 is connected to the upper rim 110 of the calandria 102. The plenum vessel 112 is configured to supply coolant to a plurality of the fuel channel assemblies 12 (FIG. 2B) in the reactor 100 and to extract the heated coolant from the fuel channel assemblies 12 after the coolant has been heated by flowing past the fuel bundles 10 (FIGS. 1A and 1B) contained within the fuel channel assemblies 12. The coolant is pressurized to a higher pressure than the moderator, and the plenum vessel 112 is a pressure vessel capable of withstanding the operating temperatures and pressures of the coolant. Referring to FIG. 2B, in the illustrated example the plenum vessel 112 includes a tubesheet 114, a sidewall 116 and a cover 118 that cooperate to define a first plenum chamber 120. In the example illustrated, the first plenum chamber 120 is in fluid communication with each of the fuel channel assemblies 12 to allow coolant to flow between the first plenum chamber 120 and each of the fuel channel assemblies 12. Only a single fuel channel assembly 12 is illustrated for clarity. One or more fluid ports may be provided in the plenum vessel 112 to allow coolant to flow in and out of the first plenum chamber 120. In the example illustrated, the plenum vessel includes four ports 122 spaced apart from each other around the sidewall 116. In the example illustrated, a second plenum 124 is nested within the first plenum chamber 120. The second plenum 124 includes a second plenum chamber 126 that is bounded by a bottom wall 128, a sidewall 130 and an upper wall or lid 132. In this configuration, the second plenum chamber 126 is self-contained and is fluidly isolated from the first plenum chamber 120 so that fluid within the second plenum 124 does not mix with fluid in the first plenum chamber 120. In the example illustrated, the second plenum 124 is sized such that a width 140 of the second plenum 124 is less than an internal width 142 of the first plenum chamber 120. In this configuration, a gap around the perimeter of the second plenum 124, between an outer surface of the second plenum sidewall 130 and an opposing inner surface of the first plenum sidewall 116, provides a fluid flow path around the outside of the second plenum 124 to link the upper portion 136 and lower portion 138. With continued reference to FIG. 2B, the bottom wall 128 of the second plenum 124 includes a plurality of apertures for connecting to each of the fuel channel assemblies 12 to allow coolant fluid to flow between each of the fuel channel assemblies 12 and the second plenum chamber 126. In this configuration, a coolant flow path is provided so that coolant fluid may flow between the first plenum chamber 120 and the second plenum chamber 126 via the fuel channel assemblies 12. Optionally, some or all of the fuel channel assemblies 12 may be detachably coupled to the bottom wall 128 using any suitable connector. Providing detachable connections may allow individual fuel channel assemblies 12 to be removed, serviced and/or replaced without requiring replacement of other fuel channel assemblies 12. In the example illustrated, the first plenum chamber 120 functions as a coolant inlet plenum, the ports 122 operate as coolant inlet ports, the second plenum chamber 126 functions as a coolant outlet plenum and its ports 134 (FIG. 2A) function as coolant outlet ports. In this configuration, the first plenum chamber 120 is in fluid communication with each fuel channel assembly 12 to supply coolant and the second plenum chamber 126 is in fluid communication with each fuel channel assembly 12 to collect the heated coolant fluid. The coolant flows from the first plenum chamber 120 in a downward direction 144 through the central flow passage 20 (FIGS. 1A and 1B) of the fuel channel assemblies 12, and flows to the second plenum chamber 126 in an upward direction 146 through the fuel bundle chambers 18 (FIGS. 1A and 1B) of the fuel channel assemblies 12. The fuel bundles 10 are positioned within the fuel channel assembly 12 in the flow path of the coolant flowing in the upward direction 146. Coolant may be supplied to the first plenum chamber 120 at any suitable inlet temperature and inlet pressure. The combination of inlet temperature and pressure desired may be based on the properties of a given reactor core design and/or nuclear fuel type. Optionally, the plenum vessel 112 may be configured to handle liquid coolants, gas coolants, mixed-phase coolants and supercritical coolant conditions. For example, in some configurations the inlet temperature may be between about 100° C. and about 370° C. or more, and may be between about 260° C. and about 350° C. The inlet pressure may be between about 5 MPa and about 30 MPa or more, and may be between about 10 MPa and about 26 MPa. Optionally, the inlet conditions may be selected so that the incoming coolant remains subcritical. This may help facilitate greater energy pickup from the fuel bundles 10 when the coolant flows through the fuel channel assemblies 12. The temperature and pressure of the coolant as it exits the fuel channel assemblies 12, and flows into the second plenum 124, may vary based on the quantity of heat transferred from the fuel bundles 10 and the amount of pressure drop generated as the coolant flows past the fuel bundles 10 in the fuel channel assembly 12. When operated in subcritical conditions, the outlet temperature may be between about 290° C. and about 350° C. and the outlet pressure may be between about 8-12 MPa. When operated under supercritical coolant conditions, the outlet temperature may be between about 374° C. and about 675° C. (and may be about 625° C.) and the outlet pressure may be about 22-26 MPa. In other configurations, the outlet pressure may be between about 12 and about 22 MPa and may be greater than 26 MPa. In some configurations, there may be a significant temperature difference between the coolant in the first plenum chamber 120 and coolant in the second plenum 124. For example, under supercritical operating conditions, the temperature difference between the inlet plenum 120 and outlet plenum 124 may be between about 250-300° C., or more. Such temperature differences may impart significant thermal stresses in the lid 132, sidewall 130 and bottom wall 128. As it is located outside of the neutron field, the outlet plenum 124 may be made from a variety of suitable materials, including, for example stainless steel and nickel-based super alloys. Optionally, some or all of the outlet plenum 124 may be thermally insulated using any suitable techniques and/or materials to help limit heat transfer between the plenums 120, 124 and to help reduce thermal stresses on the second plenum 124. For example, the lid 132, sidewall 130 and bottom wall 128 may be coated with an insulating material and/or made from multiple layers. Alternatively, as the pressure difference between the plenums may be relatively small, the second plenum 124 may be formed from materials that have desirable thermal properties, including, for example refractory materials and ceramic-based materials, instead of highly thermally conductive metals. Heated coolant extracted from the second plenum 124 will often be used to generate electrical power. Optionally, the heated coolant fluid may be used to directly drive suitable steam turbine generators (not shown). This may help improve the efficiency of a nuclear power generation station as the heated coolant may remain at a high temperature when it reaches the turbines. Alternatively, the heated coolant may be used to heat a secondary circuit, for example via a steam generator, and the turbine generators may be driven by steam in the secondary circuit. Configuring the system to include a steam generator and secondary circuit may help increase the safety of the power generation system, but may reduce overall efficiency. In the example illustrated, the tubesheet 114, in combination with the fuel channel assemblies 12, forms part of the pressure barrier between the high pressure coolant and the low pressure moderator. The tubesheet 114 may also separate the reactor core (containing fissile nuclear fuel) from the non-core portions of the reactor. The tubesheet 114 includes a plurality of apertures 148 to accommodate the plurality of fuel channel assemblies 12. Referring to FIG. 2B, a plurality of fuel channel assemblies 12 are arranged in a lattice 150, and each extends from the plenum vessel 112 into the calandria 102. Portions of each of the fuel channel assemblies 12 are submerged in the moderation region 22. The number, configuration and arrangement or pitch spacing of the apertures 148 in the tubesheet 114 (defined as generally horizontal distance 152 between fuel channel axes 154 within the lattice 150) may be any suitable distance. In the example illustrated, fuel channel assembly 12 includes a pressure tube 158, and the inner and outer conduits 14, 16 (FIGS. 1A and 1B) are received within the pressure tube 158. The pressure tube 158 of each of the fuel channel assemblies may be sealed to the tubesheet 114, and provide both pressure and fluid separation between the moderator in the moderation region 22 and the coolant circulating within the fuel channel assemblies 12. In the example illustrated, an upper end 160 of each of the fuel channel assemblies 12 is connected to the bottom wall 128 of the second plenum 124. Adjacent to the bottom wall 128, and above the tubesheet 114, inlet ports and feeder conduits provide fluid communication between the first plenum chamber 120 and the central flow passage 20 (FIGS. 1A and 1B). The inlet ports are arranged about the outer conduit 16, and the feeder conduits provide a fluid-sealed connection between the inlet ports and the central flow passage 20, while permitting flow of the heated coolant upwardly through the fuel bundle chamber 18. Each of the fuel channel assemblies 12 extends downwardly generally parallel to the axis 108 from the upper end 160 to a closed lower end 162. The length of each fuel channel assembly 12 may be selected to be any suitable length that is compatible with other components of the reactor 100, and may be, for example, between about 1 m and about 10 m. The axial length of the fuel bundle 10 within each fuel channel assembly 12 may be any suitable length, and may be between about 0.2 m and about 5 m or more. In the example illustrated, the fuel bundle 10 is made up of a single bundle of the fuel elements 28a, 28b. In other examples, the fuel bundle may be formed of multiple portions arranged axially along the length of the fuel assembly 12 within the moderation region 22. Multiple portions facilitate batch-fueling of the reactor 100 axially, as well as radially within the lattice 150. The closed lower end 162 contains the coolant exiting the central flow passage 20 (FIGS. 1A and 1B), and directs the coolant upward into the fuel bundle chamber 18. The inner conduit 14 may optionally extend axially beyond a lower face of the fuel bundle 10 in the fuel channel assembly 12 by an extension length. Extending the inner conduit 14 beyond the lower face of the fuel bundle 10 may help balance the distribution of coolant flow within the fuel bundle chamber 18 before it reaches the lower face. Optionally, one or more flow directors (not shown), including for example a baffle, vane, guide or other flow directing apparatus, may be provided axially between the lower face of the fuel bundle 10 and a lower end of the inner conduit 14 to help modify or balance the coolant flow as it enters the fuel bundle chamber 18. Extending the inner conduit 14 beyond the lower face may also help accommodate thermal expansion (lengthening) and/or creep of the fuel bundle 10 when the reactor 100 is in use, to help provide coolant flow to the lowermost portion of the fuel bundle 10. While the present disclosure refers to the use of the fuel bundle 10 and fuel channel assembly 12 specifically in the context of the Canadian SCWR design, it should be appreciated that the teachings herein may be applicable to other nuclear reactor designs. Reference is now made to the following description of neutronics and thermalhydraulic analysis of exemplary configurations of the fuel bundle 10 and fuel channel assembly 12, which is intended to be illustrative but non-limiting. 1. Neutronics Analysis A. Geometrical and Material Specifications The outermost component of the HERC is the outer conduit 16, which may be formed of an Excel (zirconium-based) alloy. In some conventional pressure tube reactor fuel channel designs, the pressure tube is separated from the moderator by a CO2 filled gap surrounded by a calandria tube. However, for the HERC design, the calandria tube and gap are omitted and the pressure tube 16 may be in direct contact with the heavy water in the moderation region 22. The insulator 24 may be solid, encapsulated zirconia, and may be located directly inside the outer conduit 16, and isolate it from the high temperatures in the coolant. The insulator 24 may be supported on its outer surface by the liner tube 26a, which may be formed of a solid Excel alloy. The insulator 24 may be supported on its inner surface by the liner tube 26b, which may be formed of solid zirconium-modified stainless steel. The coolant enters at a top (not shown) of the inner conduit 14 into the central flow passage 20. The coolant then flows down the central flow passage 20, reaches the bottom (not shown) and is directed upwards into the fuel bundle chamber 18, where it is heated up by the fuel bundle 10. Exemplary specifications for the fuel bundle 10 and the fuel channel assembly 12 are given in Table 1. TABLE 1Fuel bundle and fuel channel assembly exemplary specifications.Composition DensityComponentDimensionMaterial(wt %)(g/cm3)Central 4.45 cm Light 100% H2O0.59254FlowradiusWaterPassage 20Inner 4.45 cm Zr-modifiedC: 0.034; Si: 7.90Conduit 14inner radius310 Stain-0.51; Mn: (IR)less Steel0.74; P: 0.016; 0.1 cm thick(Zr-mod S: 0.0020; Ni: SS)20.82; Cr: 25.04; Fe: 51.738; Mo: 0.51; Zr: 0.59Fuel0.415 cm15 wt %Pu: 13.23; Th: 9.91Elements radiusPuO2/ThO274.70; O: 28a (inner 5.30 cm pitch12.07ring)circle radiusnodisplacementangleFuel0.465 cm12 wt %Pu: 10.59; Th: 9.87Elements radiusPuO2/ThO277.34; O: 28b (outer6.55 cm pitch12.08ring)circle radiusnodisplacementangleFuel 0.06 cm thickZr-mod SSAs above7.90CladdingCoolantn/aLight Water100% H2OVariableLiner Tube7.20 cm IRZr-mod SSAs above7.9026a0.05 cm thickInsulator 7.25 cm IRZirconiaZr: 66.63; Y: 5.83240.55 cm thick(ZrO2)7.87; O: 25.5Liner Tube7.80 cm IRExcelSn: 3.5; Mo: 6.5226b0.05 cm thick(Zirconium0.8; Nb: 0.8; Alloy)Zr: 94.9Outer 7.85 cm IRExcelSn: 3.5; Mo: 6.52Conduit 161.2 cm thick(Zirconium0.8; Nb: 0.8; Alloy)Zr: 94.9Moderation25 cm squareD2O99.833% D2O; 1.0851region 22lattice pitch0.167% H2OnanaRg-PuPu-238: 2.75; Pu-239: 51.96;Pu-240: 22.96; Pu-241: 15.23;Pu-242: 7.10 The inner conduit 14 may be a solid tube of zirconium-modified stainless steel. The inner conduit 14 prevents mixing of the downward flowing coolant with the upward flowing coolant. In some examples, although not shown, the inner conduit 14 may include an insulating layer in order to prevent heat transfer to the downward flowing coolant. In the example illustrated, the fuel bundle 10 has two concentric fuel rings, each with 31 elements, which may be composed of mixtures of thorium dioxide and plutonium dioxide. However, in some examples, other conventional combinations of nuclear fuel may be used. For example, the concepts described herein may also work with enriched urania fuel, and may work with an enriched urania and thoria mixture-based fuel. The fuel elements 28a, 28b may be clad in 0.6 mm thick zirconium-modified 310 stainless steel. For the purposes of calculations herein, the PuO2 may be the oxide form of reactor grade plutonium (Rg-Pu), which may be recycled from used light water reactor fuel. The Pu isotopic composition is based on previous samples. The thorium may be assumed to be isotopically pure Th-232. The theoretical densities of pure PuO2 and ThO2 used herein were 10.0 g/cm3 and 11.5 g/cm3, respectively, based on data in previous studies. For the (Pu—Th)O2 mixtures, it was assumed that the densities of the mixtures were simply the volume weighted averages of the components. It was further assumed that the fuels in pellet form had densities equal to 97% times the theoretical density. Grid spacers 30 (FIG. 1B) and/or wire wrap (not shown) may be included in the fuel bundle 10 and the fuel channel assembly 12, and appendages may also be added to promote turbulence within the coolant flow. The parasitic absorption in these additional materials may be accounted for in the lattice modeling via an increase in the density, or “smearing”, of the stainless materials already present in the modeling herein. This density adjustment is not performed in the current models. B. Core Geometry and Refueling Scheme The reactor core is batch-fueled using three batches arranged radially. It is designed to generate 2540 MW of thermal power corresponding to 1200 MW of electric power assuming a 48% thermodynamic cycle efficiency. The core consists of 336 fuel channel assemblies, each containing a 500 cm long fuel bundle arranged in a 25 cm square pitch lattice. The core diameter is 625 cm and core height is 600 cm including 50 cm thick lower and upper axial D2O reflectors. The channel layout and refueling scheme are shown schematically in FIG. 3. No neutron absorbers or reactivity devices have been incorporated in the core. C. Impact on Lattice Physics As discussed above, a number of changes have been made to the fuel bundle and fuel channel assembly design versus previous versions (i.e. the 78-element fuel assembly having three concentric rows). These changes are: addition of the inner conduit 14 with the central flow passage 20 for re-entrant coolant flow; encapsulation of the insulator 24 by the liner tubes 26a, 26b, isolating it from the coolant in the fuel bundle chamber 18; and/or reduction of the thickness of the insulator 24 and change from a porous to solid material. The various changes above were investigated for fresh fuel via lattice-based calculations of k-infinity. A software package was used in conjunction with a nuclear data library. The changes to the fuel bundle and channel options were applied cumulatively, starting with the 78-element fuel assembly (described in J. PENCER, M. EDWARDS, AND N. ONDER, “Axial and Radial Graded Enrichment Options for the Canadian SCWR”, Proc. of the 3rd China-Canada Joint Workshop on Supercritical Water-Cooled Reactors, CCSC-2012, Xi'an, China, 2012 Apr. 18-20) as a reference, and compared to the present 62-element design. Plots of k-infinity as a function of axial position along the channel are shown in FIG. 4, and corresponding plots of infinite lattice CVR are shown in FIG. 5. As described herein, changes in reactivity and CVR may be a result of neutron moderation in the coolant region, particularly in the central flow passage 20. The overall impact of the coolant region on excess reactivity (or k-infinity) and CVR may be understood in the context of the impact of lattice pitch and fuel enrichment on SCWR lattice reactivity and CVR. It has been shown that it is possible to shift the CVR in the negative direction via reduction in the lattice pitch (LP). It has also been observed that the dependence of CVR on LP may be determined by the balance between moderation and absorption of neutrons in the coolant. For the cooled lattice, as lattice pitch is decreased, the positive reactivity contribution from neutron moderation in the coolant may dominate over the negative reactivity contribution from neutron absorption. Thus, a lattice pitch may be selected such that the reactivity contribution from neutron moderation in the coolant may dominate over the contribution from absorption. On coolant voiding, the negative reactivity contribution due to the decrease in neutron moderation may therefore dominate over the positive reactivity contribution due to the decrease in neutron absorption, leading to a net decrease in lattice reactivity, and hence a negative coolant void reactivity. Coolant in the central flow passage 20 (FIG. 1A) may increase k-infinity and may shift the CVR in the negative direction. Both of these results may be a consequence of the additional volume of coolant within the fuel channel assembly 12; the coolant in the central flow passage 20 acts as a moderator, thus increasing the reactivity under normal conditions and leading to a negative contribution to CVR when the moderation is lost. The CVR may therefore be “tuned” or shifted by varying the volume of coolant in the central flow passage 20, which may be achieved by changing the inner diameter of the central flow passage 20. Generally, an increase in the flow tube inner diameter will shift the CVR in the negative direction, while a decrease in the flow tube inner diameter will shift the CVR in the positive direction. Encapsulation of the insulator 24 may decrease k-infinity and shift CVR in the positive direction. Without encapsulation, for example, a 76% (by volume) porosity insulator may contain a significant (approximately 25%) amount of the coolant inside the fuel channel. Thus, encapsulation of the insulator 24, which eliminates this volume of coolant water, may result in a significant loss of neutron moderation in the coolant region, leading to both a reduction in k-infinity and positive change in CVR. The change from a perforated to solid stainless steel liner tube may increase the amount of stainless steel between the fuel bundle 10 and the moderation region 22, increasing the parasitic neutron absorption, which also may contribute to the reduction in k-infinity. Reduction of the insulator thickness and change from a porous to a solid insulator may increase the amount of coolant inside the fuel channel assembly 12, but also increases the amount of material between the fuel bundle 10 and the moderation region 22. The net result may be a decrease in k-infinity, due to an increase in the parasitic absorption in the solid insulator, and decrease in CVR, due to the increased moderation in the coolant. In summary, in comparison with the 78-element fuel assembly according to a previous design, removal of the innermost ring of fuel elements and expansion of the inner conduit 14 may result in an increase in the overall reactivity and shift the CVR in the negative direction. Both of these results may be a consequence of increased moderation in the coolant in the central flow passage 20. The combination of the two concentric rings of fuel elements 28a, 28b in the fuel bundle 10, and significant moderation in the central flow passage 20 of the fuel channel assembly 12, may also aid in balancing the radial power distribution within the fuel assembly. D. Core Physics Core calculations were performed using a software package with a nuclear data library based on the specifications described above. Values for integral core parameters (e.g., average exit burnup, etc.) are listed in Table 2, along with corresponding values obtained previously based on the reference 78-element fuel assembly. TABLE 2Comparison of integral core parameters.Parameter78-Element62-ElementAverage initial wt % PuO2 13% 13%Average initial fissile wt % heavy element8.7%8.7%Average Exit Burnup (MWd/kg)41.558.6Cycle Length (EFPD)455425Excess Reactivity BOC/EOC (mk)95.3/9.7 108.9/10.0 Coolant Void Reactivity BOC/EOC (mk)−4.4/−5.7−30.4/−45.2Fuel Temperature Coefficient BOC/EOC nd/nd−0.05/−0.05(mk/K)Moderator Temperature Coefficient nd/nd−0.12/−0.11BOC/EOC (mk/K)Channel Power Peaking Factor BOC/EOC1.28/1.191.31/1.22Axial Power Peaking Factor BOC/EOC1.39/1.191.18/1.05Maximum LER (kW/m)37.441.3Exit [fissile Pu] (wt % HM)4.52.7Exit [U-233 + Pa-233] (wt % HM)1.11.1 The fuel bundle 10 and the fuel channel assembly 12 in accordance with the present design show some performance enhancements over the previous design. There is an almost 50% increase in exit burnup. The extended burnup of the present design also results in a significantly lower (⅓ less) remainder of fissile Pu at the end of the cycle. There is a 15% decrease in the beginning of cycle (BOC) axial peaking factor, and a similar decrease at the end of cycle (EOC). These gains are slightly offset by the reduction in cycle length by about 5% (which reduces the capacity factor), and increase in radial power peaking factor by about 2%. There is also a significant decrease in the core average CVR, which is discussed in more detail below. The fuel temperature (FTC) and moderator temperature (MTC) coefficients were not evaluated previously. For the present design, the FTC and MTC are negative at BOC, EOC and throughout the cycle. The differences between the two designs may be mainly due to the introduction of the inner conduit 14 with the central flow passage 20. As discussed above, the coolant in the central flow passage 20 may provide a significant amount of neutron moderation. This increased moderation may lead to an increase in net reactivity, which may result in an increase in the maximum achievable exit burnup. The moderation in the central flow passage 20 does not generally change with axial position, and so the axial power profile may vary much less than in the previous design, thus reducing the axial power peaking factor. The reduction in cycle length may be a result of the reduction in fuel mass related to the change in the design, but this reduction may be nearly offset by the increase in initial reactivity. The increase in channel power peaking factor may be due to the larger initial reactivity of fresh fuel, and resultant increase in reactivity difference between fresh and partially irradiated fuel. The CVR of the core of the present design may be negative and its magnitude may be quite large (e.g., ranging from −30 mk to −45 mk). A negative CVR may be desirable because of the safety advantage of negative reactivity feedback. As discussed above, the CVR may be varied by changing the inner diameter of the central flow passage 20 of the inner conduit 14. An appropriate range for the core average CVR may therefore be achieved through selection of flow volume in the central flow passage 20. Referring to FIG. 6, a quarter core channel map of normalized channel power profile is provided at the beginning of cycle (BOC) and the end of cycle (EOC). This power profile is similar to that observed previously with the 78-element fuel assembly, although the peak channel powers are slightly higher. The highest channel powers correspond to the fuel channel assemblies having fresh fuel, and it is anticipated that some degree of power leveling may be achieved with the addition of burnable neutron absorber in the fresh fuel, or variation in fresh fuel enrichment. The normalized axial power profiles for BOC and EOC for the peak power channel are plotted in FIG. 7. The power profile at BOC is slightly asymmetric, with a maximum located approximately 3 m from the bottom of the fuel assembly. At EOC, the axial power profile is symmetric, with maxima located at approximately 0.5 m above the bottom of the fuel assembly and 0.5 m below the top of the fuel assembly. Comparison of the BOC and EOC axial profiles shows that there is a flattening of the power profile over time. This power flattening may be a result of the compensatory effect of reactivity on neutron leakage. At BOC, the distribution of fissile material is axially uniform. The power is higher toward the center of the fuel channel because of neutron leakage at the top and bottom of the channel. The higher power in the center of the channel at BOC may result in a higher rate of depletion of fissile material in this region and simultaneous higher production of neutron absorbing fission products. The depletion of fissile material in the center of the channel and buildup of fission products then may lead to a decrease in power toward the center of the channel relative to the ends, resulting in a flattening of the power profile, which is seen at EOC. Previous results obtained for the 78-element fuel assembly are also plotted in FIG. 7. The present design with 62-elements shows a significant reduction in axial power peaking compared with the previous design at both the BOC and EOC. In addition, the asymmetry in the axial power shape previously observed with the 78-element fuel assembly appears to be absent for the present design. The axial power profiles for the present design therefore show significant improvement over the previous design. The power history of the fuel assembly in the peak power channel was extracted from a calculation, and used in subsequent calculations to obtain the fuel element power distribution as a function of time and axial location along the fuel channel assembly. The resultant linear element ratings (LER) for the fuel elements in the inner and outer rings are plotted as a function of time and at various axial locations in FIGS. 8A, 8B, 8C, 8D and 8E (the distances refer to distances from the bottom of the fuel assembly.). The abrupt changes in LER at 425 equivalent full power days (EFPD) and 850 EFPD correspond to the change in channel power when the fuel assembly is moved to a new channel position during refueling. The maximum LER is approximately 40 kW/m, and occurs in the outer fuel ring, at the BOC, near the center of the fuel channel. The maximum LER occurs at the same time and location as the highest reactivity and highest channel power during the cycle. The largest difference in LER between the inner and outer fuel rings occurs at the BOC, is approximately 10 kW/m and decreases over the fuel cycle. A larger variation in the differences in LER between the fuel rings was observed previously with the reference design. The relative radial power distributions for the present 62-fuel element design are shown in Table 3. For the present design, the relative power densities are nearly the same for the inner and outer ring, within 3% at BOC and within 7% at EOC, and the outer ring produces about 10% more total power than the inner ring both at BOC and EOC. The even power density distribution between the inner and outer ring may ensure an even burnup distribution of the fuel (e.g., exit burnups of approximately 65 MWd/kg and 62 MWd/kg, respectively, for the inner and outer fuel rings at 2.5 m distance from the fuel channel bottom). TABLE 3Relative radial power distributions at BOC and EOC.Distance fromRelative Relative Powerfuel regionPower DensitiesPer Ringinlet (m)Inner RingOuter RingInner RingOuter RingBeginning of Cycle0.50.97221.02210.4310.5691.50.98181.01450.4350.5652.50.99831.00130.4430.5573.51.00620.99500.4460.5544.51.00860.99320.4470.553End of Cycle0.51.04150.9670.4630.5371.51.05910.9530.4710.5292.51.06520.9480.4730.5273.51.0680.94590.4750.5254.51.06860.94540.4750.525 The flattening of the relative fuel element power densities and burnup profile in the 62-fuel element design may be considered a significant improvement over the previous 78-element fuel assembly. The fuel performance (e.g., thermal conductivity and fission gas retention) may deteriorate as a function of burnup. An even power and burnup distribution within the fuel rings therefore may result in improved fuel performance, as compared to one that favors burnup in the outer fuel ring. Local variations in peak fuel and cladding temperatures may correlate with variations in radial power distribution. An even power distribution between fuel rings which does not change significantly over time may result in an improved temperature distribution in which temperatures are relatively uniform and are unlikely to vary over the cycle. E. Conclusions While some changes in the design of the fuel bundle 10 and fuel channel assembly 12 relative to the previous 78-element fuel assembly had a negative impact on the lattice physics (e.g., decrease in reactivity and positive increase in CVR), a net gain in the lattice physics performance appears possible. The light water coolant in the central flow passage 20 may play a significant role as a moderator. The moderation of neutrons in the central flow passage 20 may result in a significant increase in lattice reactivity and fissile utilization, but also may drive the infinite lattice and core CVR to be large and negative. The magnitude of the CVR may be reduced by reducing the flow area, volume or density of coolant in the central flow passage 20. Using core physics modeling, features of the design were found to result in significant improvements, including gains in exit burnup and fissile utilization and reductions in channel and axial power peaking factors. 2. Thermalhydraulics Analysis A. Modeling Codes The peak cladding temperature may be the limiting thermalhydraulic parameter for fuel bundles for the Canadian SCWR, and may be calculated using software modeling under different conditions (e.g., modifications to geometry of the fuel bundle and the fuel channel assembly, beginning of cycle (BOC), and end of cycle (EOC)) based on power distributions obtained from modeling codes. In particular, a computer code has been developed to model subchannel flow and phase distribution in a horizontal pressurized heavy water reactor (PHWR). The code has been designed to be general enough to accommodate other geometries and orientations. These include single subchannels of different shapes, and multiple subchannels of PHWR, pressurized water reactor (PWR) and BWR designs, in both vertical and horizontal orientations. As well, the code may accommodate a range of fluids, including single- and two-phase heavy water, light water, various Freons, and two-phase air-water. The code has been enhanced to meet the specific requirements for the thermalhydraulic analysis of two-phase flow in the horizontally oriented CANDU (CANada Deuterium Uranium) fuel. The numerical method may model uni-directional axial flow and bi-directional transverse flow. However, the numerical solution is limited to modeling flow structures in which the axial flow is dominant with respect to the lateral flow. This prohibits the code from modeling very low axial flow, stagnant flow or axial flow reversals. This limitation has led to the development of a new staggered grid numerical solution scheme based on a pressure-velocity algorithm. This newer version of code has been used successfully for recirculating flows. The code version for analysis of the Canadian SCWR includes modifications used to add three heat transfer correlations and water properties for supercritical conditions. This version handles only single-phase calculations, and therefore the transition between two-phase to single-phase or vice-versa is not allowed. It should be noted that in this analysis the appendages or devices to hold the bundle array and elements are not modeled. The changes to flow distribution resulting from the inclusion of appendages and grid spacers may be exploited, for example by enhancing turbulence, and/or diverting the flow from the inner ring to the middle and outer subchannels, which may reduce the subchannel coolant temperature, thus further reducing the maximum wall temperature. B. Fuel Assembly Description As described above with reference to FIGS. 1A and 1B, the fuel channel assembly 12 has a re-entrant or double flow pass configuration. Light water coolant flows from an inlet plenum into the central flow passage 20 located in the inner conduit 14. Bottom ends of the outer conduit 16 are sealed, so that when the coolant reaches the bottom of the central flow passage 20 it is redirected upward and flows through the fuel bundle chamber 18 containing the fuel bundle 10. The insulator 24 may be arranged between the fuel bundle chamber 18 and the outer conduit 16, and may be supported on either side by liner tubes 26a, 26b. If the insulator 24 were to crack, the encapsulation by the liner tubes 26a, 26b may ensure that any resultant loose insulator material is not transported by the coolant in the fuel bundle chamber 18. One of the safety features of the Canadian SCWR is the passive removal of long term decay heat through the moderator during postulated loss of coolant accidents. Passive decay heat removal occurs through heat transferred to the moderator. Thus, the insulator 24, while minimizing heat transfer to the outer conduit 16 and the moderation region 22 during normal operating conditions, may allow sufficient heat transfer during postulated accident conditions to ensure long term decay heat removal. Design of the insulator 24 may therefore satisfy criteria for passive long term decay heat removal, with the compromise that subcooling of the moderation region 22 may be required during normal operating conditions. The Canadian SCWR is intended to operate at 25 MPa, with a coolant inlet temperature of 350° C. and outlet average temperature of 625° C. Again, exemplary geometric and material specifications for the fuel bundle 10 and the fuel channel assembly 12 are given above in Table 1. As described herein, in comparison to previous designs, the cross sectional area of the central flow passage 20 is relatively large, for example, in comparison with the 78-element fuel assembly. This may result in a better neutron moderation in the inner conduit 14 caused by the coolant flowing through the central flow passage 20. The fuel bundle 10 has two rings and each one holds thirty one fuel elements 28a, 28b per ring, thus having sixty two fuel elements in total. This configuration may have the following advantages: (i) better neutron moderation close to the inner conduit 14 which may result in a more uniform radial power distribution; (ii) discretization of the fuel bundle 10 may be done using only three types of subchannels (space between adjacent fuel elements), namely inner, intermediate and outer; and (iii) a consistent type of subchannels. C. Comparative Assessment A comparison with the previous 78-element fuel assembly is presented herein as part of the assessment of the performance of the 62-element fuel bundle. Parameters for both bundle designs are presented in Table 4. TABLE 4Geometrical and thermalhydraulic parameters.Geometry78-element62-elementNumber of rings (containing fuel)32Number of elements per ring15/21/4231/31Element diameter (mm)13.6/13.6/8.2 9.5/10.0Flow tube diameter (mm)57.691.2Liner internal diameter (mm)136144Fuel volume per bundle (m3)3.7 × 10−22.3 × 10−2Total hydraulic diameter (mm)5.547.26Thermalhydraulic parameters78-element62-elementBOC/EOCPower10103.0/7424.49925.7/9274.3Averaged AFD Peak 1.2308/1.12001.1643/1.0038Maximum Wall Temperature1265/992835.1/841.6 The comparative analysis is performed using modeling code with the Jackson heat transfer correlation for supercritical conditions, the Carlucci mixing model and the flow resistance correlation of Colebrook-White. Comparisons are made under conditions corresponding to the beginning of cycle (BOC) and end of cycle (EOC). This set of model options is hereafter called the base case. D. Radial Power Distribution The relative radial power distributions for the current 62-element fuel option, and the previous 78-element fuel assembly are provided above in Table 3. The even power density distribution between the inner and outer rings may help in reducing hot spots within the fuel bundle 10. This, in combination with uniformity of fuel element diameters and consistent subchannel sizes, may result in lower wall temperatures. E. Axial Power Distribution Referring to FIGS. 9 and 10, the axial power distribution may be directly related to the axial location of the maximum wall temperature. For instance, a cosine shape profile may lead to a maximum wall temperature downstream of the peak distribution. A flat power distribution may tend to result in a maximum wall temperature at the end of the fuel bundle. F. Average Outlet Temperature and Maximum Wall Temperature The wall temperature and coolant temperature may be directly related. In fact, a uniform cross sectional coolant temperature distribution may give the lowest maximum wall temperature for any arbitrary geometrical arrangement of fuel pins, whereas a non-uniform may result in higher wall temperatures. The following temperature distribution analysis is performed using the outlet conditions (i.e. at 500 cm). The cross sectional temperature distributions were determined for both the fuel bundle 10 and the fuel channel assembly 12 of the present disclosure, and the previously investigated 78-element fuel assembly. The BOC and EOC temperature distributions for the 62-element fuel assembly are shown in FIGS. 11 and 12, respectively. The coolant temperature ranges from 597° C. to 651° C. for the BOC, and 605° C. to 638° C. for the EOC. The inner subchannels are the coldest and correspond to a flow tube wall temperature of ˜600° C. Lower temperatures may occur in this area because the inner conduit 14 does not generate heat. The intermediate and outer subchannel coolant temperatures are within 20° C. of the average radial temperature. The coolant temperature increases in moving from the inner to the outer subchannels. In contrast to the 62-element fuel assembly, the 78-element fuel assembly has four subchannel rings, the inner, inner intermediate, outer intermediate and outer subchannels. Similar to the 62-element, the lowest temperature is located in the inner ring and increases towards the outer ring. However, the coolant temperature ranges from 401° C. to 1141° C. for the BOC, and 452° C. to 777° C. for the EOC. The significant difference between the minimum and maximum temperatures may be due to the non-uniform radial heat flux distribution combined with uneven subchannel sizes (thus resulting in different subchannel mass flows). Based on these results, uniform heat flux distributions may help to reduce hot spots in the subchannels. (This may be achieved with a uniform radial power profile and equal fuel element heated perimeter). Furthermore, because the flow tube does not generate heat, the inner subchannels may tend to be the coolest. G. Sensitivity Analysis The supercritical heat transfer correlations available in the modeling code were used to assess their impact on wall temperature predictions. The results are presented in Table 5. TABLE 5Sensitivity analysis results.Max wallSubAxial temp.RodChannelLocationCase(° C.)##(cm)Beginning of CycleBase Case835.13363430-440Base Case +791.93363440-450Dittus-BoelterBase Case +867.23363420-430BishopBase Case +918.13363410-420Mokry (Modified Bishop)Base Case +850.63394430-440Offset inner ring angle by 5.6degreesBase Case792.33497430-440with 32 elements per ringEnd of CycleBase Case841.63363480-490Base Case +805.43363480-490Dittus-BoelterBase Case +868.93363480-490BishopBase Case +907.93363480-490Mokry (Modified Bishop)Base Case +834.93394480-490Offset inner ring angle by 5.6degreesBase Case842.8 2 1480-490with 32 elements per ring The results show that the code predicted the lowest wall temperature, using the Dittus-Boelter correlation, which gave temperatures that were approximately 30 to 40° C. lower than the base case. The Bishop correlation resulted in wall temperatures about 30° C. higher than the base case. The Mokry correlation resulted in the highest wall temperature predictions, over 80° C. for the BOC and 30° C. for the EOC compared to the base case. The sensitivity to element arrangement and number of fuel elements was also examined. An angular offset between the inner and outer ring may result in a change in the size and shape of both the inner and outer subchannels, which would result in a potential redistribution of the radial heat power distribution. Increasing the number of fuel elements in each ring may decrease the power per fuel element, and therefore may reduce the wall temperature. However, due to geometrical constraints, increasing the number of fuel elements may result in a reduction in the total fuel mass, thus increasing the power density, potentially counteracting the advantage of lower power per fuel element. To investigate the potential benefits and impacts of varying the offset of the rings, and/or increasing the number of fuel elements in the inner and outer rings, two more cases were analyzed: a 62-element fuel assembly in which the inner ring of fuel elements was offset by 5.62°; and 64-element fuel assembly with the same offset. The results from analysis of these cases are also presented in Table 5 above. The inclusion of an angular offset of the inner ring in the 62-element fuel assembly resulted in an increase of the maximum wall temperature of 15° C. for the BOC and a decrease of 7° C. for the EOC. In addition, the location of the maximum wall temperature shifted from the outer to the intermediate subchannel. The introduction of an additional fuel pin in each ring, i.e., the 64-element fuel assembly, results in a reduction of 40° C. in the peak wall temperature for the for the BOC, and an insignificant change for the EOC. As was observed in the previous case, the maximum wall temperature shifted to the inner ring. The reasons for these changes may be new power distributions combined with smaller element diameters. H. Conclusions The flattening of the power distribution profile in the 62-element fuel assembly may result in a significant improvement in performance over the previous 78-element fuel assembly. The even power distribution within the rings of the fuel elements may result in lower wall temperatures compared to one that has a non-uniform power distribution, because local variations in peak fuel and cladding temperatures generally correlate with variations in radial power distribution. Because the even power distribution between the rings of the fuel elements may not change significantly over time, the improvement in temperature distribution may also be unlikely to vary over the cycle. Subchannel geometry may be important for the 62-element fuel assembly. The reduction to the two rings of the fuel elements 28a, 28b (compared to three, for example) in combination with a relatively large central moderating region (the central flow passage 20) may enable a more balanced heat transfer and coolant mass flow within the subchannels. This improved balance in heat transfer and coolant mass flow, in turn, may enable lower achievable wall temperatures. In addition, the design of the fuel bundle 10 may enable flexibility that may be exploited for further improvement. For instance, further modifications to the fuel bundle 10 via an offset of the rings and change in sizes of the fuel elements may enable a further decrease in the maximum wall temperature and linear power rating, although at the expense of reduced total fuel mass. While the above description provides examples of one or more processes or apparatuses, it will be appreciated that other processes or apparatuses may be within the scope of the accompanying claims.
summary
040381323
description
DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 is indicative of one half of a 36 nuclear fuel element designed for use in a Pressure Tube Nuclear Reactor and comprising a cluster of nuclear fuel rods 2 supported, axes parallel in spaced apart relationship by transverse grids. One such grid is shown at 3 and this comprises an outer band 4 joined at 60.degree. intervals by webs 5 which divides the space bounded by the band into six sectors each of which contains six fuel rod positioning ferrules 6. The ferrules 6 are welded together at their points of contact and with the webs 5. Correct positioning of the ferrules is assisted by C shaped spacers 7. The ferrules 6 receive the fuel rods 1 and together with end fittings (not shown) maintain them in spaced apart relationship, axes vertical and parallel to one another so defining interspaces between them through which a main coolant may be passed to remove heat from the fuel. In one of these interspaces an auxiliary coolant conduit 8 is located extending through and fixed to a central ferrule 9 to which the radially inner ends of the webs 5 are secured. The conduit 8 is closed at one, lower, end and has a number of lateral holes 10 in its wall so that when the conduit 8 is connected at its other end to a source of auxiliary coolant, the latter will emerge as a plurality of sprays directed transversely into the cluster of fuel rods at right angles to the flow direction of main coolant. This spray cooling facility is useful as an alternative or supplementary cooling to an axial flow of main coolant through the cluster from one end to the other. All the parts of the fuel cluster so far described are part of the prior art and do not of themselves form part of the invention. From the foregoing it will be understood that those fuel rods which do not lie in a line of sight with the holes 10 in the conduit 8 will be less effectively cooled by auxiliary coolant than those which do. In order to ameliorate the cooling of these fuel rods by auxiliary coolant, means are provided for modifying the direction of the jet of auxiliary coolant after injection into the cluster to deflect coolant on to the surfaces of fuel rods otherwise inaccessible to auxiliary coolant. Said means comprises a number of T-shaped target plates 11 attached by their cross pieces 11a to adjacent ferrules 6 by welds 12 and slotted to clear the adjacent radial web 5. Each stem 11b of the target plates 11 lie in the line of sight from one or more holes 10 in the conduit 8 and have a face directed, towards the conduit. This face is bounded by longitudinal edges 11c separated by a distance w equivalent to approx half the pitch of the fuel rods. The edges 11c of the cross piece are sharply defined; the edge surfaces making an acute angle .alpha. in FIG. 4 of preferably 60.degree., with the face of the target plate 11 (FIG. 4). In addition the target plates 11 are positioned so that the vertical centre line X--X (FIG. 3) through the face 11b of the plate directed towards the conduit lies in a plane passing through the said mid-pitch portion. In operation the nuclear fuel rods are normally adequately cooled by a flow of main coolant axially through the interspaces between the fuel rods. When auxiliary cooling is required, auxiliary coolant is injected transversely into the cluster via holes 10 in the conduits. In order to ensure that auxiliary coolant has access to wet rods in the outer row which are out of the line of sight of the auxiliary coolant jets, target places 11 depend from the grid so as to deflect a jet 13 from holes as at 10 on to parts of the surfaces of rods 2a, 2b which, being partially masked by other rods, may not otherwise be properly wetted by auxiliary coolant. It is important to provide sharp edges on the target plates 11 so that the jet 13 of auxiliary coolant water is deflected and properly spills off the target plate to envelope the adjacent pair of fuel rods 2a, 2b as shown in FIG. 5. The target plates need not be flat but are preferably made flat and as such are virtually unsensitive to any misalignment that may exist between the jet of auxiliary coolant and the larger plate which may set in after operation, say by the holes 10 becoming partially blocked.
abstract
In a pressurized water reactor with all of the in-core instrumentation gaining access to the core through the reactor head, each fuel assembly in which the instrumentation is introduced is aligned with an upper internals instrumentation guide-way. In the elevations above the upper internals upper support assembly, the instrumentation is protected and aligned by upper mounted instrumentation columns that are part of the instrumentation guide-way and extend from the upper support assembly towards the reactor head in hue with a corresponding head penetration. The upper mounted instrumentation columns are supported laterally at one end by an upper guide tube and at the other end by the upper support plate.
summary
040617009
summary
BACKGROUND OF THE INVENTION The present invention relates generally to the art of forming and sintering ceramic powders and is particularly concerned with a method for sintering a uranium dioxide nuclear fuel body having a fugitive binder. Various materials are used as nuclear fuels for nuclear reactors including ceramic compounds of uranium, plutonium and thorium with particularly preferred compounds being uranium oxide, plutonium oxide, thorium oxide and mixtures thereof. An especially preferred nuclear fuel for use in nuclear reactors is uranium dioxide. Uranium dioxide is produced commercially as a fine, fairly porous powder which cannot be used directly as nuclear fuel. It is not a free-flowing powder but clumps and agglomerates, making it difficult to pack in reactor tubes to the desired density. The specific composition of a given commercial uranium dioxide powder may also prevent it from being used directly as a nuclear fuel. Uranium dioxide is an exception to the law of definite proportions since "UO.sub.2 " actually denotes a single, stable phase that may vary in composition from UO.sub.1.7 to UO.sub.2.25. Because thermal conductivity decreases with increasing O/U ratios, uranium dioxide having as low an O/U ratio as possible is preferred. However, since uranium dioxide powder oxidizes easily in air and absorbs moisture readily, the O/U ratio of this powder is significantly in excess of that acceptable for fuel. A number of methods have been used to make uranium dioxide powder suitable as a nuclear fuel. Presently, the most common method is to die press the powder into cylindrically-shaped green bodies of specific size without the assistance of fugitive binders since the complete removal of these binders and their decomposition products is difficult to achieve prior to sintering. The entrainment of binder residues is unacceptable in sintered nuclear fuels. Sintering atmospheres may range from about 1000.degree. C to about 2400.degree. C with the particular sintering temperature depending largely on the sintering atmosphere. For example, when wet hydrogen gas is used as the sintering atmosphere, its water vapor accelerates the sintering rate thereby allowing the use of correspondingly lower sintering temperatures such as a temperature of about 1700.degree. C. The sintering operation is designed to densify the bodies and bring them down to the desired O/U ratio or close to the desired O/U ratio. Although uranium dioxide suitable as a nuclear fuel can have an O/U ratio ranging from 1.7 to 2.015, as a practical matter, a ratio of 2.00 and suitably as high as 2.015 has been used since it can be consistently produced in commercial sintering operations. In some instances, it may be desirable to maintain the O/U ratio of the uranium dioxide at a level higher than 2.00 at sintering temperature. For example, it may be more suitable under the particular manufacturing process to produce a nuclear fuel having an O/U ratio as high as 2.195, and then later treat the sintered product in a reducing atmosphere to obtain the desired O/U ratio. One of the principal specifications for uranium dioxide sintered bodies to be used for a nuclear reactor is their density. The actual value may vary but in general uranium dioxide sintered bodies having densities of the order of 90% to 95% of theoretical density are specified and occasionally a density as low as 85% of theoretical is specified. Most pressed uranium dioxide powder, however, will sinter to final densities of about 96% to 98% of theoretical. Therefore, to obtain sintered bodies with lower densities the time and temperature must be carefully controlled to allow the shrinkage of the body to proceed only to the desired value. This is inherently more difficult than the use of a process which is allowed to go to completion. Specifically, small variations during sintering can result in large variations or no significant variations in the sintered body of compacted powder depending on a number of factors such as the powder chemistry, particle size and agglomeration. Generally, however, a change in sintering time such as, for example an hour or two, does not significantly change the density of the final sintered product. Also, when sintered bodies having the desired low density have been attained by carefully controlling sintering time and temperature, it has been found that these sintered bodies, when placed in the reactor, frequently undergo additional sintering within the reactor thereby interfering with proper reactor operation. A number of techniques have been used in the past to reduce the density of the sintered body other than varying time and temperature. For example, one technique has been to press the uranium dioxide powder, break it up and repress it. The problem with this technique is that the resulting sintered body has large interconnecting pores throughout the body which extend out to the surface resulting in a large exposed surface area which can absorb into the body significant amounts of gases, and in particular water in the form of water vapor. During reactor operation these gases are liberated providing a possible source of corrosion for the fuel cladding. Another method involves adding a plastic of selected particle size to the uranium dioxide powder. The admixed powder is then pressed and sintered, however the decomposition of the plastic during sintering usually results in carbon residues which contaminate the nuclear fuel. In U.S. patent application Ser. No. 437,837 filed Jan. 30, 1974 (and now abandoned) in the name of Kenneth W. Lay and assigned to the same assignee as the present invention, there is disclosed a process for controlling the end-point density of a sintered uranium dioxide nuclear fuel body and the resulting product. Uranium dioxide powder having a size ranging up to 10 microns is admixed with a precursor to uranium dioxide, such as ammonium diuranate, having an average agglomerated particle size ranging from about 20 microns to 1 millimeter and the mixture is formed into a pressed compact or green body. The body of the precursor and the uranium dioxide has a density lower than that of the uranium dioxide powder and the precursor is used in an amount which results in discrete low density regions in the green body which range from about 5% to 25% by volume of the green body. The green body is sintered to decompose the precursor and produce a sintered body having discrete low density porous regions which reduce the end-point density of the sintered body by at least 2%. The sintered body has an end-point density ranging from 85% to 95% of theoretical. In copending U.S. patent application Ser. No. 598,839, filed July 24, 1975 (and now abandoned) and assigned to the same assignee as the present invention there is disclosed a process for controlling the final or end-point density of a sintered uranium dioxide nuclear fuel body by adding ammonium oxalate to a nuclear fuel material such as uranium dioxide before pressing into a green body. This addition results in discrete low density porous regions in the sintered body which correspond to the ammonium oxalate particles. The end-point density of the sintered body is, therefore, a function of the amount of ammonium oxalate added. As previously mentioned, conventional organic or plastic binders are unsuitable for use in powder fabrication since they tend to contaminate the interior of the sintered body with impurities such as hydrides. These binders are normally converted to gases during the sintering step and these gases must be removed, requiring special apparatus or procedures. In addition, upon decomposition, these prior art binder materials often leave deposits of organic materials in the equipment utilized to sinter the article, thereby complicating the maintenance procedures for the equipment. In the sintering process, it is desirable to develop strong diffusion bonds between the individual particles without significantly reducing the interconnecting porosity of the body. The use of organic binders along with normal compacting pressures and sintering temperatures inhibits the formation of these strong bonds. The higher compacting pressures and sintering temperatures required to develop such bonds sharply reduce the desired porosity. There is a particular need, therefore, in the art of preparing sintered bodies for nuclear reactors by powder ceramic techniques for a binder which will impart an adequate degree of green strength without contaminating the interior of such bodies and which will permit, through sintering, the formation of strong bonds between particles without deleteriously affecting the porosity. SUMMARY OF THE INVENTION This invention presents the improvement of utilizing a binder of a compound or its hydration products containing ammonium cations and anions selected from the group consisting of carbonate anions, bicarbonate anions, carbamate anions and mixtures of such anions, preferably a binder selected from the group consisting of ammonium bicarbonate, ammonium carbonate, ammonium bicarbonate carbamate, ammonium sesquicarbonate, ammonium carbamate and mixtures thereof, in a powder ceramic process for imparting green strength to articles cold pressed from nuclear fuel powders of varying particle size and a particular shape or configuration for which it is desired to maintain a certain degree of porosity, uniformity of pore size, a lack of interconnections between the pores and the shape or configuration of the base material particles in the final article after sintering. The binders disclosed in this invention are efficient binders for use in nuclear fuels, and further the binders enable the realization of defect free, pressed bodies of nuclear fuel materials and tensile strength in the bodies comparable to strengths achieved with long chain hydrocarbon binders. Further the binders in this invention leave substantially no impurities in the nuclear fuel material since these binders decompose upon heating into ammonia (NH.sub.3), carbon dioxide (CO.sub.2) and water (H.sub.2 O) (or water vapor) at temperatures as low as 30.degree. C. The binder addition to nuclear fuel material as presented in this invention enables the practice of a process for forming and sintering a body of a nuclear fuel having the steps of admixing the nuclear fuel material in particulate form with the binder, forming the resulting mixture into a green body having a density ranging from about 30% to about 70% of theoretical density of the nuclear fuel material, heating said green body to decompose substantially all the binder into gases, further heating the body to produce a sintered body and cooling the sintered body in a controlled atmosphere. This invention also provides a composition of matter that is suitable for sintering in the form of a compacted structure comprising a mixture of a nuclear fuel material and a binder of a compound or its hydration products containing ammonium cations and anions selected from the group consisting of carbonate anions, bicarbonate anions, carbamate anions and mixtures of such anions and preferably a binder selected from the group consisting of ammonium bicarbonate, ammonium carbonate and mixtures thereof. OBJECTS OF THE INVENTION It is an object of this invention to provide an additive of a binder of a compound or its hydration products containing ammonium cations and anions selected from the group consisting of carbonate anions, bicarbonate anions, carbamate anions and mixtures of such anions for a nuclear fuel material that serves to bind the particles of nuclear fuel into green shapes suitable for sintering. Another preferred object of this invention is to provide a binder selected from the group consisting of ammonium bicarbonate, ammonium carbonate, ammonium bicarbonate carbamate, ammonium sesquicarbonate, ammonium carbamate or mixtures thereof as an addition to nuclear fuel materials and which, upon heating at moderate temperatures before a sintering process, decompose into gases and leave substantially no impurities in the sintered structures of the nuclear fuel material. Still another object of this invention is to provide a process for sintering green shapes of a nuclear fuel material using a binder of a compound or its hydration product containing ammonium cations and anions selected from the group consisting of carbonate ions, bicarbonate anions, carbamate anions and mixtures of such anions. Other objects and advantages of this invention will become apparent from the following specification and the appended claims.
abstract
An electro-technical device includes a circuit including a coil connected to a voltage source for receiving a predetermined current therefrom and connected to an output device. The circuit includes a breakable junction and a photodiode for receiving a light signal from a fiber optic cable. The photodiode receives a light signal from a sensor. A permanent magnet includes a pole end opposing a common pole end of the coil, wherein when the coil receives an increased current from the photodiode, the coil creates an magnetic flux that repels against the common pole of the permanent magnet in order to cause the breakable junction to break and disrupt a connection between the voltage source and the output device.
043572090
summary
FIELD OF INVENTION This invention relates to nuclear divisional reactors and more specifically to a multiple energy source system in which the various energy sources may be varied with respect to each other. HISTORICAL BACKGROUND In the past nuclear reactors have generally been available so as to furnish a single source of energy direct from the reactor without permitting various other sources in contact with the reactor and wherein the reactor is positioned substantially within a boiler chamber as disclosed in my U.S. Pat. No. 4,102,752 of July 25, 1978. In recent times research effort has been directed towards improving municipal and agricultural water supply systems. In these systems there has been a need for applying heat from the reactor directly to other energy areas at varying temperatures other than that which would be used in the production of potable water. Thus the reactor could be producing water supply for potable purposes but also utilized for other energy outputs such as the generation of electrical power or the use of high temperatures in chemical reactions and the like. At present a source of heat energy supply is necessary for each particular system utilized. Thus a series of reactors must be provided to produce different types of useable energy. The present invention overcomes the disadvantages of the prior art by providing not only a water supply system but also a means for converting nuclear power to other types of power for use in chemical industries, electrical generating systems and the like. Accordingly, it is the primary object of this invention to provide a municipal water supply system in conjunction with other systems which are tied into a single nuclear reactor. Still a further object of this invention is to provide a nuclear reactor system which includes a divisional member surrounding the reactor which allows for separate and distinct operations resulting from the heat generated at different surface areas of the core. Another object of this invention is to provide a series of independent systems which are simple and easily operated separately one from the other yet involving a single nuclear reactor. Yet a further object of this invention is to provide a compound system which is compact and produces multiple sources of energy useable in various areas independent one from the other. These and other objects of this invention will be apparent from the following description and claims.
description
This application is related to application Ser. No. 13/830,151 filed concurrently herewith, the entire contents of which are incorporated herein by reference. This invention relates to nuclear reactor cores comprising a plurality of fuel elements and to fuel elements for use therein, and is applicable to nuclear reactors of the kind having pressure tubes and/or pressure vessels. Conventionally, nuclear reactors comprise pressure tubes or pressure vessels, though nuclear reactors that have both pressure tubes and a pressure vessel have been disclosed. The present invention is especially applicable to those having pressure tubes. At present, nuclear power plant safety is of particular concern in the aftermath of the Fukishama accident in Japan in 2011 and others in which the fuel becomes exposed after the reactor has shut down. Some proposals for improving safety have focused upon prolonging the time to catastrophic failure in a severe accident in which decay heat may cause either or both of fuel cladding failure and hydrogen production. One option is to provide passive decay heat removal following a severe accident but this requires the fuel cladding to be capable of retaining the fuel fission products while the decay heat is transferred from the fuel, predominantly by thermal radiation. Although improving safety is of paramount importance, it is desirable to do so without reducing efficiency. In fact, there is an ongoing desire to improve the efficiency of nuclear reactor power plants without prejudice to their safety. Improved efficiency can be obtained by increasing operating temperatures. To this end, it has been proposed to build nuclear power reactors employing supercritical water which requires much higher operating pressures than the current art PWR, BWR and PHWR. A fuel must be capable of operating at the temperature and pressure of supercritical water, and withstanding the corrosive environment of irradiated supercritical water and radiation damage. The fuel sheath or cladding must also have acceptably low neutron absorption to function economically while desirably providing for passive decay heat removal following a severe accident in which the fuel becomes exposed after the reactor has shut down. In this industry, a variety of terms are used for the pressure barrier between the fuel and the reactor coolant. For convenience, in the context of this specification, the term “cladding” will be used for such pressure barrier, whether in a pressure-tube or pressure-vessel type of reactor. Also, the term “fuel elements” will be used to embrace both the fuel elements of a pressure-tube type of reactor and the fuel rods of a pressure-vessel type of reactor. The term “fuel assembly” refers to a plurality of fuel elements which are held together in parallel. In the case of a PHWR, this fuel assembly usually is called a “fuel bundle”. Moreover, the term “inert” material will be used to mean that the material does not generate significant hydrogen in the presence of steam or corrode significantly in the presence of irradiated reactor coolant water. It is known to use supercritical water systems in fossil fuel power stations. However, the technologies, particularly materials, used in supercritical fossil fuel stations cannot necessarily be used in supercritical nuclear reactor stations where low neutron absorption and corrosion resistance at supercritical temperatures and radiation levels are particularly important. This is especially so for the fuels and the fuel assemblies containing them. It is known, for example, to use stainless steel to clad fuel for a higher temperature operation. It is unlikely that, in severe accident conditions, this fuel cladding would have been capable of retaining fission products while passively transferring decay heat in a PHWR, PWR or BWR. Other steels, nickel and titanium-based alloys that have been studied for supercritical water reactor use also have relatively high neutron absorption and entail the use of enriched uranium. They would not be entirely suitable for use in applying similar reactor physics when refitting an existing reactor, for example a PHWR. Current pressure tube type heavy water reactors use a natural enrichment uranium dioxide fuel in a fuel bundle located inside a zirconium alloy pressure tube. The fuel bundle typically comprises 28, 37 or 43 fuel elements. Heavy water coolant inside the pressure tube surrounds the fuel bundles. The fuel elements are held in a bundle configuration by welding to end plates. Zircalloy bearing pads are located on the outer ring of elements. Spacers are located on the faces of the elements that are adjacent to neighbouring elements i.e., that are juxtaposed when the fuel elements are assembled into a bundle. Typically, each fuel element comprises a plurality of cylindrical uranium dioxide fuel pellets inside a tubular zircalloy fuel cladding capped at the ends with zircalloy end caps welded to the cladding. Some fuel elements may have a layer of a graphite-based mixture between the fuel elements and fuel cladding. The fuel operates by producing heat and neutrons from the fission of uranium in the fuel pellets. The rate of heat release is controlled by reactivity mechanisms that control the population of neutrons in the reactor core at any given time. The heat produced in the fuel element is conducted outward to the outer surface of the fuel element where it crosses a small gap or contact area to the inside surface of the fuel cladding. The heat is conducted through the wall of the fuel cladding and convected away into the coolant, which conveys it away as “useful” heat. At least some of the remaining “waste” heat not conveyed away by the coolant passes through the pressure tubs and/or surrounding calandria tube, as the case may be. When the reactor is shut down, a lower rate of fuel heating continues to be present from the decay of fission products. This heat must be removed to prevent the fuel from heating up to a high temperature at which the fuel cladding will fail and, ultimately, the fuel may melt. To remove this heat, the coolant is kept flowing at all times, which typically requires the continued availability of electric power and a pump that is always operational. If the coolant flow ceases or reduces unacceptably, (known as loss-of-flow accident (LOFA)) or the coolant inventory is lost (known as loss-of-coolant accident (LOCA)), the fuel will heat up and eventually release hazardous fission products. More particularly, in the event of a cladding failure, hazardous gaseous radioactive fission products are promptly released to the coolant. When zirconium, in particular, gets hot in the presence of steam, it forms hydrogen gas which can cause explosions. While known fuels may function satisfactorily at the current sub-critical pressures and temperatures, their cladding lacks the strength and corrosion resistance to operate satisfactorily at higher temperatures and pressures and especially at the much higher supercritical pressure and temperatures that allow increased power output and thermal efficiency. In severe accident conditions, the cladding could heat up, oxidize (producing hydrogen) and melt before one can get the decay heat out of the fuel channel. This problem is exacerbated by insulating the pressure tube to ameliorate heat loss. For example, it is known to insulate the pressure tube by providing a surrounding tube around the pressure tube, known by those skilled this art as a “calandria tube”, and filling an annular cavity between these tubes with an insulating gas. It has been proposed, in a paper by Yetizir, M., W. Diamond et al., “Conceptual Mechanical Design for a Pressure-Tube Type Supercritical Water Cooled Reactor”, The 5th International Symposium on SCWR, Vancouver, Canada, Mar. 13-16, 2011), and a document referenced therein, to use a solid insulator within the pressure tube. Yetizir et al. use a zirconium alloy, specifically identified by Yetizir et al. as “Excel”, with low neutron cross-section for the pressure tube and line the pressure tube with a porous ceramic insulator itself lined with stainless steel. With this arrangement, the exterior surface of the pressure tube is in contact with the coolant moderator and its interior surface is insulated from the fuel and coolant by the stainless steel lined ceramic insulator. The stainless steel lined ceramic insulator is yttrium-stabilized zirconia (YSZ) which has low neutron absorption properties and good, if not excellent, thermal resistance. As a result, the pressure tube tends to remain at the temperature of the coolant and is less likely to rupture. Nevertheless, neither of these insulated-pressure tube arrangements is entirely satisfactory, since decay heat will cause the fuel to heat-up to very high temperatures because of the thermal insulating effect of the stainless steel lined insulator or the insulating gas in the annular cavity. Under severe accident conditions, the temperature will become high enough to cause the conventional fuel cladding to oxidize and eventually melt, leading to hydrogen production and release of fission products. Similar considerations apply to fuel cladding in pressure vessel reactors under severe accident conditions. The present inventor sought to at least mitigate limitations of these known fuel elements, and nuclear reactors cores employing them, or at least provide an alternative. According to a first aspect of the invention, there is provided a nuclear reactor core of the pressure tube kind in which a plurality of pressure tubes extend through a tank containing, in normal use, heavy water moderator, each of said plurality of pressure tubes containing: a plurality of fuel elements held together in spaced relationship so as to permit the flow of coolant through spaces between adjacent fuel elements during normal operation, each of said fuel elements comprising a plurality of fuel pellets in a cladding; each of said plurality of pressure tubes having a protective insulator sleeve on or adjacent an inner surface of the pressure tube,the cladding comprising a material that has a melting temperature of at least 1900° C. and does not form significant amounts of hydrogen if exposed to high temperature steam; and the insulator sleeve comprising a material that has a relatively low thermal conductivity over a range of temperatures encountered during normal operation and relatively high thermal radiation transmission at temperatures in excess of said normal operating temperature range, such that, when coolant is absent from said spaces, the insulator sleeve transmits to the pressure tube at least about 10%, but preferably greater than about 40% of the net thermal radiation from the fuel assembly for conduction through the pressure tube to the moderator and the fuel temperature remains within safe limits after the reactor is shut down. This arrangement takes advantage of the fact that, when coolant is present and at normal operating temperatures, heat transfer from the fuel bundle is primarily by convection but, when coolant is absent and the fuel overheats, heat transfer from the fuel bundle is primarily by thermal radiation. This thermal radiation may have wavelength and intensity in a range consistent with exposure of the nuclear core to temperatures encountered during severe accident conditions. The transfer of heat from the fuel to the pressure tube involves a combination of spectrally dependent absorption, reflectivity and emissivity of the cladding and insulator sleeve, matched to temperatures and emissivity characteristics of the fuel pellets, cladding and pressure tube. The “normal operating temperature” will differ from one reactor type to another and will depend upon reactor type and the materials used. For water-cooled reactors, including those cooled by supercritical water, the normal operating temperature of the coolant and outer surface of the fuel itself may range from as low as 25° C. to as much as 1000° C. A nuclear reactor core of the first aspect may be used with fuel elements that are not clad with the aforesaid material that has “a melting temperature of at least 1900° C. and does not form significant amounts of hydrogen if exposed to high temperature steam”. Hence, according to a second aspect of the present invention, there is provided a nuclear reactor core in which a plurality of pressure tubes extend through a tank containing, in normal use, heavy water moderator, each of said plurality of pressure tubes containing: a plurality of fuel elements held together in spaced relationship so as to permit the flow of coolant through spaces between adjacent fuel elements during normal operation, each of said plurality of pressure tubes having a protective insulator sleeve on or adjacent an inner surface of the pressure tube, the insulator sleeve comprising a material that has a relatively low thermal conductivity over a range of temperatures encountered during normal operation and relatively high thermal radiation transmission at temperatures in excess of said normal operating temperature range, such that, when coolant is absent from said spaces, the insulator sleeve transmits to the pressure tube at least about 10%, but preferably greater than about 40%, of net thermal radiation from the fuel assembly for conduction through the pressure tube to the moderator and the fuel temperature remains within safe limits after the reactor is shut down. In embodiments of either of the first and second aspects of the invention, a suitable material for the sleeve of insulator material may provide: a) transmission of thermal radiation of about 10%-90%, but preferably more than about 40%, of the net heat energy released from the fuel assembly at temperatures in excess of about 1000° C.; b) low thermal conductivity (e.g., less than about 2 W/mK); c) low neutron absorption (comparable to or better than existing fuel channel components). One suitable such insulator sleeve material is fused silica. The interior of the insulator sleeve must tolerate sliding of the fuel bundle and exposure to the corrosive high temperature irradiated coolant flowing through the fuel bundle, when in use. Accordingly, for better mechanical and chemical performance, for example abrasion resistance and corrosion resistance, an interior surface of the insulating sleeve may have a lining or coating of a mechanically durable inert material with good thermal radiation transmission, such as sapphire. Thus, a plurality of support tabs may be secured to the outer ring of fuel elements of the bundle to support the fuel bundle in the pressure tube, the tabs also comprising a material that is substantially transparent to thermal radiation and does not form a significant amount of hydrogen if exposed to high temperature steam. The support tab material need not be the same material as that used for the cladding, but it would be convenient to use the same material for both. In one specific embodiment of the first aspect of the invention, there is provided a nuclear core comprising a plurality of pressure tubes insulated by an insulator sleeve of fused silica lined/coated with sapphire, each pressure tubes containing a fuel bundle comprising a plurality of parallel fuel elements each comprising fuel pellets in a sapphire cladding. A coating of sapphire on the interior surface of the insulator sleeve may be applied using one of several known methods, including chemical vapour deposition and plasma deposition. A sapphire liner may be produced by edge-defined film-fed growth of part-cylindrical segments. Aluminium nitride bonding may be used to join the cylindrical segments together to form a tube. Fine-forming or grinding may be used to finish the liner to obtain a desired smoothness. In embodiments of either of the first and second aspects, the fuel element may comprise fuel pellets in tubular cladding closed at each end with end caps, in which case, the tubular cladding and end caps may be made from the same said material. Although the fuel elements are particularly suited to use in a nuclear reactor core of the pressure tube type, they could be used in other types of reactor, such as BWRs and PWRs. Hence, according to a third aspect of the present invention, there is provided a fuel element for use in a nuclear reactor core in which a plurality of fuel elements are held together in spaced relationship so as to permit the flow of coolant through spaces in the bundle during normal operation, the fuel element comprising a plurality of fuel pellets in a cladding of material that has a melting temperature of at least 1900° C. and does not form significant amounts of hydrogen if exposed to high temperature steam. In embodiments of either of the first and third aspects of the invention, the cladding material may be substantially transparent to thermal radiation at temperatures above a predetermined temperature (about 1000° C.). A suitable cladding material may have a thermal conductivity over the normal operating temperature range that is greater than about 5 W/mK and low neutron absorption, at least when compared with previously-known fuel claddings, such as zircalloy 4 or stainless steel. The cladding material also may have relatively high corrosion resistance in irradiated supercritical water. An especially suitable cladding material comprises sapphire. Single crystal sapphire may be preferred for some applications. The sapphire may be an edge defined film fed growth formation. Fuel elements embodying this third aspect may be used in pressure-tube or pressure-vessel reactors. In embodiments of either of the first and third aspects, the sapphire cladding material may comprise a material formed by means of a high temperature fine forming method. End caps may be joined to respective ends of the cladding tube by an aluminium oxy-nitride bond between respective juxtaposed surfaces of the cladding and end caps. Methods of making (finishing) sapphire cladding are disclosed and claimed in the above mentioned in concurrently-filed patent application Ser. No. 13/830,151. FIG. 1A is a perspective illustration of a fuel assembly of a boiling light water reactor (BWR). The fuel assembly 10 comprises a plurality of fuel elements 12 assembled together in a generally rectangular array which in use would normally be vertical and held apart by a plurality of spacers 14 and held together by a bottom-tie plate 16 and a top-tie plate 18. FIG. 1B is a perspective illustration of a fuel assembly of a pressurized light water reactor (PWR) and comprises a fuel element assembly 10′ comprising fuel elements 12′, differing somewhat from fuel elements 12 of FIG. 1A, held together by central grids 20 in a vertical rectangular array captured at the top by a top nozzle 22 and at the bottom by a bottom nozzle 24. The top nozzle 22 and bottom nozzle 24 are used to pass pressurized light water past fuel elements 12′. The light water then passes out to a separate cooling system and is recirculated back into the fuel assembly. Each of the fuel elements 12 and 12′ shown in FIGS. 1A and 1B, i.e. the kind used in a boiling light water reactor (BWR) or a pressurized light water reactor (PWR), will typically be about several meters long, for example 4 meters, which is why it needs to be supported by intermediate spacers 14 or grids 20. Each of the fuel elements 12 and 12′ of the fuel assemblies shown in FIGS. 1A and 1B comprises a cladding tube housing a plurality of cylindrical fuel pellets concatenated end-to-end. The fuel cladding tube and the two end caps typically are made of a zirconium alloy. The fuel pellets will typically comprise uranium dioxide. A fuel bundle of a pressurized heavy water reactor (PHWR) will differ from the fuel assemblies of FIGS. 1A and 1B and now will be described with reference to FIGS. 2 and 3. Thus, the fuel bundle 26 comprises a cylindrical bundle of fuel elements 28 held together by an end plate 30 at each end (only one is shown). Each end plate 30 takes the form of an open grid to allow coolant 40 (see FIG. 3) to flow through the bundle of fuel elements 28. Usually, the coolant comprises light or heavy water, which, in normal operation, is pressurized. The outermost fuel elements of the fuel bundle 26 each carry several bearing pads 32 welded to them which serve to support the fuel bundle 26 within a pressure tube 36 (see FIG. 3). Each fuel element 28 may comprise uranium dioxide fuel pellets contained in a zirconium-alloy cladding. Whereas the fuel elements 12 and 12′ of the BWR and PWR shown in FIGS. 1A and 1B, respectively, typically will be several meters long, for example 4 meters, in a PHWR fuel bundle 26, the equivalent fuel elements 28 will be generally shorter, for example, about 0.5 meters long, and usually a somewhat larger diameter. FIG. 3 shows, in cross-section, a fuel channel 34 comprising the fuel bundle 26 housed in a pressure tube 36 which is itself surrounded by a surrounding tube 38. The pressure tube 36 may comprise zirconium alloy and retains within it the coolant 40. The interior diameter of the surrounding tube 38 is larger than the exterior diameter of the pressure tube 36 so as to leave an annular cylindrical gas space 42 between them. The annular cylindrical gas space 42 contains an insulating gas, for example carbon dioxide, typically at about atmospheric pressure. The surrounding tube 38, which typically is made of zirconium alloy, is surrounded by heavy water moderator 44. Typically, the heavy water moderator 44 will have a significant volume, e.g. housed in a relatively large tank (not shown) and, unlike the coolant 40 inside the pressure tube 36, will not be under any significant pressure. FIG. 3 also shows a thermal profile taken along a line extending outwards non-linearly “dog-leg” from the center of the fuel channel 34 and designated by the letter R. The thermal profile corresponds to when the fuel channel 34 is installed in a reactor operating normally at full power. The thermal profile will now be described but it should be observed that the particular temperatures specified are for illustration purposes only and not necessarily indicative of a particular configuration of PHWR. The thermal profile has on the x axis the distance from the channel center line in centimeters and on the vertical axis the temperature in degrees Celsius. The profile begins at a point in the central fuel element 28 of the fuel bundle 26 and has high points corresponding to the centers of the fuel pellets and low points corresponding to locations in the coolant 40 intermediate to the fuel elements/pellets. The peak fuel temperature as shown is 1540° C. and the coolant temperature varies between 280° C. in the bulk of the coolant 40 and 300° C. next to the fuel element 28. The temperature remains near 280° C. in the coolant 40 through to the exterior of the pressure tube 36, shown as 5.2 centimeters from the center line. The temperature begins to drop more rapidly and non-linearly across the gas filled annular space 42. At the interior surface of the surrounding tube 38, shown as 6.7 centimeters from the center, the temperature drops to about 57° C. The temperature at the outside of the surrounding tube 38 remains near 57° C., with a drop to 55° C. in the bulk of the moderator heavy water 44 surrounding the surrounding tube 38. Referring now to FIG. 4, which shows the same fuel channel 34 as FIG. 3 but with a thermal profile under severe accident conditions, the fuel pellets 46 are shown agglomerating towards the lower part of the pressure tube 36 due to partial or complete collapse of the fuel bundle 26 as a result of oxidation and melting of zirconium alloy, i.e. which was forming the cladding and end plates holding the pellets of the fuel bundle 26 together. The thermal profile shown in FIG. 4, once again taken along a line from the centre of the fuel channel outwardly as identified by line R, has a completely different distribution to that shown in FIG. 3. As can be seen, the temperature across the agglomeration of fuel pellets 46 ranges from about 1700° C. at the outside to about 2300° C. at the center, which is well above the normal operating temperatures shown on FIG. 3. The fuel cladding temperatures are in excess of 1740° C. as compared with between 300° C. and 350° C. in normal operation. These temperatures far exceed what is safe for zirconium alloy, i.e. the material from which the cladding is made. More particularly, at temperatures in excess of about 500° C., the zirconium alloy will begin to deteriorate mechanically. More importantly, at temperatures in excess of about 1200° C., it will begin to oxidize in the presence of steam, generating hydrogen and affecting or compromising the structural integrity of the cladding. The cladding will melt, completely losing its structural capability above 1850° C. The generation of the hydrogen can itself lead to serious problems of explosion and catastrophic failure in the presence of air. The hydrogen generated in the fuel channel may mix with air if it is released through the pressure relief system or if there is a pressure boundary failure that introduces air into the fuel channel or connected systems. This hydrogen is a significant explosion hazard if it mixes with the air in containment and achieves flammable or explosive concentrations. A similar hydrogen hazard exists with the PWR and BWR reactors. For further information about the generation of hydrogen during a severe accident involving a BWR reactor, the reader is directed to data is that published by Sandia National Laboratories (Report Number SAND2012-6173) in their assessment of the Fukushima, Japan accident. For further information about generation of hydrogen during a severe accident involving either a PHWR reactor or a PWR reactor, the reader is directed to data that assessed by S-Y Park and K-I Ahn (Published in Nuclear Engineering and Technology) in their comparative assessment of station black-out accidents for different reactor types. Also, A. Budu and D. Dupleac have assessed additional PHWR hydrogen generation (UPB Science Bulletin) from the oxidation of pressure tubes during severe accidents. It has been proposed to alter the thermal profile of a fuel channel by providing insulating material between the coolant and the pressure tube. More particularly, as disclosed by Yetizir et al. (supra), there is disclosed a fuel channel comprising a fuel bundle surrounded by, in order, a stainless steel liner tube 48, a porous ceramic insulator 50 and a pressure tube 36′. The outside of the pressure tube 36′ is in contact with the relatively cold moderator fluid 44. The significance of the porous ceramic insulator is that the temperature of the pressure tube 36′ is likely to remain close to the temperature of the moderator regardless of the temperature of coolant or fuel. Referring now to FIG. 5, which shows the Yetizir fuel channel with a thermal profile under severe accident conditions, as with the fuel channel shown in FIG. 4, the fuel pellets 46′ are shown agglomerating in the lower part of the pressure tube 36′ due to collapse of the fuel bundle as a result of oxidation and melting of its stainless steel endplates and cladding. As shown in the thermal profile in FIG. 5, the fuel temperatures from the center of the fuel channel outwards along the line R, are in excess of 1900° C.; hence even higher than the fuel temperatures in FIG. 4. The temperature drops slightly from about 1900° C. on the outer surface of the fuel to about 1600° C. at the location of the liner tube 48. This exceeds the melting temperature of the stainless steel liner tube 48, which will melt and relocate to the bottom of the fuel channel. Moving outwards, the temperature drops by over 1000° C. to just under 350° C. at the inner surface of the pressure tube 36′. The temperature then drops by nearly 300° C. across the pressure tube to 57° C. on the outside surface of the pressure tube. Finally, the temperature drops another 2° C. between the outer surface of the pressure tube 36′ and the bulk of the heavy water moderator 44. Embodiments of the present invention will now be described with reference to FIG. 6 to FIG. 10, inclusive, with reference numbers, in general, 100 greater than those used for corresponding items in FIGS. 1 to 5. Referring to FIG. 6, a fuel bundle 100 is similar to that shown in FIG. 2 in that it comprises a bundle of fuel elements 102 connected together with/by a pair of end plates 104. There are significant differences, however, in the construction and materials. One difference is that there are no stainless steel bearing pads (32 in FIG. 2); instead a plurality of part-cylindrical tabs 106 are distributed around the outwardly-facing surfaces of the outermost ones of the fuel elements 102. Each of these tabs 106 has a larger contact area than a typical bearing pad 32 so that, in comparison, the contact pressure would be reduced. The significance of this reduction in contact pressure will be discussed further with reference to FIG. 8. It should be noted that the tabs 106 are made of an inert material that is substantially transparent to infrared radiation, for example single crystal sapphire. Hence, the tabs 106 can be envisioned as “windows” that are transparent to thermal radiation. Referring also to FIGS. 7 and 7A, each of the fuel elements 102 shown in FIG. 6 comprises a plurality of fuel pellets 108 concatenated in cladding 110 in the form of a tube closed at each end by an end cap 112. The cladding 110 and end caps 112 also are made of an inert material that may be substantially transparent to infrared radiation. It will be appreciated that it would be convenient to use the same material for the tabs 106, cladding 110 and end caps 112, especially single crystal sapphire. Single crystal sapphire may be preferred because of one or several of a range of properties. Examples include good thermal radiation transmission characteristics, inertness to corrosion in irradiated high temperature (and even supercritical) water, high melting temperature (greater than 2000° C.), low neutron absorption (comparable to existing cladding materials), desirable mechanical characteristics under neutron radiation under a wide range of temperatures, thermal and mechanical strain characteristics compatible with those of uranium dioxide (fuel). Moreover, single crystal sapphire does not deteriorate under prolonged irradiation, unlike polycrystalline sapphire which deteriorates mechanically due to the anisotropic irradiation growth of the crystals. As can be seen from FIG. 7A, which shows, magnified, a cross-section through one of the fuel elements 102 of FIG. 7, when the fuel element 102 first is manufactured, there is a small difference in diameter between the interior of the cladding 110 and the exterior of the fuel pellet 108, leaving an annular cylindrical space 114 around the concatenated pellets 108. This space is filled with pressurized helium. FIG. 8 shows, in cross-section, a fuel channel 116 comprising the fuel bundle 100 of FIG. 6 housed in an insulating sleeve 118 inside a pressure tube 120. The insulating sleeve 118 insulates the coolant 122 from the pressure tube 120 whose temperature is close to that of the moderator 44. This is in contrast to the use of an annular gap between the pressure tube and surrounding tube as depicted in FIG. 3. In the fuel channel 116 of FIG. 8 the insulator sleeve 118 is made from a low thermal conductivity material that has favourable transmission characteristics for thermal radiation and has low neutron absorption cross-section. One suitable such material is fused silica. It is envisaged, however, that the insulator sleeve material could be a low density matrix of sapphire having low matrix thermal conductivity when infused with water and high thermal radiation transmission. The interior of the insulating sleeve 118 must tolerate sliding of the fuel bundle 100 and exposure to the corrosive high temperature irradiated coolant 122 flowing through the fuel bundle 100, when in use. Accordingly, for better mechanical and chemical performance, for example abrasion resistance and corrosion resistance, the insulating sleeve 118 has a lining or coating 124 of a mechanically durable inert material with good thermal radiation transmission, such as sapphire. While the abrasion-resistant liner or coating 124 on the insulator sleeve 118 and the low contact pressure tabs 106 on the fuel bundle 100 may be used independently of each other, using both in combination may provide a highly reliable and wear resistant configuration for the fuel channel. The material used to make the pressure tube 120 is a material of very high strength and low neutron absorption such as a silicon carbide fiber-reinforced silicon carbide matrix. The material may either have high emissivity, desirably greater than 0.8, as does silicon carbide, or the internal surface of the pressure tube may be coated with a high emissivity coating; such a coating may comprise, for example, elemental carbon embedded in glass and coated with sapphire. Referring again to FIG. 8, configuration and composition of the elements and materials results in an efficient thermal radiation path from the fuel pellets 108 through the cladding 110, the lining 124 and insulator 118 to the interior surface of the pressure tube 120, the exterior of which is, of course, cooled by the heavy water moderator. This leads to a reduction in the peak temperature of the fuel and fuel cladding under severe accident conditions. The combination of getting the heat out of the fuel channel efficiently, with the high temperature capability of sapphire allows the fuel cladding to remain intact throughout a severe accident, thereby preventing the release of the hazardous fission products in the fuel. The inert nature of sapphire in a hot steam environment also avoids the generation of hazardous hydrogen gas which could lead to an explosion. The benefits of using, specifically, substantially infrared transparent single crystal sapphire cladding and tabs, and coated/lined fused silica insulator will be evident from the FIG. 8 thermal profile along the “dog leg” radial line R beginning at the center line of the fuel channel. Such a thermal profile, that tracks heat generation and loss following reactor shutdown, usually will be produced by complex calculations, typically done by computer. The thermal profile of FIG. 8 is for an extreme, perhaps impractical, condition where there is an instantaneous and complete loss of coolant at the time of reactor shutdown. More practical scenarios, where some coolant persists shortly after shutdown, such as might be provided by a passive emergency coolant injection accumulator, may result in significantly lower worst maximum cladding temperatures, say about 1700° C. It will be seen that across the fuel elements 102 the temperature is in the range of 1200° C. to 1970° C. i.e. from the inside of the insulator sleeve 118 to the outside of the centre most one of the fuel elements 102; a distance of approximately 5 centimeters in practice. In this case, the cladding 110 of the fuel elements comprises single crystal sapphire again which can tolerate temperatures approaching 2000° C. Consequently, the cladding 110 is less likely to fail and release hazardous fission products produced within the fuel contained by the fuel cladding 110. The fuel bundle 100 will not be damaged and will not collapse in the manner depicted in FIGS. 4 and 5. It will also be seen from the thermal profile in FIG. 8 that the temperature at the innermost surface of the insulator 118 is around 850° C. but at its exterior surface, i.e. in contact with the pressure tube 120 interior surface it has fallen to just under 100° C. This is less than 45° C. degrees above the temperature of the moderator 44. It should be noted that, at the more realistic worst case cladding temperature of about 1700° C., sapphire retains most of its structural strength and is unlikely to fail and release hazardous fission products. It can be appreciated that if the heat deposited in the moderator from the fuel channel can be removed passively then there is a fully passive means of indefinitely removing decay heat from the fuel to the environment. Furthermore this passive means of removing heat will be operative without operator action and even after a LOCA accident. It is envisaged that, since embodiments of the present invention are capable of passively transferring decay heat to the moderator during severe accident conditions, they could be combined with a passive cooling system proposed by Sun-Kyu Yang (Canadian Nuclear Society, 24th Nuclear Simulation Symposium, Oct. 14-16, 2012) to provide passive cooling of a Pressurized Heavy Water Reactor moderator by using a flash driven natural circulation loop receiving cooling from a passive emergency water system. When such a passive moderator cooling system is combined with passive decay heat removal from the fuel to the moderator, as disclosed hereinbefore, it provides a completely passive means of removing decay heat for what would other wise be a range of severe accidents. These include total station blackout (SBO) such as what was precipitated in a Boiling Water Reactor (BWR) by the earthquake and Tsunami at Fukushima Japan and Loss-of Coolant accidents (LOCA) leading to uncovering of the reactor core such as what occurred at a Pressurized Water Reactor (PWR) at Three Mile Island in the United States. It should be appreciated that this combination of passive cooling of the fuel channel with passive cooling of the moderator may provide for continuous, even infinite passive cooling of the reactor even under severe accident conditions. Although the foregoing embodiments have been described in the context of a pressurized heavy water reactor fuel bundle, it should be appreciated that the benefits of no hydrogen production and higher fuel temperature tolerance will also be attained by using a material that has a melting temperature of at least 1900° C. and does not form significant amounts of hydrogen if exposed to high temperature steam, for example single crystal sapphire, to form the cladding of the fuel rod in either the boiling water reactor BWR fuel assembly or a pressurized light water reactor PWR fuel assembly. Referring to FIGS. 9 and 9A, the fuel element for a BWR fuel assembly 126 comprises a plurality of fuel pellets 128 concatenated in cladding 130 in the form of a tube. The fuel pellets 128 are held tightly together end to end by a spring 132 applying force to a spacer 134 in contact with the uppermost fuel pellet. The cladding tube 130 is closed at each end by an end cap 136. The cladding 130 and end caps 136 are made of an inert material having a high temperature melting point. It will be appreciated that it would be convenient to use the same material for the cladding 130 and end caps 136, especially single crystal sapphire. As mentioned hereinbefore, single crystal sapphire may be preferred because of one or several of a range of properties. As can be seen from FIG. 9A, which shows, magnified, a cross-section through one of the BWR fuel element 126 of FIG. 9, when the fuel element 126 first is manufactured, there is a small difference in diameter between the interior of the cladding 130 and the exterior of the fuel pellet 128, leaving an annular cylindrical space 138 around the concatenated pellets 128. This space is filled with pressurized helium. It should be appreciated that a PWR fuel element can be manufactured using similar materials to the BWR fuel rod 126 shown in FIGS. 9 and 9A, the principal differences being the use of different fuel pellets and slightly different dimensions of the fuel rod components. The chemically inert nature of sapphire in a steam and water environment will ensure that even if very high temperatures are reached in a light water reactor no significant hydrogen will be generated from fuel cladding oxidation and the risk of resulting damage and explosion from hydrogen can be avoided by use of this fuel cladding system. It should be noted that the invention is not limited to the specific embodiments or described herein i.e., the boiling (light) water reactors and pressurized light water reactors and pressurized heavy water reactors, but rather could be applied to super critical variations of those reactors and especially the latter three. FIG. 10 depicts the thermal profile across a fuel bundle similar to that shown in FIG. 6 or 8 when used under super critical full power operation. It will be seen from FIG. 9's thermal profile that the cladding 110 of the fuel element 102 has a temperature of about 800° C. which is in excess of the temperature of which a zirconium alloy such as zircalloy-4 will function properly. It should also be noted that the temperature at the middle of the fuel element 102 is 2200° C. which is higher than the conventional PHWR fuel temperature in FIG. 3. This higher fuel temperature for the super critical PHWR fuel results from the higher temperature of the supercritical water coolant and the higher power densities that may accompany supercritical water reactor operation. Operation of a reactor at the higher temperatures that are possible with supercritical water results in higher thermal efficiency and greater electrical output. At this point the construction and configuration of fuel elements/rods embodying the present invention have been described. However, the sapphire material used for the cladding of the fuel elements and the fuel bundle parts is not easy to produce. While grinding of single crystal sapphire to final cladding and component dimensions may be possible, it may not be economical and commercially viable at this time. Fine forming methods and joining processes needed to transform rough formed edge-defined film growth single crystal sapphire parts to finely dimensioned nuclear fuel components are the subject of concurrently-filed patent application Ser. No. 13/830,151. Embodiments of such processes will now be described herein. As previously described, the fuel element 102 is illustrated in FIG. 7. The sapphire components of the fuel element 102 are the cladding tubes 110 and the cladding end caps 112. The sapphire components of the fuel assembly in FIG. 6 are the endplates 104 and the tabs 106. These components are made of single crystal sapphire using edge defined film fed growth methods to produce roughed out and blank components. The rough cladding tubes may have ridges, variability and roughness that are unsuitable for use as is in fuel. To eliminate the ridges, roughness and variability, the tubes will be high temperature fine formed to produce a tube having uniform internal diameter and uniform wall thickness. This forming will make use of the high temperature creep properties of sapphire that result in it slowly deforming at temperatures around 1700° C. to 2000° C. under sustained high pressure or load. Either or both of two thermal forming processes may be used, namely “thermal creep drawing” and/or “thermal differential expansion moulding”. Thermal creep drawing and thermal creep differential expansion moulding methods disclosed herein differ from conventional tube forming processes in that forming occurs at very high temperatures and relies on the thermal creep properties of an otherwise non-ductile material, sapphire, to achieve the desired part shape. Thermal Creep Drawing Thermal creep drawing may be used for fine forming the cladding tubes 110. Thus: Step 1 is to heat the rough sapphire tube up to the creep temperature of 1700° C. to 2000° C. In step 2, the rough sapphire tube is then slowly drawn and/or pushed through a plug and die set to reduce the ridging on the inner and outer surfaces of the roughed tube. In optional step 3 the tube is allowed to thermally soak at a temperature slightly above the drawing temperature to relieve any work hardening that may have occurred during the drawing process. In step 4, the tube from step 2 or optional step 3 is drawn or pushed through another plug and die set having slightly tighter internal external diameters to reduce the tube thickness and further reduce ridging. Steps 2, 3 (as appropriate) and 4 are repeated at successively tighter plug and die sizes until the desired cladding tube finish and hot dimensions are achieved. Step 5 is a final hot soak to remove any internal stresses from the forming operation. Step 6 is a slow cooling of the tube to room temperature where its final dimensions and surface uniformity are confirmed by measurement. The moulding of inner and outer surface may be interspersed, but the last step would be moulding of the inner surface. Thermal Creep Differential Moulding Thermal creep differential expansion moulding requires a mould that is made of a material that has a different coefficient of expansion than sapphire and is non-wettable by sapphire. The mould is sized and shaped to give the desired diameters and surface uniformity of the cladding tube when heated to the sapphire creep temperature range of 1700° C. to 2000° C. The internal diameter dimension and surface uniformity are the most critical to fuel element performance, so the exterior of the rough cladding tube is moulded before the internal diameter which therefore is the last step. Step 1 is to place the rough sapphire tube in the external diameter mould having a lower thermal coefficient of expansion than sapphire, and slowly heat the tube mould assembly to the creep temperature range. Step 2 is to hold the assembly at the upper end of the creep temperature range for a creep and soak period to allow creep to progress and relieve the stresses from the compression of the sapphire tube by the mould. Step 3 is to slowly cool the assembly, allowing the sapphire tube to shrink from the mould such that it can be withdrawn at room temperature. Steps 1, 2 and 3 can be repeated successively with tighter dimension moulds until the cladding tube achieves the desired outer diameter and surface uniformity. Step 4 is to place the rough sapphire tube in the internal diameter mould, having a higher thermal coefficient of heating than sapphire, and slowly heat the tube mould assembly to the creep temperature range. Step 5 is to hold the assembly at the upper end of the creep temperature range for a creep and soak period to allow creep to progress and relieve the stresses from the compression of the sapphire tube by the mould. Step 6 is to slowly cool the assembly, allowing the mould to shrink from the sapphire tube such that is can be withdrawn at room temperature. Steps 4, 5 and 6 can be repeated with tighter dimension moulds until the cladding tube achieves the desired inner diameter and surface uniformity As in the case of thermal creep drawing described above, forming of the inner and outer surfaces may be interspersed, but the final step will be forming of the inner surface. The mould may be adapted to apply pressure to form the inner surface or the outer surface of the sapphire, or both surfaces at the same time. The cladding tubes 110 are subject to the thermal fine forming processes to obtain tight tolerances on the internal diameter and wall thickness. The cladding end caps 112 may be cut from bar stock of ground rods. The endplates 104 may be cut from ground and polished blanks of sheet material. The tabs 106 may be thermally fine formed and/or ground to obtain a smooth bearing surface which, once the fuel assembly is installed in a fuel channel, abuts the inner surface of the fuel channel. The method of assembly is the same for both of the fuel elements shown in FIGS. 7 and 9, so the assembly will be described using the reference numbers of that shown in FIG. 7. The fuel elements 102 are assembled by inserting a row of fuel pellets 108 into a cladding tube 110 that is heated to a temperature that allows easy insertion. The pellets 108 are positioned such that there is a controlled distance between the last pellets in the row and the ends of the cladding tube 110. The cladding tube 110 is allowed to cool such that its temperature equalizes with the temperature of the fuel. The end caps may each comprise a plug (not shown) that inserts into the end of the cladding tube and a rim which has the same diameter as the outer diameter of the cladding tube and abuts the respective end of the cladding tube. (Optionally the end cap may comprise a plug without a rim, or even a flat plate, though the latter is least preferred.) The surfaces of the plugs and rims which will contact the cladding interior and ends, respectively, are coated with a thin layer of aluminium nitride. The end cap is cooled and/or the cladding tube (containing the fuel pellets) is heated to facilitate the insertion of the plugs of the end caps 112 into the cladding tubes 110 while maintaining a controlled gap between the last fuel pellet and the inwardly-facing surface of the end cap 112. The assembly then is allowed to cool or heat, as the case may be, to ambient. A localized infrared heat source, such as a laser, is used to illuminate and heat the aluminium nitride preferentially until its temperature exceeds the melting temperature of sapphire, which then melts the abutting sapphire surfaces. The molten aluminium nitride and sapphire mix forms an aluminium oxy-nitride bond. The source of infrared heating is removed and the bond is allowed to cool and solidify forming a solid joint between the cladding tube and end cap. The resulting assembly is a single fuel element 102. The spacing between the fuel elements in a fuel bundle assembly can be maintained by spacer pads attached to the fuel element cladding tube 110. The spacer pads would be made from edge defined film fed growth sapphire rod that is cut to the required thickness of the spacer. The face of the spacer that is to be attached to the cladding tube would be coated with aluminium nitride. The spacer would be joined to the cladding tube using the same infrared heating method as used to join the end caps to the cladding tube. A fuel bundle 100 is made by assembling the desired number and size of fuel elements 102 in a fixture that sets the fuel bundle geometry. End plates 104 which hold the bundle of fuel elements 102 are coated with aluminium nitride on one face and the end plate is placed in the assembly fixture such that the aluminium nitride coated face abuts each of the fuel element end caps. A localized infrared heat source such as a laser is used to illuminate and heat each of the aluminium nitride coated end plates at its interface with respective fuel element end caps. The heating progresses until the aluminium nitride coated surfaces of the end plate and contacting fuel element end cap surface begin to melt. The molten aluminium nitride and sapphire mix forms an aluminium oxy-nitride bond. The source of infrared heating is removed and the bond is allowed to cool and solidify forming a solid joint between the end plate and fuel element end caps. The last step in fuel bundle 100 assembly is to join the tabs 106 to the outer ring of elements 102. The tabs are made from edge defined film fed growth sapphire grown in a cylindrical shell segment form. The tabs are placed at intervals as illustrated in FIG. 6. The tabs are joined with the outer ring of fuel elements using the infrared heating process described for joining the end caps to the cladding tubes. The aluminium nitride required for the infrared absorption and bond is applied to the joint location on the outer elements. Once all of the tabs have been attached, their respective outer surfaces are ground and polished to produce a smooth and accurate outer diameter profile for the bundle. It is to be kept in mind that the foregoing descriptions of specific embodiments and modifications thereto, and attached Figures, are presented by way of example only and should not be construed as limiting the inventive concept to any particular physical configuration or process. It is to be clearly understood that the scope of the present invention is limited only by the appended claims.
summary
046997490
abstract
In a pressurized water reactor in which a dropped control rod is detected by a negative rate of change of neutron flux which exceeds a preset negative limit, the reactor is only shutdown if the axial offset exceeds a preselected limit, so that it is possible to maintain power, and in many cases full power, despite the presence of a dropped rod. Where part length control rods are used during power changes, separate limiting values on axial offset are used when these rods are fully inserted and when they are fully retracted.
abstract
A support grid for a nuclear fuel assembly, the fuel rod assembly having a generally cylindrical fuel rod with a diameter, wherein the support grid includes a frame assembly having a plurality of outer straps and a plurality of helical frame members. The helical frame members have a contact portion structured to contact an adjacent helical frame member and at least one helical fuel rod contact portion with a lesser diameter. The lesser diameter is generally equivalent to the fuel rod diameter such that a fuel rod disposed in the helical frame member would engage the inner helical frame member at helical fuel rod contact portion. The helical frame members are coupled to each other at the contact portions thereby forming a grid. The plurality of outer straps are disposed about the perimeter of the helical frame members.
050251635
claims
1. A radiographic imaging screen comprising: a substrate transparent to incident radiation photons; a composite layer formed on a surface of the substrate including (a) a substantially uniform distribution of discrete high-Z metal particles adapted to generate secondary electron emissions in any direction from the particle in response to incident high energy radiation photons; and (b) electron responsive luminescent material completely surrounding and in direct contact with said discrete metal particles in the layer such that secondary electrons emitted from the metal particles encounter the luminescent material regardless of the direction of emission from the particles to thereby enable the generation of visible light photons therefrom. a plurality of discrete high-Z metal particles adapted to generate secondary electron emissions in any direction from the particle in response to incident high energy radiation photons, each of said particles having a coating of electron responsive luminescent material directly contacting said particle such that secondary electrons emitted in any direction from the particles by the incident radiation encounter the luminescent material thereby to enable generation of visible light radiation regardless of the direction of emission of the electrons from the particle; a substrate transparent to incident radiation photons; and means transparent to incident radiation and to light generated by the luminescent material for binding said coated particles in a layer on the surface of the substrate. 2. A radiographic imaging screen according to claim 1 in which said particles are each coated with said luminescent material. 3. A radiographic imaging screen according to claim 1 in which said particles are dispersed closely packed in a layer of the luminescent material on the substrate. 4. A radiographic imaging screen according to claim 2 or 3 in which said metal particles are generally spherical in shape. 5. A radiographic imaging screen according to claim 1 in which said incident radiation is comprised of X-ray photons in an energy range of about 60 to 80 keV and in which at least a substantial portion of said particles are not greater than about 5 microns in size. 6. A radiographic imaging screen comprising: 7. A radiographic imaging screen according to claim 6 in which said metal particles are generally spherical in shape. 8. A radiographic imaging screen according to claim 6 in which said particle spheres are not greater than about 5 microns in diameter.
061838179
claims
1. A method of direct write fabrication of a structure on a substrate, the method comprising: recording a holographic pattern into a holographic lens; generating a photonic lens by focusing a light beam through said holographic pattern on said holographic lens, whereby said holographic pattern diffract, said light beam to cause formation of geometrically stable holographic light fields and thereby establish said photonic lens; and passing a particle beam through said photonic lens, wherein Lorenz field interactions between particles of said particle beam and said holographic light fields of said photonic lens affect a direction of movement of a multiplicity of said particles and whereby a portion of said multiplicity of particles are focused towards a structure construction site located on the substrate. mathematically modeling the structure to be built on the substrate; selecting particles that will be used to construct the structure; determining a vector, energy state and pathway of said particle beam at the time said particle beam passes through said photonic lens; back calculating a holographic pattern of said photonic lens that will direct, by means of Lorenz force interaction, said particles of said particle beam to form the structure on the substrate; back calculating at least one laser frequency required to generate said photonic lens; back calculating at least one holographic pattern of said holographic lens required to generate said photonic lens; writing at least one back calculated holographic pattern into said holographic lens; and positioning said holographic lens and at least one laser source in relationship to the substrate and said particle beam whereby said photonic lens is formed in proper orientation to said particle beam and the substrate in order to direct said particle beam to build the structure. forming a grazing incidence nozzle, said nozzle comprising an internal surface, a wide intake orifice and a narrow outflow aperture; and directing said particle beam through said intake orifice and towards said outflow aperture, whereby some of the individual particles of the particle beam ballistically strike said internal surface and the trajectories of said particles are thereby modified to increase the degree of collimation of said particle beam. 2. The method of claim 1 wherein said particle beam comprises a substantively homogeneous stream of atoms. 3. The method of claim 1 wherein said particle beam comprises a substantively homogeneous stream of molecules. 4. The method of claim 1 wherein said particle beam comprises a substantively isotopically homogeneous stream of atoms. 5. The method of claim 1 wherein said particle beam comprises a substantively isotopically homogeneous stream of molecules. 6. The method of claim 1 wherein said particle beam substantively comprises atoms of a particular elemental isotope. 7. The method of claim 1 wherein said particle beam substantively comprises molecules of a particular molecular isotope. 8. The method of claim 1, further comprising the steps of: 9. The method of claim 8 wherein said particle is an isotopically specific atom. 10. The method of claim 8 wherein said particle is an isotopically specific molecule. 11. The method of claim 1, further comprising collimating said particle beam by: 12. The method of claim 11, further comprising the step of generating evanescent waves proximate to said internal surface of said grazing incidence nozzle, whereby said evanescent waves act as a particle mirror and repel said individual particles away from said internal surface and contamination of said internal surface is thereby reduced. 13. The method of claim 12, wherein said evanescent waves are formed by passing at least two laser beams through at least one thin dielectric layer located proximate to said internal surface. 14. The method of claim 11 wherein said particle is an isotopically specific atom. 15. The method of claim 11 wherein said particle is an isotopically specific molecule. 16. The method of claim 11 further comprising passing the collimated particle beam from said outflow aperture of said grazing incidence nozzle through an optical molasses region prior to passing said particle beam through said photonic lens. 17. The method of claim 16 further comprising passing the particle beam from said optical molasses region through an output coupler comprising a pair of tuned traveling/evanescent wave plates prior to passing said particle beam through said photonic lens. 18. The method of claim 1 further comprising passing said particle beam through an optical molasses region prior to passing said particle beam through said photonic lens. 19. The method of claim 1 further comprising passing said particle beam through an output coupler comprising a pair of tuned traveling/evanescent wave plates prior to passing said particle beam through said photonic lens.
claims
1. An apparatus comprising:a nuclear core comprising a fissile material;a vertically oriented cylindrical pressure vessel containing the nuclear core immersed in primary coolant water;a cylindrical riser oriented coaxially inside the pressure vessel, the pressure vessel and the central riser defining a downcomer annulus;a plurality of turbo pumps disposed in the pressure vessel to provide active circulation of primary coolant water in the pressure vessel wherein each turbo pump includes a turbine operatively connected with an impeller to drive the impeller;a manifold plenum chamber including an annular housing, the manifold plenum chamber being disposed in the pressure vessel so that the annular housing surrounds the central riser and is in fluid communication with inlets of the turbines of the plurality of turbo pumps; andan electrically driven pump operatively connected with the manifold plenum chamber to pressurize the manifold plenum chamber with primary coolant water. 2. The apparatus of claim 1, wherein the electrically driven pump includes an inlet arranged to receive primary coolant water from the pressure vessel and an outlet operatively connected with the manifold plenum chamber to pressurize the manifold plenum chamber with primary coolant water. 3. The apparatus of claim 1, wherein the turbo pumps are disposed in openings passing through the manifold plenum chamber such that the turbo pumps and the manifold plenum chamber define a reactor coolant pump (RCP) assembly having a suction side and a discharge side separated from the suction side by the RCP assembly, the turbo pumps being arranged to pump primary coolant water from the suction side to the discharge side. 4. The apparatus of claim 3, wherein each turbo pump is secured in the respective opening of the manifold plenum chamber by fasteners at an installation side selected from the suction side and the discharge side such that the turbo pump can be removed from the manifold plenum chamber at the installation side by disengaging the fasteners and withdrawing the turbo pump from the manifold plenum chamber at the installation side. 5. The apparatus of claim 3, wherein each turbo pump is secured in its respective opening of the manifold plenum chamber by fasteners at one of the suction side and the discharge side and by a compression seal ring at the other of the suction side and the discharge side. 6. The apparatus of claim 3, further comprising:flow distribution headers disposed in the manifold plenum chamber at respective openings in which the turbo pumps are installed, each flow distribution header including an area-reducing nozzle aligned with the inlet of the turbine of the respective turbo pump to inject primary coolant water from the manifold plenum chamber into the turbine. 7. The apparatus of claim 3, wherein the outlets of the turbines of the turbo pumps are arranged to discharge at the discharge side of the RCP assembly. 8. The apparatus of claim 3, wherein the inlets of the turbines of the turbo pumps are enclosed by the manifold plenum chamber. 9. The apparatus of claim 1, wherein the manifold plenum chamber is separate from and not welded to the pressure vessel. 10. The apparatus of claim 1, wherein the turbo pumps and the manifold plenum chamber define a reactor coolant pump (RCP) assembly having a suction side above the RCP assembly and a discharge side below the RCP assembly. 11. The apparatus of claim 10, wherein each turbo pump is secured in an opening of the manifold plenum chamber by fasteners on the suction side such that the turbo pump can be removed from the manifold plenum chamber by disengaging the fasteners and lifting the turbo pump upward away from the manifold plenum chamber. 12. The apparatus of claim 11, wherein each turbo pump is sealed at the discharge side by a compression seal ring but not by fasteners. 13. The apparatus of claim 10, wherein the turbines of the turbo pumps are configured to discharge into the downcomer annulus at the discharge side of the RCP assembly. 14. The apparatus of claim 1, wherein the nuclear core and the pressure vessel are configured as a pressurized water reactor (PWR) in which the primary coolant water in the pressure vessel does not boil. 15. The apparatus of claim 1, further comprising:a coaxial pipe including an inner passage surrounded by an outer annulus, the coaxial pipe connecting the electrically driven pump with the pressure vessel,wherein one of the inner passage and the outer annulus conveys primary coolant water from the pressure vessel to the electrically driven pump, andwherein the other of the inner passage and the outer annulus conveys primary coolant water pressurized by the electrically driven pump from the electrically driven pump to the pressure vessel. 16. The apparatus of claim 15, wherein:the inner passage conveys primary coolant water from the pressure vessel to the electrically driven pump, andthe outer annulus conveys primary coolant water pressurized by the electrically driven pump from the electrically driven pump to the pressure vessel. 17. An apparatus comprising:a nuclear core comprising a fissile material;a vertically oriented cylindrical pressure vessel containing the nuclear core immersed in primary coolant water;a cylindrical riser oriented coaxially inside the pressure vessel so that a downcomer annulus is defined therebetween;a plurality of turbo pumps disposed in the pressure vessel to provide active circulation of primary coolant water in the pressure vessel wherein each turbo pump includes a turbine operatively connected with an impeller to drive the impeller;an annular manifold plenum chamber disposed in the downcomer annulus and in fluid communication with inlets of the turbines of the plurality of turbo pumps;a riser manifold plenum chamber disposed inside the cylindrical riser; andan electrically driven pump operatively connected with the manifold plenum chamber to pressurize the manifold plenum chamber with primary coolant water,wherein the annular manifold plenum chamber and the turbo pumps in fluid communication with the annular manifold plenum chamber define a downcomer reactor coolant pump (RCP) assembly having a suction side in the downcomer annulus above the downcomer RCP assembly and a discharge side in the downcomer annulus below the downcomer RCP assembly, andthe riser manifold plenum chamber and the turbo pumps in fluid communication with the riser manifold plenum chamber define a riser reactor coolant pump (RCP) assembly having a suction side inside the riser below the riser RCP assembly and a discharge side inside the riser above the riser RCP assembly.
043269222
abstract
An open lattice elongated nuclear fuel assembly including small diameter fuel rods disposed in an array spaced a selected distance above an array of larger diameter fuel rods for use in a nuclear reactor having liquid coolant flowing in an upward direction. Plenums are preferably provided in the upper portion of the upper smaller diameter fuel rods and in the lower portion of the lower larger diameter fuel rods. Lattice grid structures provide lateral support for the fuel rods and preferably the lowest grid about the upper rods is directly and rigidly affixed to the highest grid about the lower rods.
summary
description
This application claims the benefit of Japanese Application No. 2004-065003 filed Mar. 9, 2004. The present invention relates to a CT (computed tomography) image producing method and an X-ray CT apparatus, and more particularly to a CT image producing method and an X-ray CT apparatus for producing a CT image by applying Z-filtering to axial scan data collected using a multi-row detector. Conventionally, there is known a technique of producing a CT image by applying Z-filtering to helical scan data collected using a multi-row detector (see Non-patent Document 1, for example). [Non-patent Document 1] “New Heights in Multi-slice CT” (Japanese original title: “Kiwameru Multi-slice CT”), edited and written by Yasuyuki Yamashita, published by Chugai Igakusha, Apr. 15, 2001 (pp. 23–24). However, no technique for producing a CT image by applying Z-filtering to axial scan data collected using a multi-row detector is known. It is therefore an object of the present invention to provide a CT image producing method and an X-ray CT apparatus for producing a CT image by applying Z-filtering to axial scan data collected using a multi-row detector. In its first aspect, the present invention provides a CT image producing method characterized in comprising: collecting first axial scan data while rotating at least one of an X-ray tube and a multi-row detector relative to and around a subject to be imaged at a first position in a direction of a body axis of the subject to be imaged; extracting from said first axial scan data projection data corresponding to a plurality of reconstruction fields arranged in the direction of the body axis of the subject to be imaged; if part of projection data of a reconstruction field near an end of said multi-row detector cannot be extracted from said first axial scan data, collecting second axial scan data while rotating at least one of said X-ray tube and multi-row detector relative to and around the subject to be imaged at a second position to which said X-ray tube and multi-row detector are rectilinearly moved relative to the subject to be imaged from said first position toward the end of said multi-row detector; extracting from said second axial scan data the projection data that could not be extracted from said first axial scan data of the reconstruction field near the end of said multi-row detector; and producing one CT image based on said extracted projection data of the reconstruction fields. When a multi-row detector 24 is used as shown in FIG. 7, since an X-ray beam CB has a cone-beam shape, there is a possibility that projection data corresponding to a central portion a2 of a reconstruction field P3 near an end of the multi-row detector 24 cannot be extracted from axial scan data at a first position Z1. According to the CT image producing method of the first aspect, as shown in FIG. 10, second axial scan data is collected at a second position Z2 to which the X-ray tube 21 and multi-row detector 24 are rectilinearly moved relative to the subject to be imaged from the first position Z1 toward the end of the multi-row detector 24, and projection data corresponding to the central portion a2 of the reconstruction field P3 near the multi-row detector 24 is extracted from the second axial scan data. One CT image is then produced by applying Z-filtering based on the projection data of the reconstruction fields P1, P2 and P3, and therefore omission of projection data is avoided, thus improving image quality. As used herein, the phrase “rotating relative to” or the like includes: for a subject to be imaged placed in between the X-ray tube and multi-row detector, rotating at least one of the X-ray tube and multi-row detector around the subject to be imaged without rotating the subject to be imaged; rotating the subject to be imaged around its axis without rotating the X-ray tube and multi-row detector; and rotating the subject to be imaged around its axis and rotating at least one of the X-ray tube and multi-row detector around the subject to be imaged. As used herein, the phrase “rectilinearly moving relative to” or the like includes: for a subject to be imaged placed in between the X-ray tube and multi-row detector, rectilinearly moving the subject to be imaged (or the table on which the subject to be imaged is laid) without rectilinearly moving the X-ray tube and multi-row detector; rectilinearly moving the X-ray tube and multi-row detector without rectilinearly moving the subject to be imaged (or the table on which the subject to be imaged is laid); and rectilinearly moving the subject to be imaged (or the table on which the subject to be imaged is laid) and rectilinearly moving the X-ray tube and multi-row detector. In its second aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in that: said second position is a position distant from said first position by a cone beam width at a center of rotation. As shown in FIG. 9, for an X-ray beam CB2 at the second position Z2 to cover a space that an X-ray beam CB1 at the first position Z1 does not traverse, a distance L between the first position Z1 and second position Z2 may be set to be equal to a cone beam width W at the center of X-ray rotation IC. According to the CT image producing method of the second aspect, the second position Z2 is defined as a position distant from the first position Z1 by the cone beam width W at the center of rotation IC. The distance L between the first position Z1 and second position Z2 may be smaller than the cone beam width W at the center of X-ray rotation IC if partial overlap of data is tolerated, while the distance L between the first position Z1 and second position Z2 may be larger than the cone beam width W at the center of X-ray rotation IC if partial omission of data is tolerated. In its third aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in comprising: determining combined projection data by applying weighted addition to said extracted projection data across the reconstruction fields; and producing one CT image from said combined projection data. According to the CT image producing method of the third aspect, since weighted addition (Z-filtering) is applied to a projection data stage, the amount of calculation is reduced. In its fourth aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in that: a weight for the weighted addition on said projection data is determined from a mutual relationship between the reconstruction fields, and from a predetermined weighting function. According to the CT image producing method of the fourth aspect, the profile of a CT image in the thickness direction can be controlled by selection of the weighting function. In its fifth aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in comprising: producing respective CT images from said extracted projection data of the reconstruction fields; and applying weighted addition to said CT images to produce one CT image. According to the CT image producing method of the fifth aspect, since weighted addition (Z-filtering) is applied after obtaining CT images of reconstruction fields, CT images of the reconstruction fields and one CT image combining them can be simultaneously obtained. In its sixth aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in that: a weight for the weighted addition on said CT images is determined from a mutual relationship between the reconstruction fields, and from a predetermined weighting function. According to the CT image producing method of the sixth aspect, the profile of a CT image in the thickness direction can be controlled by selection of the weighting function. In its seventh aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in comprising: extracting from said first axial scan data projection data corresponding to one line or a plurality of parallel lines at spacings of a plurality of pixels on each of said reconstruction planes; if part of projection data of a reconstruction field near an end of said multi-row detector cannot be extracted from said first axial scan data, extracting the projection data from said second axial scan data; generating projection line data by multiplying said projection data by a cone beam reconstruction weight; determining combined projection line data by applying weighted addition to said projection line data of corresponding lines across the reconstruction fields; generating image-positional line data by filtering said combined projection line data; determining backprojected pixel data of pixels on an image plane based on said image-positional line data; determining backprojected data by adding the backprojected pixel data on a pixel-by-pixel basis across all views used in image reconstruction; and thereby producing one CT image. According to the CT image producing method of the seventh aspect, since projection data corresponding to lines is processed, the amount of calculation is reduced. Moreover, since the weighted addition (Z-filtering) is applied to a projection line data stage, the amount of calculation is reduced. In its eighth aspect, the present invention provides the CT image producing method having the aforementioned configuration, characterized in comprising: extracting from said first axial scan data projection data corresponding to one line or a plurality of parallel lines at spacings of a plurality of pixels on each of said reconstruction planes; if part of projection data of a reconstruction field near an end of said multi-row detector cannot be extracted from said first axial scan data, extracting the projection data from said second axial scan data; generating projection line data by multiplying said projection data by a cone beam reconstruction weight; generating image-positional line data by filtering said projection line data; determining backprojected pixel data on an image plane based on said image-positional line data; determining backprojected data by adding the backprojected pixel data on a pixel-by-pixel basis across all views used in image reconstruction; applying weighted addition to the corresponding backprojected data across said reconstruction fields; and producing one CT image. According to the CT image producing method of the eighth aspect, since projection data corresponding to lines is processed, the amount of calculation is reduced. Moreover, since weighted addition (Z-filtering) is applied after determining backprojected data of the reconstruction fields, CT images of the reconstruction fields and one CT image combining them can be simultaneously obtained. In its ninth aspect, the present invention provides an X-ray CT apparatus characterized in comprising: an X-ray tube; a multi-row detector; first axial scanning means for collecting first axial scan data while rotating at least one of said X-ray tube and multi-row detector relative to and around a subject to be imaged at a first position in a direction of a body axis of the subject to be imaged; first projection data extracting means for extracting from said first axial scan data projection data corresponding to a plurality of reconstruction fields arranged in the direction of the body axis of the subject to be imaged; second axial scanning means for, if part of projection data of a reconstruction field near an end of said multi-row detector cannot be extracted from said first axial scan data, collecting second axial scan data while rotating at least one of said X-ray tube and multi-row detector relative to and around the subject to be imaged at a second position to which said X-ray tube and multi-row detector are rectilinearly moved relative to the subject to be imaged from said first position toward the end of said multi-row detector; second projection data extracting means for extracting from said second axial scan data the projection data that could not be extracted from said first axial scan data of the reconstruction field near the end of said multi-row detector; and CT image producing means for producing one CT image based on said extracted projection data of the reconstruction fields. According to the X-ray CT apparatus of the ninth aspect, the CT image producing method of the first aspect can be suitably implemented. In its tenth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: said second position is a position distant from said first position by a cone beam width at a center of rotation. According to the X-ray CT apparatus of the tenth aspect, the CT image producing method of the second aspect can be suitably implemented. In its eleventh aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: said CT image producing means determines combined projection data by applying weighted addition to said extracted projection data across the reconstruction fields, and produces one CT image from said combined projection data. According to the X-ray CT apparatus of the eleventh aspect, the CT image producing method of the third aspect can be suitably implemented. In its twelfth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: a weight for the weighted addition on said projection data is determined from a mutual relationship between the reconstruction fields, and from a predetermined weighting function. According to the X-ray CT apparatus of the twelfth aspect, the CT image producing method of the fourth aspect can be suitably implemented. In its thirteenth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: said CT image producing means produces respective CT images from said extracted projection data of the reconstruction fields, and applies weighted addition to said CT images to produce one CT image. According to the X-ray CT apparatus of the thirteenth aspect, the CT image producing method of the fifth aspect can be suitably implemented. In its fourteenth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: a weight for the weighted addition on said CT images is determined from a mutual relationship between the reconstruction fields, and from a predetermined weighting function. According to the X-ray CT apparatus of the fourteenth aspect, the CT image producing method of the sixth aspect can be suitably implemented. In its fifteenth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: said first projection data extracting means extracts from said first axial scan data projection data corresponding to one line or a plurality of parallel lines at spacings of a plurality of pixels on each of said reconstruction planes; said second projection data extracting means extracts from said second axial scan data the projection data that could not be extracted from said first axial scan data of a reconstruction field near an end of said multi-row detector; and said CT image producing means generates projection line data by multiplying said projection data by a cone beam reconstruction weight, determines combined projection line data by applying weighted addition to said projection line data of corresponding lines across said reconstruction fields, generates image-positional line data by filtering said combined projection line data, determines backprojected pixel data of pixels on an image plane based on said image-positional line data, and determines backprojected data by adding the backprojected pixel data on a pixel-by-pixel basis across all views used in image reconstruction. According to the X-ray CT apparatus of the fifteenth aspect, the CT image producing method of the seventh aspect can be suitably implemented. In its sixteenth aspect, the present invention provides the X-ray CT apparatus having the aforementioned configuration, characterized in that: said first projection data extracting means extracts from said first axial scan data projection data corresponding to one line or a plurality of parallel lines at spacings of a plurality of pixels on each of said reconstruction planes; said second projection data extracting means extracts from said second axial scan data the projection data that could not be extracted from said first axial scan data of a reconstruction field near an end of said multi-row detector; said CT image producing means generates projection line data by multiplying said projection data by a cone beam reconstruction weight, generates image-positional line data by filtering said projection line data, determines backprojected pixel data of pixels on an image plane based on said image-positional line data, determines backprojected data by adding the backprojected pixel data on a pixel-by-pixel basis across all views used in image reconstruction, applies weighted addition to the corresponding backprojected data across said reconstruction fields, and produces one CT image. According to the X-ray CT apparatus of the sixteenth aspect, the CT image producing method of the eighth aspect can be suitably implemented. According to the CT image producing method and X-ray CT apparatus of the present invention, since Z-filtering can be applied to data obtained even if it lies in a peripheral portion of an imaged region, the slice thickness can be arbitrarily controlled, and artifacts and noise can be reduced. The CT image producing method and X-ray CT apparatus of the present invention can be used for improving image quality of a CT image. Further objects and advantages of the present invention will be apparent from the following description of the preferred embodiments of the invention as illustrated in the accompanying drawings. The present invention will now be described in more detail with reference to embodiments shown in the accompanying drawings. It should be noted that the present invention is not limited to the embodiments. FIG. 1 is a block configuration diagram showing an X-ray CT apparatus 100 in accordance with Example 1. The X-ray CT apparatus 100 comprises an operation console 1, a table apparatus 10, and a scan gantry 20. The operation console 1 comprises an input device 2 for accepting inputs by a human operator, a central processing apparatus 3 for executing scan control processing, image reconstruction processing etc., a data collection buffer 5 for collecting data acquired at the scan gantry 20, a CRT 6 for displaying a produced CT image, and a storage device 7 for storing programs, data, and CT images. The table apparatus 10 comprises a table 12 for laying thereon a subject to be imaged and transporting the subject into/out of a bore (cavity portion) of the scan gantry 20. The table 12 is vertically and horizontally/rectilinearly moved by a motor incorporated in the table apparatus 10. The scan gantry 20 comprises an X-ray tube 21, an X-ray controller 22, a collimator 23, a multi-row detector 24, a DAS (data acquisition system) 25, a rotator-side controller 26 for controlling the X-ray controller 22, collimator 23 and DAS 25, an overall controller 29 for communicating control signals etc. with the operation console 1 and imaging table 10, and a slip ring 30. FIGS. 2 and 3 are explanatory diagrams of the X-ray tube 21 and multi-row detector 24. The X-ray tube 21 and multi-row detector 24 rotate around a center of rotation IC. Representing the direction of rectilinear motion of the table 12 as z-direction, a direction perpendicular to the upper surface of the table 12 as y-direction, and a direction orthogonal to the z- and y-directions as x-direction, a plane of rotation of the X-ray tube 21 and multi-row detector 24 is an x-y plane. The X-ray tube 21 generates an X-ray beam CB generally referred to as a cone beam. When the direction of the center axis of the X-ray beam CB is parallel to the y-direction, a view angle view=0° is defined. The multi-row detector 24 has J (e.g., J=256) detector rows. Each detector row has I (e.g., I=1,024) channels. FIG. 4 is a flow chart showing data collection processing. At Step S1, the X-ray tube 21 and multi-row detector 24 are moved to a first position Z1 as shown in FIG. 5 (in practice, the table 12 is rectilinearly moved). At Step S2, first axial scan data D0(Z1, view, j, i) is collected while rotating the X-ray tube 21 and multi-row detector 24 around the center of rotation IC, as shown in FIG. 5. FIG. 6 shows a format of storage of the collected axial scan data. At Step S3, a decision is made as to whether any data is deficient in the first axial scan data D0(Z1, view, j, i) based on the position of a reconstruction field, and if so, the process goes to Step S4; otherwise, to Step S6. For example, assuming that reconstruction fields P1, P2 and P3 are defined as shown in FIG. 7, the reconstruction fields P1 and P2 have no deficient data in the first axial scan data D0(Z1, view, j, i), although the reconstruction field P3 has deficient data in a central portion a2 because the first axial scan data D0(Z1, view, j, i) covers only a peripheral portion a1. At Step S4, the X-ray tube 21 and multi-row detector 24 are moved to a second position Z2, as shown in FIG. 8 (in practice, the table 12 is rectilinearly moved). At Step S5, second axial scan data D0(Z2, view, j, i) is collected while rotating the X-ray tube 21 and multi-row detector 24 around the center of rotation IC, as shown in FIG. 8. The process then goes to Step S6. As shown in FIG. 9, for an X-ray beam CB2 at the second position Z2 to cover a space that an X-ray beam CB1 at the first position Z1 does not traverse, a distance L between the first position Z1 and second position Z2 may be set to be equal to a cone beam width W at the center of X-ray rotation IC. Then, data of the central portion a2 in the reconstruction field P3 can be obtained from the second axial scan data D0(Z2, view, j, i) as shown in FIG. 10. At Step S6, the data D0(Z1, view, j, i) and data D0(Z2, view, j, i) are subjected to pre-processing (offset correction, log correction, X-ray dose correction and sensitivity correction). At Step S7, the pre-processed data D0(Z1, view, j, i) and D0(Z2, view, j, i) are subjected to three-dimensional backprojection processing (which will be discussed later with reference to FIG. 11) to determine backprojected data D3(x, y). At Step S8, the backprojected data D3(x, y) is subjected to post-processing to obtain a CT image. FIG. 11 is a flow chart showing details of the three-dimensional backprojection processing (Step S7 in FIG. 4). At Step R1, one view is taken as a view of interest in a view range needed in image reconstruction. The view range is, for example, “180°+fan angle” or “360°.” At Step R2, projection data Drn corresponding to a plurality of parallel lines at spacings of a plurality of pixels on a reconstruction field Pn (n=1, 2, . . . ) is extracted from among the first axial scan data D0(z1, view, j, i) at the view of interest. It should be noted that the reconstruction fields P1, P2 and P3 are hereinbelow assumed as shown in FIG. 10. FIG. 12 exemplarily shows a plurality of parallel lines L0–L8 on the reconstruction plane P1. The number of lines is 1/64–½ of the maximum number of pixels in a reconstruction plane in a direction orthogonal to the lines. For example, if the number of pixels in a reconstruction plane P is 512×512, the number of lines is nine. Moreover, the line direction is defined as the x-direction for −45°≦view<45° (or a view angle range mainly including the range and also including its vicinity) and 135°≦view<225° (or a view angle range mainly including the range and also including its vicinity). The line direction is defined as the y-direction for 45°≦view<135° (or a view angle range mainly including the range and also including its vicinity) and 225°≦view<315° (or a view angle range mainly including the range and also including its vicinity). FIG. 13 shows lines T0–T8 formed by projecting the plurality of parallel lines L0–L8 on the reconstruction plane P1 onto a detector plane dp in a direction of X-ray transmission. The direction of X-ray transmission is determined by the geometry of the X-ray tube 21, multi-row detector 24 and lines L0–L8. The projection data Dr corresponding to the lines L0–L8 can be obtained by extracting data at the detector row j and channel i corresponding to the lines T0–T8 projected onto the detector plane dp. Then, as shown in FIG. 15, data corresponding to the lines T0–T8 is extracted from among the first axial scan data D0(z1, view, j, i), and is developed as data Dr1 over the lines L0–L8 on the reconstruction field P1. Similarly, data Dr2 is extracted for the reconstruction field P2. However, for the reconstruction field P3, as shown in FIG. 14, data Dr3 can be similarly extracted for the lines L0, L1, L2, L6, L7 and L8 in the peripheral portion a1, although data Dr3 cannot be extracted from the first axial scan data D0(z1, view, j, i) for the lines L3, L4 and L5 in the central portion a2. Referring again to FIG. 11, at Step R3, if some projection data is deficient, the process goes to Step R4; otherwise, to Step R5. At Step R4, as shown in FIG. 14, data Dr3 for the lines L3, L4 and L5 in the central portion a2 of the reconstruction field P3 is extracted from the second axial scan data D0(z2, view, j, i). At Step R5, the projection data Drn is multiplied by a cone beam reconstruction weight to generate projection line data Dpn as shown in FIG. 16. The cone beam reconstruction weight is (r1/r0)2, where r0 is the distance from the focal spot of the X-ray tube 21 to the j-th detector row and the i-th channel of the multi-row detector 24 corresponding to projection data Drn, and r1 is the distance from the focal spot of the X-ray tube 21 to a point on the reconstruction plane Pn corresponding to the projection data Drn. At Step R6, the projection line data Dp1, Dp2 and Dp3 are subjected to weighted addition to obtain combined projection line data Dp as shown in FIG. 17. This processing represents the Z-filtering. A weight for the weighted addition is determined from a mutual relationship between the reconstruction fields Pn, and from an appropriate weighting function W, as shown in FIG. 41. At Step R7, the combined projection line data Dp is filtered. Specifically, the combined projection line data Dp is subjected to FFT, multiplied by a filter function (reconstruction function), and subjected to inverse FFT to generate image-positional line data Df as shown in FIG. 18. At Step R8, the image-positional line data Df is sampled and interpolated/extrapolated as needed to obtain backprojected pixel data D2 of pixels on the lines L0–L8, as shown in FIG. 19. At Step R9, the image-positional line data Df is sampled and interpolated/extrapolated to obtain backprojected pixel data D2 of pixels in between the lines L0–L8, as shown in FIG. 20. In FIGS. 15–20, −45°≦view<45° (or a view angle range mainly including the range and also including its vicinity) and 135°≦view<225° (or a view angle range mainly including the range and also including its vicinity) are assumed, while FIGS. 21–26 are applied for 45°≦view<135° (or a view angle range mainly including the range and also including its vicinity) and 225°≦view<315° (or a view angle range mainly including the range and also including its vicinity). Referring again to FIG. 11, at Step R10, the backprojected pixel data D2 shown in FIG. 20 or 26 are added on a pixel-by-pixel basis, as shown in FIG. 27. At Step R1, Steps R1–R10 are repeated across all views needed in image reconstruction to obtain backprojected data D3(x, y). The process is then terminated. According to the X-ray CT apparatus 100 of Example 1, first axial scan data is collected at the first position Z1, second axial scan data is collected at the second position Z2, deficient data in the first axial scan data is complemented by the second axial scan data to generate projection data of the reconstruction fields P1, P2 and P3, and the projection data are subjected to Z-filtering to produce one CT image; therefore, omission of projection data is avoided, thus improving image quality. Moreover, since the Z-filtering is applied to a projection data stage, the amount of calculation is reduced. FIG. 28 is a flow chart showing details of the three-dimensional backprojection processing (Step S7 in FIG. 4) in accordance with Example 2. At Step H1, one reconstruction field is taken as a reconstruction field of interest from among reconstruction fields Pn (n=1, 2, . . . ). At Step H2, one view is taken as a view of interest in a view range needed in image reconstruction. The view range is, for example, “180°+fan angle” or “360°”. At Step H3, projection data Drn corresponding to a plurality of parallel lines at spacings of a plurality of pixels on the reconstruction field of interest Pn is extracted from among the first axial scan data D0(z1, view, j, i) at the view of interest. FIG. 29 shows the projection data Drn. At Step H4, if any projection data is deficient, the process goes to Step H5; otherwise, to Step H6. As described above in Example 1, the reconstruction fields P1 and P2 have no deficient projection data, although the reconstruction field P3 has deficient projection data because data Dr3 cannot be extracted from the first axial scan data D0(z1, view, j, i) for the lines L3, L4 and L5 in the central portion a2. At Step H5, as shown in FIG. 14, data Dr3 for the lines L3, L4 and L5 in the central portion a2 of the reconstruction field P3 is extracted from the second axial scan data D0(z2, view, j, i). At Step H6, the projection data Drn is multiplied by a cone beam reconstruction weight to generate projection line data Dpn as shown in FIG. 30. At Step H7, the projection line data Dpn is filtered. Specifically, the projection line data Dpn is subjected to FFT, multiplied by a filter function (reconstruction function), and subjected to inverse FFT to generate image-positional line data Dfn as shown in FIG. 31. At Step H8, the image-positional line data Dfn is sampled and interpolated/extrapolated as needed to obtain backprojected pixel data D2 of pixels on the lines L0–L8, as shown in FIG. 32. At Step H9, the image-positional line data Dfn is sampled and interpolated/extrapolated to obtain backprojected pixel data D2 of pixels in between the lines L0–L8, as shown in FIG. 33. In FIGS. 29–33, −45°≦view<45° (or a view angle range mainly including the range and also including its vicinity) and 135°≦view<225° (or a view angle range mainly including the range and also including its vicinity) are assumed, while FIGS. 34–38 are applied for 45°≦view<135° (or a view angle range mainly including the range and also including its vicinity) and 225°≦view<315° (or a view angle range mainly including the range and also including its vicinity). Referring again to FIG. 28, at Step H10, the backprojected pixel data D2 shown in FIG. 33 or 38 are added on a pixel-by-pixel basis, as shown in FIG. 39. At Step H11, Steps H2–H10 are repeated across all views needed in image reconstruction to obtain backprojected data D3n(x, y). Once the backprojected data D3n(x, y) has been obtained for the reconstruction field Pn of interest, the process goes to Step H12. At Step H12, Steps H1–H11 are repeated until backprojected data D3n(x, y) for all reconstruction fields Pn (n=1, 2, . . . ) are obtained; once the backprojected data D3n(x, y) for all reconstruction fields Pn have been obtained, the process goes to Step H13. At Step H13, the backprojected data D3n(x, y) for all reconstruction fields Pn are subjected to weighted addition on a pixel-by-pixel basis, as shown in FIG. 40. This processing represents the Z-filtering. The process is then terminated. The weight wn for the weighted addition is determined from a mutual relationship between the reconstruction fields Pn, and from an appropriate weighting function W, as shown in FIG. 41. According to the X-ray CT apparatus of Example 2, first axial scan data is collected at the first position Z1, second axial scan data is collected at the second position Z2, deficient data in the first axial scan data is complemented by the second axial scan data to generate projection data of the reconstruction field P1, P2 and P3, CT images for the reconstruction fields P1, P2 and P3 are produced from the projection data, and the CT images of the reconstruction fields P1, P2 and P3 are subjected to Z-filtering to produce one CT image; therefore, omission of projection data is avoided, thus improving image quality. Moreover, CT images of the reconstruction fields P1, P2 and P3 and a CT image combining them through Z-filtering can be simultaneously obtained. The technique for image reconstruction may be a three-dimensional image reconstruction technique according to the conventionally known Feldkamp method. Moreover, three-dimensional image reconstruction techniques proposed in Japanese Patent Application Nos. 2002-147061, 2002-147231, 2002-235561, 2002-267833, 2002-322756 and 2002-338947 may be employed. Furthermore, a two-dimensional image reconstruction technique may be employed. Many widely different embodiments of the invention may be configured without departing from the spirit and the scope of the present invention. It should be understood that the present invention is not limited to the specific embodiments described in the specification, except as defined in the appended claims.
050930702
abstract
A core of boiling water reactor is divided into a central region and a peripheral region surrounding it in the radial direction thereof. The loading fraction of new first fuel assemblies containing burnable poison and loaded in the central region is greater than the loading fraction of the new first fuel assemblies loaded in the peripheral region. The loading fraction of second fuel assemblies loaded in the central region of the core and operating in a second operation cycle is smaller than the loading fraction of the second fuel assemblies loaded in the peripheral region of the core and operating in the second operation cycle. The second fuel assemblies contain no burnable poison. In such a core, the reactivity of the peripheral region is greater than that of the central region in the beginning of an operation cycle. Contrary, the reactivity of the central region is greater than that of the peripheral region in the end of an operation cycle.
060350113
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIGS. 1, 2 and 3 show a fuel channel 1 with a substantially square cross section. The fuel channel 1 surrounds, with no significant play, an upper square portion 2a of a bottom part 2 which otherwise comprises a conical portion 2b and a cylindrical portion 2c. The bottom part 2 has a downwardly facing inlet opening 3 for cooling water. Besides supporting the fuel channel, the bottom part 2 also supports a supporting plate 4. At the bottom the fuel channel 1 has a relatively thick wall portion which is fixed to the bottom part 2 and the supporting plate 4 by means of a plurality of horizontal bolts indicated by means of dash-dotted lines 5. From FIG. 3 it is clear that the fuel channel 1 according to the invention is provided with a reduced corner portion 41. By means of a hollow support member 7 with a cruciform cross section, the fuel channel 1 is divided into four vertical channel-formed parts 6 with at least substantially square cross section. The support member 7 is welded to the four walls 1a, 1b, 1c, 1d of the fuel channel 1 and has four hollow wings 8. The central channel formed by the support member 7 is designated 32 and is connected at the bottom to an inlet tube 9 for moderator water. Each tubular part 6 comprises a bundle 25 containing twenty-five fuel rods 10. The rods 10 are arranged in a lattice in which each rod 10 is included in two rows perpendicular to each other, apart from the reduced corner portion 41 where one rod 10 has been removed. From FIG. 1 it is clear that each bundle 25 is arranged with a bottom tie plate 11, a top tie plate 12 and a plurality of spacers 13. A fuel rod bundle 25 with bottom Lie plate 11, top tie plate 12, spacer 13 and fuel channel part 1 forms a unit which in this application is referred to as a sub-bundle, whereas a unit comprising four such sub-assemblies is referred to as a fuel assembly. In the drawings, fuel assemblies are denoted by the reference numeral 40 and sub-assemblies by 40a-d. A unit comprising four fuel assemblies 40 and a control rod 38 arranged centrally therebetween constitutes a supercell. The four bottom tie plates 11 are supported in the fuel assembly 40 by the supporting plate 4 and are each partially inserted into a respective square hole 14 therein in each sub-assembly 40a-d, at least one of the fuel rods 10 is formed with relatively long, threaded end plugs 33 and 34 of solid cladding material, the lower end plug 33 being passed through the bottom Lie plate 11 and provided with a nut 15 and the upper end plug 34 being passed through the Lop tie plate 12 and provided with a nut 16. In the embodiment shown, the centre rod 26 in each sub-assembly is formed in this way. This rod 26 also serves as a spacer holder rod. The holes for the passage of the water through the bottom tie plate 11 are designated 35. From FIGS. 1 and 2 it is clear how an upper end portion of the fuel channel 1 surrounds a cruciform lifting plate 17 with four horizontal arms 18, 19, 20 and 21 which extend from a common central portion. At its outer end each arm 18-21 has an arrowhead-like portion 22, each of which, at respective corners of the fuel channel 1, makes contact with the inner wall surface of the fuel channel 1. A lifting handle 23 is fixed to the arms 18-21. The lifting plate 17 and the handle 23 together form a lifting member of steel cast in one piece. The lifting plate 17 is fixed to the support member 7 by inserting four vertical bars 28 into respective wings 8 of the support member 7 and welding them thereto. At its top each bar 28 has a vertical, bolt-like portion 29 which is passed, with a play, through a corresponding hole in the central portion of the lifting plate 17 and provided with a nut 30. As is clear from the figures, the fuel channel 1 is provided with indentations 31, intermittently arranged in the longitudinal direction, to which the support member 7 is welded. FIG. 4 shows part of an asymmetrical core lattice according to the prior art. The section comprises sixteen fuel assemblies 40. The spaces between the fuel rods 10 within each sub-assembly 40a-d are traversed by water, as is the cruciform channel 32 in the fuel assembly 40. The gaps between the fuel assemblies 40 are also traversed by water. In an asymmetrical core lattice, the control rod gaps 37a, into which the control rods 38 can be inserted, are wider than the narrow gaps 37b, into which no control rods 38 can be inserted. In a symmetrical core lattice, the control rod gaps 37a and the narrow gaps 37b have the same width. The control rods 38 have blades 38a-d which form a rectilinear cross. As is clear from FIG. 5, each fuel rod 10 includes a cladding tube 42 and a large number of circular-cylindrical pellets 43 stacked on top of each other in the axial direction of the tube 42. The pellets 43 which are located nearest each end of the fuel rods 10 may possibly consist of natural uranium whereas the rest of the pellets 43 in a conventional manner consist of uranium dioxide enriched with respect to uranium-235. The lowermost pellet rests rigidly on an end plug 44 welded to the lower end of the rod 10, and the uppermost pellet is pressed downwards by a spiral spring 45, which is tensioned against an end plug 46 welded to the upper end of the tube 42, thus obtaining a plenum 47 filled with helium. This plenum 47 without nuclear fuel material is thus not included in the active length of the fuel rod 10. Pellets 43 of natural uranium are considered as belonging to the active length of the fuel rod 10. FIG. 6 shows a particularly advantageous embodiment of the invention. Contrary to the fuel assemblies shown in FIG. 4, the fuel assemblies 40 are provided with an enlarged centre by forming the cruciform channel 32 with inner corner reductions in the fuel assembly 40 (prior art according to Swedish patent 454 822), and further each assembly 40 is provided with a reduced corner portion 41, thus displaying a pentagonal configuration. The reduced corner portion 41 consists of that corner portion 41 in the supercell which is arranged at the largest distance from the centre of the control rod 38. The reduction of the corner portion 41 is combined with removal of at least one fuel rod 10 at the reduced corner portion 41 such that the rectangular rod positioning, with rods arranged in rows perpendicular to each other, can be maintained. The corner reduction permits more non-boiling water to be introduced into the core. In hot state with a mixture of steam and water, this gives a better neutron moderation and increased reactivity. In cold state the neutrons have a considerably shorter diffusion length. This means that the corner reduction contributes to an increased neutron absorption, whereby the reactivity in cold state is reduced and the shutdown margin is increased. When applying the invention an additionally improved shutdown margin is achieved by the removal of a fuel rod 10 such that the mean distance of the fissile material to the control rod 38 in a supercell is reduced, whereby the neutron-absorbing effect of the control rod 38 is improved and thus also the shutdown margin in cold state. A still further improvement of the shutdown margin is obtained by reducing the enrichment content in the fuel rods 10 arranged nearest the reduced corner portion (or portions) 41 and increasing the enrichment content in the corresponding fuel rods 10 in that corner in the fuel assembly 40 which is opposite to the reduced corner 41 such that the mean distance of the fissile material to the control rod 38 is further reduced. In asymmetrical core lattices, the reduction of the enrichment content at the reduced corner portion 41 is an advantage also in that an equalization of the enrichment contents in the fuel assemblies 40 is obtained. FIGS. 7 and 8 illustrate in an asymmetrical core lattice other fuel assemblies 40, each having a reduced corner portion 41, suitable for use according to the present invention. The fuel assembly 40 according to FIG. 7 is provided with an internally arranged vertical channel 48, through which water is led in a vertical direction from the bottom and upwards through the assembly 40. The channel 48 has a substantially square cross section corresponding to nine removed fuel rods 10 and is displaced in relation to the centre of the assembly 40. FIG. 8 shows a fuel assembly 40 which is provided with two centrally arranged vertical water rods 49, through which water is led in a vertical direction from the bottom and upwards through the assembly 40. The water rods 49 have a diameter which is somewhat larger than the diameter of the fuel rods 10 and are designed with a substantially circular cross section. The arrangement of the two water rods 49 centrally in the assembly 40 takes place at the expense of seven fuel rods 10. The assembly 40 also includes partial-length fuel rods 10a, which are dashed in FIG. 8. FIGS. 9, 10 and 11 show in an asymmetrical core lattice fuel assemblies 40 with three reduced corner portions 41, thus displaying a heptagonal configuration. The reduced corner portion 41 are arranged facing away from the centre of the control rod 38. The fuel assembly 40 according to FIG. 9 is of the same type as those shown in FIG. 6. The fuel assembly 40 according to FIG. 10 is of the same type as those shown in FIG. 4. The embodiments according to FIGS. 6-11 are also suitable for symmetrical core lattices, particularly the embodiments in FIGS. 9-11 since the removal of three corners out of four means that the symmetrical enrichment distribution can be retained largely symmetrical. The fuel assembly 40 according to FIG. 11 is provided with two centrally arranged vertical water rods 50 through which water is led in a vertical direction from the bottom and upwards through the assembly 40. The water rods 50 have a diameter which approximately corresponds to the diameter of the fuel rods 10 and are designed with substantially circular cross section. The arrangement of the two water rods 50 centrally in the assembly 40 takes place at the expense of two fuel rods 10. FIGS. 12, 13 and 14 illustrate in a symmetrical core lattice fuel assemblies 40 with two reduced corner portions 41. The reduced corner portions consist of the two corners which are arranged at the same distance from the control rod 38. This symmetrical corner reduction (with respect to a sub-assembly) is particularly suitable for symmetrical core lattices. The shutdown margin is considerably improved by the introduction of more water into the core. Another advantage of this embodiment is that the signal from a possible detector, arranged between the supercells at a maximum distance from the centre of the control rod 38, is not affected by the corner reduction. The fuel assembly 40 according to FIG. 12 is of the same type as those shown in FIGS. 6 and 9. The fuel assembly 40 according to FIG. 13 is provided with an internally arranged vertical channel 51, through which water is led in a vertical direction from the bottom and upwards through the assembly 40. The channel 51 has a substantially circular cross section corresponding to four removed fuel rods 10 and is centrally located. FIG. 14 shows a fuel assembly 40 of the same type as in FIG. 7 but with a water channel 48 centrally located in the fuel assembly 40. FIG. 15 shows a symmetrical core lattice with fuel assemblies 40 of the same type as those shown in FIGS. 4 and 10. The fuel assemblies 40 are each provided with four reduced corner portions 41. Thus, this embodiment differs from that shown in FIG. 10 in that also the inner tube, arranged at the shortest distance from the control rod 38, is reduced. Admittedly, the reduction of the corner facing the control rod 38 prevents the mean distance of the fissile material to the control rod from being reduced but has the advantage that the fuel rod 10 which is normally arranged in this corner is removed. This rod 10 is subjected to fast and considerable power variations in connection with the insertion and the withdrawal of t he control rod, whereby it is very heavily loaded from the point of view of power. In certain cases, it may therefore be an advantage to remove this fuel rod 10 from the assembly 40. In addition, it is an advantage that the symmetrical enrichment distribution can be maintained when all the corners are reduced. FIG. 16 shows an asymmetrical core with fuel assemblies 40 of the same type as those shown in FIGS. 6, 9 and 12. The fuel assemblies 40 are provided with two reduced corner portions 41, namely, those corner portions which are arranged at, respectively, the shortest distance and the longest distance from the centre of the control rod 38. This embodiment is advantageous from the same point of view as that stated with reference to FIG. 15, that is, that the sensitive rod 10 nearest the centre of the control rod 38 is removed. FIG. 17 shows an asymmetrical core lattice with fuel assemblies 40 of the same type as those shown in FIGS. 4, 10 an d 15. The fuel assemblies 40 are provided with three reduced corner portions 41. The reduced corner portions 41 are arranged facing the centre of the control rod 38. A particular advantage of this embodiment, as well as in the cores shown in FIGS. 12, 13 and 14, is that the detector signal is not affected by the invention. In addition, the embodiment has the same advantage as the cores shown in FIGS. 15 and 16 in that the sensitive rod 10 nearest the centre of the control rod 38 is removed. According to the particularly advantageous embodiment of the invention, that is, the embodiment shown in FIG. 6, the enrichment content B of those rods 10 which are arranged nearest the reduced corner portion 41 in a fuel assembly 40, with one fuel rod removed, is determined according to the following empirical relationship: EQU B=A.multidot.F.sub.k (F.sub.s (a/b-1)+1) where B=enrichment content in a rod 10 arranged near a reduced corner portion 41 with one fuel rod removed PA1 A=enrichment content in the corresponding rod 10 in a non-reduced corner portion 41 in the fuel assembly 40 which is opposite to the reduced corner portion PA1 The factor F.sub.k describes how the ratio B/A is influenced by a reduced corner portion 41 in a lattice with symmetrical water gaps 37a, 37b PA1 The factor F.sub.s indicates a symmetry factor which describes how the ratio B/A is dependent on the ratio between the control rod gaps 37a and the narrow gaps 37b for a lattice with asymmetrical water gaps 37a, 37b PA1 a=gap width, control rod gap 37a PA1 b=gap width, narrow gap 37b. In both symmetrical and asymmetrical core lattices, the factor F.sub.k for fuel assemblies in FIG. 6 is suitably chosen in the interval 0.72.ltoreq.F.sub.k .ltoreq.0.92 and F.sub.s =0.72. In all the fuel assemblies 40 shown and in embodiments associated therewith, one or more corner portions 41 can be reduced such that an improved shutdown margin is obtained by letting more non-boiling water into the reactor core. One or more rods 10 at the reduced corner portions 41 are removed such that, at least in asymmetrical core lattices, fissile material is arranged nearest the control rod 38 such that an additionally improved shutdown margin is obtained by improved control rod effect.
051174472
abstract
An image input apparatus including a camera tube having a photoconductive layer onto which an optical image is to be projected, a cathode for emitting an electron beam for scanning the photoconductive layer, a control electrode for controlling a beam current of the electron beam emitted from the cathode in accordance with a control voltage, and a mesh electrode having a frame, the apparatus further including a deflecting circuit for periodically deflecting the electron beam to scan the photoconductive layer. The deflecting circuit periodically deflects the electron beam to scan an area outside a restricted scanning area determined by the frame of the mesh electrode. A beam current control circuit is further added, if necessary, for generating a control voltage and applying the control voltage to the control electrode to control the beam current of the electron beam such that the electron beam does not collide with the frame of the mesh electrode during a period in which the electron beam scans the area outside the restricted scanning area.
062228978
abstract
Scan methods of inspecting piping and welds of a pipe elbow in a reactor pressure vessel of a boiling water reactor are disclosed. The scan apparatus includes a motor connected to a scan head having two ultrasonic transducer probes each including an ultrasonic transducer, a transducer arm connected to each transducer probe, a scan platform having an arcuate cutout, and a connector connecting each transducer arm to the scan platform. The scan head allows the transducer probes to remain substantially in contact with the pipe elbow while the scan head traverses the pipe elbow. The scan apparatus further includes a pivot arm connecting the scan platform to a pivot pin. The method includes the steps of positioning the scan head and the transducer probe such that the ultrasonic transducer is substantially in contact with the pipe elbow surface. Then moving the scan head axially along the pipe elbow in a first direction while maintaining the ultrasonic transducer in substantial contact with the pipe elbow surface. Then incrementally rotating the probes, in a raster type manner, and moving the scan head axially along the pipe elbow in a second direction. The steps are repeated until the entire pipe elbow surface has been inspected.
abstract
According to one exemplary embodiment, a laser beam formatting module for use in a lithographic system to fabricate a semiconductor wafer comprises an aperture plate having, for example, a circular aperture and capable of being situated between a laser source and a target, and a lens assembly, in a light path between the aperture plate and the target. The laser beam formatting module can produce a substantially uniform laser beam intensity across a target during fabrication of a semiconductor wafer in a laser-produced plasma (LPP) lithographic process using, for example, extreme ultraviolet light (EUV). In one embodiment, a laser beam formatting module improves energy conversion efficiency, reduces out-of-band radiation emission, avoids heating of reflective optics, and eliminates the need for an out-of-band radiation filter.
summary
claims
1. A method of radiographic imaging of an object by an apparatus having an antidiffusion grid, placed between the object and a receiver of radiographic images, wherein the grid is displaced in rectilinear translation in its plane between a starting position and an arrival position comprising the step of translating the grid according to a time displacement law, in which the displacement law is a continuous curve with a time precision of approximately xc2x110% presenting at least five separate parts, the displacement taking place at constant speed over at least two parts and at variable speed over at least one part. 2. The method according to claim 1 in which the duration of at least one part at constant speed is readjusted in a position between the starting position and the arrival position, exclusive of limits. claim 1 3. The method according to claim 1 in which the displacement take place at high constant speed over one part and at decreasing speed over a second part. claim 1 4. The method according to claim 2 in which the displacement take place at high constant speed over one part and at decreasing speed over a second part. claim 2 5. The method according to claim 1 in which the displacement takes place at low constant speed over a third part. claim 1 6. The method according to claim 2 in which the displacement takes place at low constant speed over a third part. claim 2 7. The method according to claim 3 in which the displacement takes place at low constant speed over a third part. claim 3 8. The method according to claim 5 in which the duration of the third part is a decreasing function of imprecision of an imaging time prediction. claim 5 9. The method according to claim 6 in which the duration of the third part is a decreasing function of imprecision of an imaging time prediction. claim 6 10. The method according to claim 7 in which the duration of the third part is a decreasing function of imprecision of an imaging time prediction. claim 7 11. The method according to claim 1 in which the displacement takes place at increasing speed over a fourth part. claim 1 12. The method according to claim 11 which the modulus of acceleration is equal to that of the second part. claim 11 13. The method according to claim 1 in which the displacement takes place at increasing speed over a fifth part. claim 1 14. The method according to claim 1 in which the displacement takes place at high constant speed over a sixth part. claim 1 15. Method according to claim 14 in which the duration of the sixth part is equal to the imprecision. claim 14 16. Computer program containing program code means for applying the stages of the method according to claim 1 when the program is processed on a computer. claim 1 17. Support capable of being read by a device for reading program code which are stored therein and are suitable for application of the stages of the method according to claim 1 . claim 1 18. Radiological imaging apparatus comprising a: radiation beam emitter; a receiver of the beam after it has crossed an organ to be studied; a movable grid; and an arithmetical unit able to control the emitter, to control displacement of the grid and to process data coming from the receiver, the arithmetical unit comprising a means for displacing the grid in rectilinear translation in its plane between a starting position and an arrival position and according to a time displacement law, the displacement law being a continuous curve with a time precision of approximately xc2x110%, presenting at least five separate parts, displacement being carried out at constant speed over at least two parts and at variable speed over at least one part. 19. The method according to claim 18 in which the duration of at least one part at constant speed is readjusted in a position between the starting position and the arrival position, exclusive of limits. claim 18
046438700
description
DETAILED DESCRIPTION OF THE DRAWINGS As shown in the FIGURE, the nuclear reactor 10 of this invention has a generally cylindrical shape and is disposed in a cavity 12 formed by excavation. Surrounding the top entryway 14 to the cavity 12 is adjacent concrete slab 16, with an opening to the cavity which receives the nuclear reactor. The cavity is lined with thermal insulation or a cooling jacket 18 which is surrounded by biological shielding 20, such as concrete. Along the cavity sidewall 22 are adjacent concrete structures 24, which are tied to the metal cavity by members penetrating the cooling jacket 18. The cavity sidewall 22 nominally is an 8-inch thick steel cylindrical member, which has at its upper portion an annular rim 26 which is welded or otherwise secured to the sidewall 22. The bottom of the cavity 12 is a 3-foot nominal thickness metal basemat 28. This basemat 28 is welded to, or integral with the bottom perimeter of the sidewall 22 and provides a heat conducting floor for the reactor 10. This metal basemat 28 extends outwardly from the perimeter of the sidewall 22 to a diameter of approximately 200 feet. Extending downwardly and outwardly from the basemat 28 are a plurality of metal pilings 30. These pilings 30 are preferably H-beams driven to refusal. They thus not only support the reactor 10, but because they are metal, provide heat dissipating means for dispersing heat emminating from the nuclear reactor. Underneath the metal basemat 28 is a porous sand and gravel bed 32, filing the hot zone. This bed 32 has an upper level 32a of fine sand about 1 foot in depth, an intermediate level 32b of coarse sand about 1 foot thick, underlain by fine gravel 32c about 4 feet in depth, and a lower level 32d of a coarse gravel about 6 feet in depth. The nuclear reactor 10 itself includes a reactor vessel 34 seated within a guard vessel 36 which has a lip 38 which rests on top of the rim 26. The top of the reactor vessel 34 has a cover 40 which covers it. The reactor vessel 34 has extending through this cover 40 a plurality of control, instrumentation, heat exchange and pumping apparatus (not shown). Liquid sodium 42 normally surrounds the core in the reactor vessel and serves as a cooling medium. If control over the reactor is lost and it generates much more heat than can be dissipated, the core melts, disintegrates, and falls to the bottom of the vessel. The high temperatures arising from a melted core, if sustained, will result in melting and breach of the reactor vessel and guard vessel. This is illustrated by the openings 44 in the bottoms of the reactor vessel 34 and guard vessel 36, showing the core debris 46 which has fallen from the reactor vessel and guard vessel in a heap on the basemat 28. The liquid sodium 42 will also leak from the reactor vessel 34 and fill the bottom of the metal cavity 48 with liquid sodium. Under the conditions illustrated, the temperature of the basemat and cavity walls is very high, for example 1300.degree. F. The heat generated by the core debris must be dissipated, otherwise the metal basemat 28 would eventually melt. According to this invention, the metal basemat 28 and projecting pilings 30 provide one way of eliminating this excess heat. A second way is provided by boiling water injected into the porous hot zone. This is accomplished by two series of perforated pipes 50 and 52. The upper series of pipes 50, which are generally disposed horizontally, serve as steam vents. The lower series of pipes 52, also disposed horizontally, are water pipes. Water flows through the second series of pipes 52 and floods the hot porous zone 32 with water. The water absorbs heat in boiling, and the resulting steam is carried away and vented to the atmosphere by the first series of pipes 50. The nuclear reactor containment of this invention provides improved safety in the event of a breach in the reactor. The metal basemat 28 and metal pilings 30 serve to dissipate heat, and the conversion of the water to steam also dissipates heat. The reactor vessel 34 is housed in a cavity 12 which retains radioactive coolant and core debris, thus preventing their escape to the environment. The metal basemat and sidewalls eliminate the possibility of contact between liquid sodium coolant and concrete, which contact results in energetic chemical reaction, and evolution of explosive hydrogen gas. All of these features enhance the safety of the reactor 10 of this invention. SCOPE OF THE INVENTION The above description presents the best mode contemplated of carrying out the present invention as depicted by the embodiment disclosed. The features illustrated by this embodiment provide the advantages of this invention. This invention is, however, susceptible to modifications and alternate constructions from the embodiment shown in the drawing and above description. Consequently, it is not the intention to limit it to the particular embodiment disclosed. On the contrary, the intention is to cover all modifications and alternates falling within the scope of the invention as generally expressed by the following claims.
summary
062654667
summary
FIELD OF THE INVENTION The present invention relates generally to electromagnetic (EM) radiation absorbing composites containing nanotubes. The need for electromagnetic shielding materials is enormous. Applications of EM shielding material are found in, for example, EM-sensitive electronic equipment, stealth vehicles, aircraft, etc., having low radar profiles, protection of electronic components from interference from one another on circuit boards, protection of computer equipment from emitting RF radiation causing interference to navigation systems, medical life support systems, etc. Metal shielding has long been known for these functions. However, with the replacement of metals by a wide variety of new materials, e.g. polymeric, there has been a loss of the metals' inherent EM shielding characteristics. Some attempts at improving the EM shielding characteristics of plastics have been made. However, these approaches suffer from substantial drawbacks. Thus, new and improved methods and materials are needed to effect the desired shielding. SUMMARY OF THE INVENTION This invention represents a new approach to electromagnetic shielding. It is not derived from conventional concepts related to conductivity-based approaches. It has been discovered that conductivity is not required for the composite of this invention to provide very effective EM shielding. The latter term has its conventional meaning herein. In fact, composites having essentially no or low bulk conductivity, i.e., conventionally being classifiable as insulators, have excellent EM shielding properties. Without being bound by theory, it is believed that in composites of this invention which have such low bulk conductivity, EM shielding is achieved through absorption of radiation rather than reflection. By "low bulk conductivity" in this context is meant general macroscopic low conductivity, but it also includes anisotropically low conductivity in at least one dimension, e.g., in a sheet-type composite, low conductivity across the plane (thickness) of the sheet and not necessarily across the length or width of the sheet. Thus, both isotropic and anisotropic low or essentially no bulk conductivity (e.g., insulating properties) are included. Such low conductivities can be achieved for example by not including processing steps which would enhance isotropic or random electrical contact among the nanotubes. In another preferred embodiment of this invention, the nanotubes do not substantially increase the bulk conductivity (as discussed above) of the polymer which forms the base of the composite. Thus, polymers which are conventionally classified as insulators remain insulators. In one embodiment the nanotubes are primarily not in isotropic contact with each other and for nanotubes which are in contact with each other, e.g., in general alignment along the nanotubes' longitudinal axes, they are not bonded or glued to each other (other than by virtue of being copresent in the base polymer formulation). For example, when the composites are subjected to a shearing treatment as described herein, the nanotubes become aligned and/or disentangled as a result of which the EM shielding properties of the composites are enhanced or optimized. Without wishing to be bound by theory, it is believed that such alignment or disentanglement increases the effective aspect ratio of the nanotubes collectively. For instance, in disentangling and/or alignment of the nanotubes, some of the nanotubes become in contact with each other more or less along the their longitudinal axes whereby they act effectively as a single nanotube having a length in such direction longer than that of either of two individual contacting nanotubes. Typically, the effective aspect ratios will be at least about 100:1, 500:1, 1000:1 etc. or greater. In an especially preferred aspect of this invention, the composite will have both high EM shielding properties and also low radar profile due to the high absorptiveness of the composites and correspondingly low reflectance to electromagnetic radiation. Thus, in one aspect, this invention relates to an electromagnetic (EM) shielding composite comprising a polymer and an amount of nanotubes effective for EM shielding, e.g., of RF and microwave and radiation of higher frequencies. In a further aspect, this invention relates to an electromagnetic (EM) shielding composite comprising a polymer and an amount of substantially aligned nanotubes effective for EM shielding. In a further aspect, this invention relates to an EM shielding composite comprising a polymer and an amount of nanotubes effective for EM shielding, wherein said composite has been subjected to shearing, stretching and/or elongation, which aligns and/or disentangles nanotubes contained therein. In a further aspect, this invention relates to a method for preparing an EM shielding composite comprising a polymer and an amount of nanotubes effective for electromagnetic shielding comprising formulating said polymer and nanotubes and shearing, stretching, or elongating the composite. In a further aspect, this invention relates to an electromagnetic shielding composite, e.g., energy absorbing composite, comprising a non-carbonizable polymer and nanotubes in an amount effective for EM shielding, e.g., energy absorption. This invention does not require carbonization to induce EM shielding properties. In a further aspect, this invention relates to an EM shielding composite comprising an inner space and a surface defining said space, the improvement wherein said surface comprises a layer of nanotubes according to the invention effective for EM shielding. In a further aspect, this invention relates to a method of lowering the radar observability of an object comprising partially or entirely surrounding said object with a layer of nanotubes according to the invention effective for lessening radar observability. In a further aspect, this invention relates to a method of electromagnetic (EM) shielding an object or space comprising partially or entirely surrounding said object or space with a layer of composite of this invention. In a further aspect, this invention relates to an electromagnetic shielding composite, comprising nanotubes mixed in a polymer, wherein the composite is absorptive and effective for shielding broadband electromagnetic radiation, e.g., in a range of 10.sup.3 Hz to 10.sup.17 Hz. In a further aspect, this invention relates to an electromagnetic radiation absorbing composite, comprising nanotubes mixed in a polymer, wherein the composite is absorptive, e.g., to RF and microwave radiation and higher frequencies in dependence also on the properties of the base polymer, and, thus, effective for shielding from broadband electromagnetic radiation, e.g., in a range of 10.sup.3 Hz to 10.sup.17 Hz, and for generating heat. The foregoing and other objects, features, and advantages of the invention will be apparent from the following more particular description of preferred embodiments as illustrated in the accompanying examples, in which reference characters refer to the same parts throughout the various views. Primary components of the electromagnetic shielding composites of this invention are the base polymeric material and the nanotubes. Suitable raw material nanotubes are known. The term "nanotube" has its conventional meaning as described; see R. Saito, G. Dresselhaus, M. S. Dresselhaus, "Physical Properties of Carbon Nanotubes," Imperial College Press, London U.K. 1998, or A. Zettl "Non-Carbon Nanotubes" Advanced Materials, 8, p. 443 (1996). Nanotubes useful in this invention, include, e.g., straight and bent multi-wall nanotubes, straight and bent single wall nanotubes, and various compositions of these nanotube forms and common by-products contained in nanotube preparations. Nanotubes of different aspect ratios, i.e. length-to-diameter ratios, will also be useful in this invention, as well as nanotubes of various chemical compositions, including but not limited to carbon, boron nitride, SiC, and other materials capable of forming nanotubes. Typical but non-limiting lengths are about 1-10 nm, for example. Methods of making nanotubes of different compositions are known. (See "Large Scale Purification of Single Wall Carbon Nanotubes: Process, Product and Characterization," A. G. Rinzler, et. al., Applied Physics A, 67, p. 29 (1998); "Surface Diffusion Growth and Stability Mechanism of BN Nanotubes produced by Laser Beam Heating Under Superhigh Pressures," O. A. Louchev, Applied Physics Letters, 71, p. 3522 (1997); "Boron Nitride Nanotube Growth Defects and Their Annealing-Out Under Electron Irradiation," D. Goldberg, et. al, Chemical Physics Letters, 279, p. 191, (1997); Preparation of beta-SiC Nanorods with and Without Amorphous SiO.sub.2 Wrapping Layers, G. W. Meng et. al., Journal of Materials Research, 13, p. 2533 (1998); U.S. Pat. Nos. 5,560,898, 5,695,734, 5,753,088, 5,773,834. Carbon nanotubes are also readily commercially available from CarboLex, Inc. (Lexington, Ky.) in various forms and purities, and from Dynamic Enterprises Limited (Berkshire, England) in various forms and purities, for example. The particular polymeric material used in the composites of this invention is not critical. Typically, it will be chosen in accordance with the structural, strength, design, etc., parameters desirable for the given application. A wide range of polymeric resins, natural or synthetic, is useful. The polymeric resins are carbonizable or non-carbonizable, often non-carbonizable. These include thermoplastics, thermosets, and elastomers. Thus, suitable synthetic polymeric resins include, but are not limited to, polyethylene, polypropylene, polyvinyl chloride, styrenics, polyurethanes, polyimides, polycarbonate, polyethylene terephthalate, acrylics, phenolics, unsaturated polyesters, etc. Suitable natural polymers can be derived from a natural source, i.e., cellulose, gelatin, chitin, polypeptides, polysaccharides, or other polymeric materials of plant, animal, or microbial origin. The polymeric materials can contain other conventional ingredients and additives well known in the field of polymers to provide various desirable properties. Typically, these other substances are contained in their conventional amounts, often less than about 5 weight percent. Similarly, the polymeric materials can be crystalline, partially crystalline, amorphous, cross-linked, etc., as may be conventional for the given application. The amount of nanotubes in the material will typically be in the range of 0.001 to 15 weight percent based on the amount of polymer, preferably 0.01 to 5 weight percent, most preferably 0.1 to 1.5 weight percent. The nanotubes typically are dispersed essentially homogeneously throughout the bulk of the polymeric material but can also be present in gradient fashion, increasing or decreasing in amount (e.g. concentration) from the external surface toward the middle of the material or from one surface to another, etc. In addition, the nanotubes can be dispersed only in an external or internal region of the material, e.g., forming in essence an external skin or internal layer. In all cases, the amount of nanotubes will be chosen to be effective for the desired electromagnetic shielding and/or absorbing effect in accordance with the guidance provided in this specification. Aligned, oriented, disentangled, and/or arrayed nanotubes of appropriate effective aspect ratio in a proper amount mixed with a polymer can be synthesized to meet shielding requirements. At most a few routine parameteric variation tests may be required to optimize amounts for a desired purpose. Appropriate processing control for achieving a desired array of nanotubes with respect to the plastic material can be achieved using conventional mixing and processing methodology, including but not limited to, conventional extrusion, multi-dye extrusion, press lamination, etc. methods or other techniques applicable to incorporation of nanotubes into a polymer such as a thermoset resin, e.g., methods for preparing interlaminate adhesive and/or shielding layers. One method to achieve the enhanced EM shielding effect of the nanotubes as used in accordance with this invention is to expose the composite to a shearing, stretching, or elongating step or the like, e.g., using conventional polymer processing methodology. Such shearing-type processing refers to the use of force to induce flow or shear into the composite, forcing a spacing, alignment, reorientation, disentangling etc. of the nanotubes from each other greater than that achieved for nanotubes simply formulated into admixture with polymeric material. It is believed without wishing to be bound by theory that the advantages provided by this invention may be due to enhanced alignment or orientation among the nanotubes as compared with the relatively random structure achieved without the shearing, stretching, or elongation-type step. Such disentanglement etc. can be achieved by extrusion techniques, application of pressure more or less parallel to a surface of the composite, or application and differential force to different surfaces thereof, e.g., by shearing treatment by pulling of an extruded plaque at a variable but controlled rate to control the amount of shear and elongation applied to the extruded plaque. FIG. 1 illustrates the shielding effectiveness of a composite having nanotubes in an amount of 1.5 weight percent as a function of shear loading imparted by elongation. Suitable conditions can be routinely determined to achieve the desired electromagnetic shielding effect in accordance with this invention by routine parametric experimentation using the guidance of this application. The composite of the invention can be utilized in essentially any form in which the underlying polymeric material is suitable, e.g., including fibers, cylinders, plaques, films, sheets molding or extrusion compounds, and essentially any other form or shape, depending on the configuration and desirable properties of the base host resin system and the application. Thus, the EM shielding composite of the present invention can be incorporated as chopped or continuous fibers, woven material, non-woven material, clothing, material formed by electrospinning or melt spinning processes, paints, elastomeric materials, non-elastomeric materials, etc. As an example, an entangled mesh of carbon nanotubes can be compounded into a polymer matrix and the resulting composite can then be processed by conventional plastics processing techniques and in accordance with this invention. This invention also includes composites which are prepared directly by processing designed using the guidance of this disclosure thereby to dispense with the shearing, elongation or stretching step, and which thus do not need further treatment to achieve the advantageous properties of this invention. Typically, thicknesses of the composites of this invention which achieve satisfactory EM shielding effects can be lower than 1 mm. Depending on the EM environment anticipated for the application, the loading, shearing load, and structural form of the composite will ultimately determine the useful thickness of the composite. Much thicker EM shielding composites can also be made according to this invention, with the upper limit defined by the limitations of the base polymers and/or processing techniques used to manufacture thick composite parts. These thickness values refer to the regions of the polymeric material which contain nanotubes and, thus, are not necessarily the same as the average thickness of the material. It is also possible to have more than one region within a given composite which contains nanotubes, e.g., alternating with layers essentially free of nanotubes, all layers being of variable thicknesses or the same thickness. The nanotube component of this invention may impact properties of the polymeric material as is well known for any filler. These properties include strength, elongation, temperature stability and other physical properties. However, given the relatively low loading requirements of nanotube needed to achieve effective EM shielding per this invention, these effects are expected to be minimal. A suitable balance between the shielding effect and desired ranges of one or more of these other properties can be conventionally determined, e.g. with routine parametric experimentation when necessary. The immense flexibility of the composites of this invention make them suitable for a very wide array of applications. These include: EM shielding on any kind of equipment or enclosure having contents which are sensitive to EM radiation, especially high bursts, protection of electronics in enclosures, protection of electronic components from interference from one another on circuit boards, protection of computer systems housed within plastic cases from outside electromagnetic interferences, as well as protection of systems from emitted RF radiation from surrounding computers, such as airline navigation system from laptop computers, and automotive electronics. Typically, electronic machinery and enclosures containing life forms are especially helped by this invention. Shielding per this invention can be achieved by incorporating the nanotubes directly in composite materials which are otherwise necessary structural components of the equipment, enclosure, vehicle, aircraft, device, etc. Alternatively, skins, surfaces, layers, or regions of composites of nanotube-containing composites of this invention can be utilized, e.g., such as outer or inner "skins." For instance, such composite regions of this invention can be utilized in personnel protection clothing. A special advantage of this invention is that the amount of nanotube composite needed to achieve the given desired level of EM shielding is much less than for conventional materials. As noted above, amounts less than 1% by weight of nanotubes of a composite can be used, and even less, depending on the particular needs of the application. The composites also retain the other advantages of the underlying base resin such as weight reduction with increased strength. In addition to its EM shielding characteristic, the present invention also provides a low observability characteristic, e.g., with respect to radar. Low electromagnetic observability exists since the primary shielding mode of the present invention is by absorption, not reflection as with metals and purposely conducting material. Typically, this invention provides transmitted radiation levels of, e.g., 0.001% or less and reflected levels of less than about 16%, the principal amount of the EM radiation being absorbed by the materials of the invention. These absorbing properties lend themselves to applications including microwave susceptors for cooking or browning food in microwave ovens. The advantages of the EM shielding composite of the present invention include: commercial off-the-shelf availability of carbon nanotubes, ease of synthesis of nanotubes (of carbon or otherwise) low observability due to the low reflective power of less than about 16%, and the available low density of the shielding composite, e.g., 1.2-1.4 g/cm.sup.3. The low loading levels of nanotubes required by this invention are advantageous for both their economy, lack of degradation of the base polymer's structural properties, and compatibility with most conventional polymer processing techniques. In the foregoing and in the following examples, unless otherwise indicated, all parts and percentages are by weight. All publications mentioned herein are incorporated by reference in their entireties.
summary
summary
040240185
summary
BACKGROUND OF THE INVENTION This invention relates to liquid metal cooled fast breeder nuclear reactors of the pool kind. In a nuclear reactor of the pool kind the reactor core and ancillary components, such as heat exchangers and coolant circulating pumps, are immersed in a pool of coolant. One known construction of liquid metal cooled fast breeder nuclear reactor of the pool kind comprises a primary vessel suspended from the cover of a concrete vault and containing a pool of liquid sodium. The reactor core, coolant pumps and heat exchangers are also suspended from the cover of the concrete vault and are immersed in the pool of sodium. Ducting is provided whereby coolant from the pool can be pumped successively through the core and the heat exchangers thence back to the pool. Replacement of spent fuel is carried out whilst the reactor is shut down and the irradiated fuel assemblies are withdrawn from the core by a charge machine located above the cover of the concrete vault. Whilst still submerged in liquid sodium the irradiated fuel is transferred sideways and lowered into a transfer rotor. Subsequently the irradiated fuel is removed from the vault to storage racks. The transfer rotor has provision for the temporary storage of twenty irradiated fuel assemblies, each within a stainless steel bucket. For insertion and withdrawal of a fuel assembly the rotor is rotated to bring an assembly to a loading or discharging station. An irradiated fuel assembly is withdrawn from the rotor within its bucket and the combination of fuel assembly, bucket and sodium (weighing approximately 100 kilograms) is lifted approximately 27 meters. Thus in the event of malfunction the combination falls back into the rotor with considerable energy. To prevent damage to the rotor an arrangement of fracture pins limits the transfer of energy to the rotor and deformable energy absorbing devices prevent damage to the reactor vessel and other parts of the reactor structure. After such a malfunction it is necessary to replace the fractured pins and the deformed energy absorbing device by remote means operated over a considerable distance from outside the vault and the operation is, therefore, very complex. It is an object of the present invention to provide a liquid metal cooled fast breeder reactor of the pool kind having improved energy absorbing means for fuel assemblies falling into a fuel transfer rotor. SUMMARY OF THE INVENTION According to the invention in a liquid metal cooled fast breeder nuclear reactor of the pool kind having a transfer rotor for the temporary storage of irradiated fuel assemblies in the pool of reactor coolant whilst the fuel assemblies are in transit from the reactor core to storage means, the fuel assemblies are supported in the transfer rotor by elastic means and there is provided a hydraulic dash pot at least in one position below the transfer rotor for absorbing the energy of a falling fuel assembly. By hydraulic dash pot is meant a construction including a piston movable within a cylinder to displace fluid within the cylinder through a restricted passage whereby the kinetic energy of the falling load is absorbed by the displacement of fluid. In a preferred construction of liquid metal cooled fast breeder reactor dash pots are provided immediately below a receiving station for irradiated fuel assemblies in the transfer rotor and immediately below a discharge station for fuel assemblies, and the transfer rotor has buckets each for housing a fuel assembly, each bucket being carried in a container which is elastically supported in the transfer rotor on a helical coil compression spring so that, in the event of a malfunction of the hereinbefore described kind, the container and bucket are returned to their normal operating position after the kinetic energy of the falling load has been absorbed by the dash pot. The transfer rotor may also be provided with recoil springs for absorbing the recoil energy of the helical coil springs. The invention embodied in the preferred construction provides that the energy of a falling fuel assembly, bucket and coolant combination is absorbed by displacement of coolant in the dash pot and for the subsequent return of the combination to its normal storage position in the transfer rotor without causing damage to the reactor construction. Thus, when the cause of the malfunction has been ascertained and corrective action has been taken, normal discharge operations can be resumed without the need for complex repairs and replacements to be made to ancillary equipment of the transfer rotor. The lower end of each container may be adapted to form a piston for the dash pot or dash pots, the cylinder being located in a static base supporting the rotor but, preferably, the complete dash pot is located in the base and presents a striker platform to the lower end of a descending container. This latter preferred feature avoids the need for very accurate positioning of the rotor whereby the lower end of a descending container forming a piston is enabled to enter the cylinder.
039649644
summary
BACKGROUND OF THE INVENTION This invention relates to the identification of defective fuel assemblies in liquid-metal-cooled fast breeder reactors. In more detail, the invention relates to apparatus for separately interrogating the fuel assemblies of a liquid-metal-cooled fast breeder reactor for a signal indicative of the presence of a failed fuel element therein. Specifically, the invention relates to an improvement in the Experimental Breeder Reactor No. 2 (EBR-II) whereby existing fuel handling equipment can be used to interrogate each subassembly in turn to determine whether a failed fuel element is present therein. EBR-II is described in ANL-5719 and addendum thereto, the Hazard Summary Report for the EBR-II, and the fuel unloading machine therefor is described in ANL-7201 and ANL-7585. Since it is inevitable that cladding failures releasing fission gases to the coolant will occur during operation of fast breeder reactors and under certain conditions a clad rupture may dictate reactor shutdown so that the source can be removed from the active reactor core, identification of the subassembly having the cladding failure is essential. Operational possibilities for leak detection include 1. Cover gas analysis A. Gamma analysis PA1 B. Electrostatic discrimination PA1 C. Xenon tagging PA1 A. Gamma analysis of sparge gas PA1 B. Delayed neutron analysis PA1 1. 3,663,363 - Crouthamel et al. - Xenon Tagging PA1 2. 3,234,101 - Berthod - A funnel is used to pick up an entraining gas which has picked up fission gases. PA1 3. 3,453,867 - Webb et al. - Detecting means within the fuel assembly is used to detect fission gas in a funnel. PA1 4. 3,575,802 - Gelius - Employs a fuel handling machine to assist in sampling coolant from fuel subassemblies sequentially and PA1 5. 3,612,860 - Hackney - Sequentially tests samples of coolant from individual fuel assemblies. 2. Sodium analysis Specific U.S. Pat. Nos. on leak detection include Unfortunately, the identification of a failed subassembly in a reactor containing many fueled subassemblies using these known techniques is quite difficult, time-consuming and expensive. SUMMARY OF THE INVENTION According to the present invention, each fueled subassembly of a liquid-metal-cooled fast breeder reactor is provided with a fission product gas trap at the outlet end thereof. This may be an inverted funnel of less diameter than that of the subassembly, the funnel having a valve at the apex thereof. The valve is operated by an actuating rod which extends through the upper portion of the fuel subassembly and the adapter at the top thereof. The actuating rod is depressed and the valve opened by placing the fuel handling gripper of the fuel unloading mechanism over the upper adapter as in a normal fuel handling operation.
claims
1. A gas leakage detection method in a horizontally-installed canister comprising a basket containing spent nuclear fuel inserted in the canister, the method comprising:monitoring each of a temperature TB at a canister bottom portion to be one end portion in a lateral direction in a horizontally-installed attitude of the canister that is horizontally installed and housed inside a concrete silo, a temperature TSB at a canister side surface lower portion located below a horizontal plane passing through a center of the canister in the horizontally-installed attitude, a temperature TT at a canister lid portion to be the other end portion in the lateral direction in the horizontally-installed attitude, and a temperature TST at a canister side surface upper portion located above the horizontal plane passing through the center of the canister in the horizontally-installed attitude, wherein the monitoring identifies changes in movement of inert gas inside the canister warmed by the spent fuel, where a direction of flow of the warmed inert gas is restricted by a wall of the basket, such that the inert gas moves along the wall of the basket in a lateral direction and heat of the spent fuel is transferred to the canister side surface by heat conduction via the basket; anddetermining occurrence of leakage of the inert gas inside the canister based on changes between temperatures of monitoring points for each of the temperatures TB, TSB, TT, and TST. 2. The gas leakage detection method in a horizontally-installed canister according to claim 1, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference between one or both of the temperature TB at the canister bottom portion and the temperature TSB at the canister side surface lower portion and one or both of the temperature TT at the canister lid portion and the temperature TST at the canister side surface upper portion. 3. The gas leakage detection method in a horizontally-installed canister according to claim 1, wherein the temperature TB at the canister bottom portion is a temperature at a center of the canister bottom portion, the temperature TSB at the canister side surface lower portion is a temperature at a bottom portion of the canister side surface to be a lowermost portion in the horizontally-installed attitude, the temperature TT at the canister lid portion is a temperature at a center of the canister lid portion, and the temperature TST at the canister side surface upper portion is a temperature at a top portion of the canister side surface to be an uppermost portion in the horizontally-installed attitude. 4. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTBT (where ΔTBT=TB−TT) between the temperature TT at the canister lid portion and the temperature TB at the canister bottom portion. 5. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 6. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTSBST (where ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion. 7. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT4 (where ΔT4=ΔTBT+ΔTSBST) obtained by adding the temperature difference ΔTBT (ΔTBT=TB−TT) between the temperature TT at the canister lid portion and the temperature TB at the canister bottom portion to the temperature difference ΔTSBST (where ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion. 8. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT3GR (where ΔT3GR=ΔTBT+ΔTBST) obtained by adding the temperature difference ΔTBT (ΔTBT=TB−TT) between the temperature TB at the canister bottom portion and the temperature TT at the canister lid portion to the temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 9. The gas leakage detection method in a horizontally-installed canister according to claim 2, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT3R (where ΔT3R=ΔTSBST+ΔTBST) obtained by adding the temperature difference ΔTSBST (ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion to the temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 10. A gas leakage detection apparatus in a horizontally-installed canister comprising a basket containing spent nuclear fuel inserted in the canister, the gas leakage detection apparatus comprising:a first temperature sensor configured to measure a temperature TB at a canister bottom portion to be one end portion in a lateral direction in a horizontally-installed attitude of the canister that is horizontally installed and housed inside a concrete silo, a third temperature sensor configured to measure a temperature TSB at a canister side surface lower portion located below a horizontal plane passing through a center of the canister in the horizontally-installed attitude, a second temperature sensor configured to measure a temperature TT at a canister lid portion to be the other end portion in the lateral direction in the horizontally-installed attitude, and a fourth temperature sensor configured to measure a temperature TST at a canister side surface upper portion located above the horizontal plane passing through the center of the canister in the horizontally-installed attitude;a monitoring unit configured to monitor measurement values of the first temperature sensor, the second temperature sensor, the third temperature sensor, and the fourth temperature sensor to identify changes in movement of inert gas inside the canister warmed by the spent fuel, where a direction of flow of the warmed inert gas is restricted by a wall of the basket, such that the inert gas moves along the wall of the basket in a lateral direction and heat of the spent fuel is transferred to the canister side surface by heat conduction via the basket; anda gas leakage determination unit configured to determine occurrence of leakage of the inert gas inside the canister based on temperature changes between monitored measurement values for each of the temperatures TB, TSB, TT, and TST. 11. The gas leakage detection method in a horizontally-installed canister according to claim 2, wherein the temperature TB at the canister bottom portion is a temperature at a center of the canister bottom portion, the temperature TSB at the canister side surface lower portion is a temperature at a bottom portion of the canister side surface to be a lowermost portion in the horizontally-installed attitude, the temperature TT at the canister lid portion is a temperature at a center of the canister lid portion, and the temperature TST at the canister side surface upper portion is a temperature at a top portion of the canister side surface to be an uppermost portion in the horizontally-installed attitude. 12. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTBT (where ΔTBT=TB−TT) between the temperature TT at the canister lid portion and the temperature TB at the canister bottom portion. 13. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 14. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in a temperature difference ΔTSBST (where ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion. 15. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT4 (where ΔT4=ΔTBT+ΔTSBST) obtained by adding the temperature difference ΔTBT (ΔTBT=TB−TT) between the temperature TT at the canister lid portion and the temperature TB at the canister bottom portion to the temperature difference ΔTSBST (where ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion. 16. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT3GR (where ΔT3GR=ΔTBT+ΔTBST) obtained by adding the temperature difference ΔTBT (ΔTBT=TB−TT) between the temperature TB at the canister bottom portion and the temperature TT at the canister lid portion to the temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 17. The gas leakage detection method in a horizontally-installed canister according to claim 3, further comprising determining occurrence of leakage of the inert gas inside the canister when there is a change in sum ΔT3R (where ΔT3R=ΔTSBST+ΔTBST) obtained by adding the temperature difference ΔTSBST (ΔTSBST=TSB−TST) between the temperature TSB at the canister side surface lower portion and the temperature TST at the canister side surface upper portion to the temperature difference ΔTBST (where ΔTBST=TB−TST) between the temperature TB at the canister bottom portion and the temperature TST at the canister side surface upper portion. 18. The gas leakage detection method in a horizontally-installed canister according to claim 1, wherein the monitoring further identifies heat transferred from the spent fuel to the bottom of the canister and to the side surface lower portion of the canister by heat conduction.
abstract
A construction layout for underground caverns in a nuclear island powerhouse of an underground nuclear power plant, including: two primary caverns accomodating nuclear reactor powerhouses, combined caverns, electric powerhouse caverns, pressure relief caverns, a first primary traffic tunnel, a second primary traffic tunnel, a third primary traffic tunnel, a top adit system, a ground adit system, secondary traffic tunnels, and a side traffic tunnel. Each combined cavern and each electric powerhouse cavern are disposed at two sides of each primary cavern, respectively. Two combined caverns are in end-to-end connection and the arrangement direction of the two combined caverns are in parallel to the connecting line of the medial axes of the two primary caverns. Each pressure relief cavern is disposed between each combined cavern and a corresponding electric powerhouse cavern.
claims
1. A fuel transfer system for transporting spent fuel from a first room to a second room, the system comprising:a carriage configured for travel between the first room and the second room;a boom assembly that extends and retracts between the first room and the second room; anda hoist system positioned in the first room, the hoist system including at least one boom cable interconnected with the boom assembly to extend and retract the boom assembly and the hoist assembly including at least one carriage cable interconnected with the carriage to move the carriage. 2. The system of claim 1 wherein the carriage includes a container, the container pivotably mounted to the carriage and rotatable between an up-ended position and a down-ended position. 3. The system of claim 2 wherein the container includes a cover, the cover pivotable between a closed position and an open position to allow rotation of the container. 4. The system of claim 3 wherein the container includes a spring for biasing the cover to the closed position. 5. The system of claim 3, and further comprising at least one actuator positioned in the first room, wherein actuation of the at least one actuator engages a portion of the cover to pivot the cover to the open position. 6. The system of claim 3, and further comprising at least one stop positioned in the second room, the at least one stop configured to engage a portion of the cover to pivot the cover to the open position. 7. The system of claim 2 wherein the at least one carriage cable facilitates rotation of the container between the up-ended position and the down-ended position. 8. The system of claim 1 wherein the boom assembly includes a first boom and a second boom slidably received by the first boom. 9. The system of claim 8 wherein the second boom includes a carriage pulley, the carriage pulley positioned to engage the at least one carriage cable to facilitate travel of the carriage. 10. The system of claim 1, and further comprising a track assembly, wherein the carriage is configured to travel along the track assembly. 11. The system of claim 1, and further comprising at least one pin wherein the at least one pin is positioned in the first room, and further wherein the carriage includes a bracket configured to engage the at least one first pin to hold the carriage in the first room. 12. The system of claim 1, and further comprising at least one pin wherein the at least one pin is positioned in the second room, and further wherein the carriage includes a bracket configured to engage the at least one first pin to hold the carriage in the second room. 13. A fuel transfer system for transporting spent fuel from a first room to a second room, the system comprising:a carriage configured for travel between the first room and the second room, the carriage including a container pivotably mounted to the carriage;a boom assembly that extends and retracts between the first room and the second room, wherein the boom assembly facilitates travel of the carriage; anda hoist system positioned in the first room, the hoist system including at least one boom cable interconnected with the boom assembly to extend and retract the boom assembly, and the hoist assembly including at least one carriage cable interconnected with the carriage to extend and retract the carriage and to up-end and down-end the container relative to the carriage. 14. The system of claim 13 wherein the boom assembly includes a first boom and a second boom, the second boom slidably received by the first boom. 15. The system of claim 14 wherein second boom includes a carriage pulley, the carriage pulley positioned to engage the at least one carriage cable to facilitate travel of the carriage. 16. The system of claim 13, and further comprising a track assembly, wherein the carriage is configured to travel along the track assembly. 17. A fuel transfer system for transporting spent fuel from a first room to a second room, the system comprising:a carriage configured for travel between the first room and the second room;a first boom configured to extend from the first room to the second room;a second boom slidably received by the first boom and configured to extend into the second room, wherein extension of the first boom and the second boom facilitates travel of the carriage; anda hoist system positioned in the first room, the hoist system including a boom cable interconnected with the first boom and the second boom to extend and retract the first boom and the second boom, and at least one carriage cable interconnected with the carriage to move the carriage. 18. The system of claim 17 wherein the carriage includes a container, the container pivotably mounted to the carriage and rotatable between an up-ended position and a down-ended position. 19. The system of claim 18 wherein the at least one carriage cable facilitates rotation of the container between the up-ended position and the down-ended position. 20. The system of claim 17 wherein the second boom includes a carriage pulley, the carriage pulley positioned to engage the at least one carriage cable to facilitate travel of the carriage. 21. The system of claim 17, and further comprising a track assembly, wherein the carriage is configured to travel along the track assembly. 22. The system of claim 17, and further comprising at least one extend sheave positioned in the first room, at least one retract sheave positioned in the first room, at least one track sheave positioned in the first room, and at least one boom sheave positioned on the first boom, wherein the boom cable engages the sheaves to facilitate extension and retraction of the first boom and the second boom. 23. The system of claim 22 wherein the boom cable includes a first section and a second section, the first section of the boom cable engages the at least one retract sheave and couples to a termination point on the second boom to facilitate retraction of the first boom and the second boom, and the second section of the boom cable engages the at least one extend sheave and the at least one boom sheave and couples to the termination point to facilitate extension and retraction of the first boom and the second boom. 24. The system of claim 17, and further comprising a first carriage sheave positioned in the first room, a second carriage sheave positioned in the first room, and a pulley positioned on the second boom, wherein the at least one carriage cable includes a first carriage cable and a second carriage cable, and further wherein the first carriage cable engages the first carriage sheave and the pulley and couples to the carriage to facilitate travel of the carriage toward the second room, and the second carriage cable engages the second carriage sheave and couples to the carriage to facilitate travel of the carriage toward the first room.